summaryrefslogtreecommitdiffstats
path: root/examples/mux.vhdl
diff options
context:
space:
mode:
authoralbert-github <albert.tests@gmail.com>2014-01-12 12:41:04 (GMT)
committeralbert-github <albert.tests@gmail.com>2014-01-12 12:41:04 (GMT)
commit4b51e6113f1e9e20a5700840d83f1c3928d84825 (patch)
tree69e1d3d77fe6a21926f132d6fd80c5fe00ceccbb /examples/mux.vhdl
parenta642629761d43d53f3ade41c78530d0c7228a84d (diff)
downloadDoxygen-4b51e6113f1e9e20a5700840d83f1c3928d84825.zip
Doxygen-4b51e6113f1e9e20a5700840d83f1c3928d84825.tar.gz
Doxygen-4b51e6113f1e9e20a5700840d83f1c3928d84825.tar.bz2
Documentation corrections
Corrected some spelling errors and added / correceted some references
Diffstat (limited to 'examples/mux.vhdl')
-rw-r--r--examples/mux.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/mux.vhdl b/examples/mux.vhdl
index 211e56e..e2c6345 100644
--- a/examples/mux.vhdl
+++ b/examples/mux.vhdl
@@ -21,7 +21,7 @@ entity mux_using_with is
);
end entity;
---! @brief Architure definition of the MUX
+--! @brief Architecture definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin