summaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorDimitri van Heesch <dimitri@stack.nl>2008-01-17 18:56:38 (GMT)
committerDimitri van Heesch <dimitri@stack.nl>2008-01-17 18:56:38 (GMT)
commitf1103ed882b5739e7f16cfd69527bde6d6d96dfa (patch)
tree05e55417a750c1275c9139b7952de6941db168dd /examples
parentc9fcb1845bd7c5080abfee1be4070130bbdd38c2 (diff)
downloadDoxygen-f1103ed882b5739e7f16cfd69527bde6d6d96dfa.zip
Doxygen-f1103ed882b5739e7f16cfd69527bde6d6d96dfa.tar.gz
Doxygen-f1103ed882b5739e7f16cfd69527bde6d6d96dfa.tar.bz2
Release-1.5.4-20080101
Diffstat (limited to 'examples')
-rw-r--r--examples/Makefile.in8
-rw-r--r--examples/Makefile.win.in16
-rw-r--r--examples/mux.cfg13
-rw-r--r--examples/mux.vhdl32
4 files changed, 61 insertions, 8 deletions
diff --git a/examples/Makefile.in b/examples/Makefile.in
index e0c591f..1176e6d 100644
--- a/examples/Makefile.in
+++ b/examples/Makefile.in
@@ -23,13 +23,14 @@ all: class/html/index.html \
diagrams/html/index.html \
memgrp/html/index.html \
docstring/html/index.html \
- pyexample/html/index.html
+ pyexample/html/index.html \
+ mux/html/index.html
clean:
rm -rf class define enum file func page relates author \
par overload example include qtstyle jdstyle structcmd \
autolink tag restypedef afterdoc template tag group diagrams \
- memgrp docstring pyexample
+ memgrp docstring pyexample mux
class/html/index.html: class.h class.cfg
$(DOXYGEN)/bin/doxygen class.cfg
@@ -102,6 +103,9 @@ memgrp/html/index.html: memgrp.cpp memgrp.cfg
pyexample/html/index.html: pyexample.py pyexample.cfg
$(DOXYGEN)/bin/doxygen pyexample.cfg
+mux/html/index.html: mux.vhdl mux.cfg
+ $(DOXYGEN)/bin/doxygen mux.cfg
+
docstring/html/index.html: docstring.py docstring.cfg
$(DOXYGEN)/bin/doxygen docstring.cfg
diff --git a/examples/Makefile.win.in b/examples/Makefile.win.in
index 86e2a94..a6c6956 100644
--- a/examples/Makefile.win.in
+++ b/examples/Makefile.win.in
@@ -24,14 +24,15 @@ all: class/html/index.html \
diagrams/html/index.html \
memgrp/html/index.html \
docstring/html/index.html \
- pyexample/html/index.html
+ pyexample/html/index.html \
+ mux/html/index.html
clean:
- deltree /y class define enum file pyexample docstring
- deltree /y func page relates author
- deltree /y par overload example include qtstyle
- deltree /y jdstyle structcmd autolink resdefine
- deltree /y restypedef afterdoc template tag group diagrams memgrp
+ del /s/y class define enum file pyexample docstring
+ del /s/y func page relates author
+ del /s/y par overload example include qtstyle
+ del /s/y jdstyle structcmd autolink resdefine mux
+ del /s/y restypedef afterdoc template tag group diagrams memgrp
class/html/index.html: class.h class.cfg
$(DOXYDIR)\doxygen class.cfg
@@ -102,6 +103,9 @@ memgrp/html/index.html: memgrp.cpp memgrp.cfg
pyexample/html/index.html: pyexample.py pyexample.cfg
$(DOXYDIR)\doxygen pyexample.cfg
+mux/html/index.html: mux.vhdl mux.cfg
+ $(DOXYDIR)\doxygen mux.cfg
+
docstring/html/index.html: docstring.py docstring.cfg
$(DOXYDIR)\doxygen docstring.cfg
diff --git a/examples/mux.cfg b/examples/mux.cfg
new file mode 100644
index 0000000..7a64d33
--- /dev/null
+++ b/examples/mux.cfg
@@ -0,0 +1,13 @@
+PROJECT_NAME = Mux
+OUTPUT_DIRECTORY = mux
+GENERATE_LATEX = NO
+GENERATE_MAN = NO
+GENERATE_RTF = NO
+CASE_SENSE_NAMES = NO
+INPUT = mux.vhdl
+OPTIMIZE_OUTPUT_VHDL = YES
+QUIET = YES
+INHERIT_DOCS = YES
+EXTRACT_PRIVATE = YES
+HIDE_SCOPE_NAMES = YES
+INHERIT_DOCS = NO
diff --git a/examples/mux.vhdl b/examples/mux.vhdl
new file mode 100644
index 0000000..211e56e
--- /dev/null
+++ b/examples/mux.vhdl
@@ -0,0 +1,32 @@
+-------------------------------------------------------
+--! @file
+--! @brief 2:1 Mux using with-select
+-------------------------------------------------------
+
+--! Use standard library
+library ieee;
+--! Use logic elements
+ use ieee.std_logic_1164.all;
+
+--! Mux entity brief description
+
+--! Detailed description of this
+--! mux design element.
+entity mux_using_with is
+ port (
+ din_0 : in std_logic; --! Mux first input
+ din_1 : in std_logic; --! Mux Second input
+ sel : in std_logic; --! Select input
+ mux_out : out std_logic --! Mux output
+ );
+end entity;
+
+--! @brief Architure definition of the MUX
+--! @details More details about this mux element.
+architecture behavior of mux_using_with is
+begin
+ with (sel) select
+ mux_out <= din_0 when '0',
+ din_1 when others;
+end architecture;
+