summaryrefslogtreecommitdiffstats
path: root/vhdlparser/VhdlParser.cc
diff options
context:
space:
mode:
authorogre <olagrottvik@gmail.com>2017-05-09 13:19:51 (GMT)
committerOla <ogre@localhost.localdomain>2017-05-09 20:36:25 (GMT)
commit6c387a6be9128ced0b89f6fc75946cd1a85096fb (patch)
tree7eb3569a71e3da78e86bc3823996d7537a49eac6 /vhdlparser/VhdlParser.cc
parentaf711e8eeea14a42d386beef5271af74a408d7e0 (diff)
downloadDoxygen-6c387a6be9128ced0b89f6fc75946cd1a85096fb.zip
Doxygen-6c387a6be9128ced0b89f6fc75946cd1a85096fb.tar.gz
Doxygen-6c387a6be9128ced0b89f6fc75946cd1a85096fb.tar.bz2
fixes vhdl literal bug
Diffstat (limited to 'vhdlparser/VhdlParser.cc')
-rw-r--r--vhdlparser/VhdlParser.cc613
1 files changed, 303 insertions, 310 deletions
diff --git a/vhdlparser/VhdlParser.cc b/vhdlparser/VhdlParser.cc
index df9bbe5..a3de14a 100644
--- a/vhdlparser/VhdlParser.cc
+++ b/vhdlparser/VhdlParser.cc
@@ -1,6 +1,5 @@
/* VhdlParser.cc */
-#include "VhdlParser.h"
-#include "TokenMgrError.h"
+#include "./VhdlParser.h"
namespace vhdl {
namespace parser {
unsigned int jj_la1_0[] = {
@@ -14,7 +13,7 @@ namespace parser {
unsigned int jj_la1_4[] = {
0x0,0x0,0x60000,0x30,0x40,0x100,0x0,0x0,0x0,0x0,0x40000,0x0,0x40001,0x0,0x0,0x0,0x0,0x40,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x0,0x0,0x40001,0x0,0x0,0x0,0x0,0x10000000,0x200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x20000,0x40,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x40000,0x40000,0x40000,0x40000,0x0,0x40,0x0,0x0,0x0,0x0,0x0,0x4,0x40001,0x0,0x0,0x40000,0x1,0x0,0x0,0x40000,0x40,0x0,0x40,0x0,0x0,0x0,0x0,0x40,0x40031,0x0,0x1,0x0,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x4,0x0,0x40000008,0x40000,0x40,0x1,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x0,0x40001,0x1,0x0,0x40000,0xfc00,0xfc00,0x0,0x0,0x0,0x40031,0x1,0x0,0x40,0x40,0x0,0x0,0x0,0x30,0x0,0x40001,0x0,0x80,0x0,0x40,0x40000,0x40,0x40000,0x0,0x30,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x1,0x0,0x40001,0x0,0x40001,0x0,0x1,0x0,0x40,0x40,0x40001,0x0,0x80,0x0,0x0,0x0,0x0,0x40,0x40031,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40001,0x0,0xa0000000,0x20000000,0x0,0x1,0x40001,0x0,0x0,0x0,0x0,0x40031,0x0,0x8000000,0x40000,0x8000000,0x0,0x8000000,0x0,0x240,0x240,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x0,0x1,0x0,};
unsigned int jj_la1_5[] = {
-0x1420,0x0,0x1c0,0x0,0x0,0x0,0x2,0x3c0,0x0,0x0,0x1c0,0x0,0x80001c0,0x0,0x0,0x0,0x180,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x80001c0,0x180,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x8000000,0x8000000,0x2,0x0,0x0,0x3c0,0x3c0,0x1c0,0x1c0,0x3c0,0x380,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x817e0,0x0,0x0,0x1e0,0x0,0x180,0x0,0x3c0,0x0,0x180,0x0,0x180,0x0,0x0,0x180,0x0,0x817e0,0x0,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x180,0x0,0x180,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x180,0x180,0x0,0x180,0x1420,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x1c0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x180,0x1c0,0x0,0x0,0x180,0x0,0x180,0x817e0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x1c0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x240,0x1c0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x817e0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x80001c0,0x0,0x1,0x0,0x1,0x0,0x1c0,0x0,0x0,0x0,0x0,0x817e0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x180,};
+0x1420,0x0,0x1c0,0x0,0x0,0x0,0x2,0x3c0,0x0,0x0,0x1c0,0x0,0x200001c0,0x0,0x0,0x0,0x180,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x200001c0,0x180,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x20000000,0x20000000,0x2,0x0,0x0,0x3c0,0x3c0,0x1c0,0x1c0,0x3c0,0x380,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1e0,0x0,0x180,0x0,0x3c0,0x0,0x180,0x0,0x180,0x0,0x0,0x180,0x0,0x1017e0,0x0,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x180,0x0,0x180,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x180,0x180,0x0,0x180,0x1420,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x1c0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x180,0x1c0,0x0,0x0,0x180,0x0,0x180,0x1017e0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x1c0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x240,0x1c0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x200001c0,0x0,0x1,0x0,0x1,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x180,};
/** Constructor with user supplied TokenManager. */
@@ -62,7 +61,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;if (!hasError) {
@@ -76,7 +75,7 @@ QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;if
str=tok->image.c_str(); return str+str1;
assert(false);
-}
+ }
QCString VhdlParser::actual_designator() {QCString str;Token *t=0;
@@ -118,7 +117,7 @@ return str;
}
}
assert(false);
-}
+ }
QCString VhdlParser::actual_parameter_part() {QCString s;if (!hasError) {
@@ -128,7 +127,7 @@ QCString VhdlParser::actual_parameter_part() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::actual_part() {QCString s,s1;
@@ -187,7 +186,7 @@ s+="(";s+=s1+")";return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::adding_operator() {
@@ -231,7 +230,7 @@ return "&";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::aggregate() {QCString s,s1,s2;if (!hasError) {
@@ -276,7 +275,7 @@ s+=","+s1;
return "("+s+")";
assert(false);
-}
+ }
QCString VhdlParser::alias_declaration() {QCString s,s1,s2;if (!hasError) {
@@ -358,7 +357,7 @@ addVhdlType(s2.data(),getLine(ALIAS_T),Entry::VARIABLE_SEC,VhdlDocGen::ALIAS,0,s
return s2+" "+s+";";
assert(false);
-}
+ }
QCString VhdlParser::alias_designator() {Token *tok=0;QCString s;
@@ -403,7 +402,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::allocator() {
@@ -435,7 +434,7 @@ void VhdlParser::allocator() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::architecture_body() {QCString s,s1;if (!hasError) {
@@ -528,7 +527,7 @@ error_skipto(BEGIN_T);
}
lastEntity=0;lastCompound=0; genLabels.resize(0);
-}
+ }
void VhdlParser::architecture_declarative_part() {if (!hasError) {
@@ -568,7 +567,7 @@ void VhdlParser::architecture_declarative_part() {if (!hasError) {
end_label_2: ;
}
-}
+ }
void VhdlParser::architecture_statement_part() {if (!hasError) {
@@ -601,7 +600,7 @@ void VhdlParser::architecture_statement_part() {if (!hasError) {
end_label_3: ;
}
-}
+ }
QCString VhdlParser::array_type_definition() {QCString s;
@@ -634,7 +633,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
@@ -689,7 +688,7 @@ s.prepend("assert ");
if(t1) s2.prepend(" report ");
return s+s1+s2;
assert(false);
-}
+ }
QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;if (!hasError) {
@@ -724,7 +723,7 @@ QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;if (!has
if(t) s+=":";
return s+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::association_element() {QCString s,s1;if (!hasError) {
@@ -749,7 +748,7 @@ QCString VhdlParser::association_element() {QCString s,s1;if (!hasError) {
return s+" => "+s1;
assert(false);
-}
+ }
QCString VhdlParser::association_list() {QCString s,s1;if (!hasError) {
@@ -786,7 +785,7 @@ s+=","+s1;
return s;
assert(false);
-}
+ }
QCString VhdlParser::attribute_declaration() {QCString s,s1;if (!hasError) {
@@ -813,7 +812,7 @@ QCString VhdlParser::attribute_declaration() {QCString s,s1;if (!hasError) {
addVhdlType(s.data(),getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,s1.data(),Public);
return " attribute "+s+":"+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::attribute_designator() {QCString s;Token *tok=0;
@@ -847,7 +846,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::attribute_name() {QCString s,s1;if (!hasError) {
@@ -896,7 +895,7 @@ s+"("+s1+")";
return s;
assert(false);
-}
+ }
QCString VhdlParser::attribute_specification() {QCString s,s1,s2;if (!hasError) {
@@ -932,7 +931,7 @@ QCString t= s1+" is "+s2;
addVhdlType(s.data(),getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,t.data(),Public);
return " attribute "+s+" of "+s1+ " is "+s2+";";
assert(false);
-}
+ }
QCString VhdlParser::base() {Token *tok=0;if (!hasError) {
@@ -942,7 +941,7 @@ QCString VhdlParser::base() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::base_specifier() {Token *tok=0;if (!hasError) {
@@ -952,7 +951,7 @@ QCString VhdlParser::base_specifier() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::base_unit_declaration() {QCString s;if (!hasError) {
@@ -962,7 +961,7 @@ QCString VhdlParser::base_unit_declaration() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::based_integer() {Token *tok=0;if (!hasError) {
@@ -972,7 +971,7 @@ QCString VhdlParser::based_integer() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::based_literal() {Token *tok=0;if (!hasError) {
@@ -982,7 +981,7 @@ QCString VhdlParser::based_literal() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::basic_identifier() {Token *tok=0;if (!hasError) {
@@ -992,7 +991,7 @@ QCString VhdlParser::basic_identifier() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
void VhdlParser::binding_indication() {if (!hasError) {
@@ -1045,7 +1044,7 @@ void VhdlParser::binding_indication() {if (!hasError) {
}
}
-}
+ }
QCString VhdlParser::bit_string_literal() {Token *tok=0;if (!hasError) {
@@ -1055,7 +1054,7 @@ QCString VhdlParser::bit_string_literal() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::bit_value() {Token *tok=0;if (!hasError) {
@@ -1065,7 +1064,7 @@ QCString VhdlParser::bit_value() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
void VhdlParser::block_configuration() {if (!hasError) {
@@ -1127,7 +1126,7 @@ void VhdlParser::block_configuration() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::block_declarative_item() {
@@ -1261,7 +1260,7 @@ void VhdlParser::block_declarative_item() {
}
}
}
-}
+ }
void VhdlParser::block_declarative_part() {if (!hasError) {
@@ -1301,7 +1300,7 @@ void VhdlParser::block_declarative_part() {if (!hasError) {
end_label_7: ;
}
-}
+ }
void VhdlParser::block_header() {if (!hasError) {
@@ -1369,7 +1368,7 @@ void VhdlParser::block_header() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::block_specification() {if (!hasError) {
@@ -1400,7 +1399,7 @@ void VhdlParser::block_specification() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::block_statement() {QCString s;if (!hasError) {
@@ -1503,7 +1502,7 @@ pushLabel(genLabels,s);
}
genLabels=popLabel(genLabels);
-}
+ }
void VhdlParser::block_statement_part() {if (!hasError) {
@@ -1536,7 +1535,7 @@ void VhdlParser::block_statement_part() {if (!hasError) {
end_label_8: ;
}
-}
+ }
void VhdlParser::case_statement() {QCString s;if (!hasError) {
@@ -1630,7 +1629,7 @@ QCString ca="case "+s;
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::END_CASE,"end case",0);
-}
+ }
void VhdlParser::case_statement_alternative() {QCString s;if (!hasError) {
@@ -1657,7 +1656,7 @@ QCString t="when ";
}
FlowChart::moveToPrevLevel();
-}
+ }
QCString VhdlParser::character_literal() {Token *tok=0;if (!hasError) {
@@ -1667,7 +1666,7 @@ QCString VhdlParser::character_literal() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::choice() {QCString s;
@@ -1718,7 +1717,7 @@ return " others ";
}
}
assert(false);
-}
+ }
QCString VhdlParser::choices() {QCString s,s1;if (!hasError) {
@@ -1755,7 +1754,7 @@ s+="|";s+=s1;
return s;
assert(false);
-}
+ }
void VhdlParser::component_configuration() {if (!hasError) {
@@ -1816,7 +1815,7 @@ void VhdlParser::component_configuration() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::component_declaration() {QCString s;if (!hasError) {
@@ -1910,7 +1909,7 @@ addVhdlType(s.data(),getLine(COMPONENT_T),Entry::VARIABLE_SEC,VhdlDocGen::COMPON
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::component_instantiation_statement() {QCString s,s1;if (!hasError) {
@@ -1966,7 +1965,7 @@ QCString s3;
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::component_specification() {if (!hasError) {
@@ -1982,7 +1981,7 @@ void VhdlParser::component_specification() {if (!hasError) {
name();
}
-}
+ }
QCString VhdlParser::composite_type_definition() {QCString s,s1;
@@ -2015,7 +2014,7 @@ return s+"#";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::concurrent_assertion_statement() {if (!hasError) {
@@ -2057,7 +2056,7 @@ void VhdlParser::concurrent_assertion_statement() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::concurrent_procedure_call_statement() {if (!hasError) {
@@ -2099,7 +2098,7 @@ void VhdlParser::concurrent_procedure_call_statement() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
@@ -2156,7 +2155,7 @@ void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::concurrent_statement() {
@@ -2223,7 +2222,7 @@ void VhdlParser::concurrent_statement() {
}
}
}
-}
+ }
QCString VhdlParser::condition() {QCString s;if (!hasError) {
@@ -2233,7 +2232,7 @@ QCString VhdlParser::condition() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::condition_clause() {QCString s;if (!hasError) {
@@ -2247,7 +2246,7 @@ QCString VhdlParser::condition_clause() {QCString s;if (!hasError) {
return " until "+s;
assert(false);
-}
+ }
void VhdlParser::conditional_signal_assignment() {if (!hasError) {
@@ -2271,7 +2270,7 @@ void VhdlParser::conditional_signal_assignment() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::conditional_waveforms() {if (!hasError) {
@@ -2325,7 +2324,7 @@ void VhdlParser::conditional_waveforms() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::configuration_declaration() {QCString s,s1;if (!hasError) {
@@ -2404,7 +2403,7 @@ confName=s+"::"+s1;
}
genLabels.resize(0); confName="";
-}
+ }
void VhdlParser::configuration_declarative_item() {
@@ -2435,7 +2434,7 @@ void VhdlParser::configuration_declarative_item() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::configuration_declarative_part() {if (!hasError) {
@@ -2460,7 +2459,7 @@ void VhdlParser::configuration_declarative_part() {if (!hasError) {
end_label_12: ;
}
-}
+ }
void VhdlParser::configuration_item() {
@@ -2484,7 +2483,7 @@ void VhdlParser::configuration_item() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::configuration_specification() {if (!hasError) {
@@ -2504,7 +2503,7 @@ void VhdlParser::configuration_specification() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;if (!hasError) {
@@ -2554,7 +2553,7 @@ if(t)
it.prepend("constant ");
return it;
assert(false);
-}
+ }
QCString VhdlParser::constraint_array_definition() {QCString s,s1;if (!hasError) {
@@ -2576,7 +2575,7 @@ QCString VhdlParser::constraint_array_definition() {QCString s,s1;if (!hasError)
return s+" "+s1;
assert(false);
-}
+ }
void VhdlParser::context_clause() {if (!hasError) {
@@ -2600,7 +2599,7 @@ void VhdlParser::context_clause() {if (!hasError) {
end_label_13: ;
}
-}
+ }
QCString VhdlParser::constraint() {QCString s;
@@ -2627,7 +2626,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::context_item() {
@@ -2651,7 +2650,7 @@ void VhdlParser::context_item() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
QCString VhdlParser::decimal_literal() {Token *tok=0;if (!hasError) {
@@ -2661,7 +2660,7 @@ QCString VhdlParser::decimal_literal() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::delay_mechanism() {QCString s;
@@ -2718,7 +2717,7 @@ return s+" inertial ";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::design_file() {
@@ -2773,7 +2772,7 @@ void VhdlParser::design_file() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::design_unit() {if (!hasError) {
@@ -2785,7 +2784,7 @@ void VhdlParser::design_unit() {if (!hasError) {
library_unit();
}
-}
+ }
QCString VhdlParser::designator() {QCString s;
@@ -2819,7 +2818,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::direction() {Token *tok=0;
@@ -2852,7 +2851,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::disconnection_specification() {if (!hasError) {
@@ -2876,7 +2875,7 @@ void VhdlParser::disconnection_specification() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::guarded_signal_specificatio() {if (!hasError) {
@@ -2892,7 +2891,7 @@ void VhdlParser::guarded_signal_specificatio() {if (!hasError) {
name();
}
-}
+ }
QCString VhdlParser::discrete_range() {QCString s;
@@ -2919,7 +2918,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::element_association() {QCString s,s1;if (!hasError) {
@@ -2946,7 +2945,7 @@ if(!s.isEmpty())
return s+"=>"+s1;
return s1;
assert(false);
-}
+ }
QCString VhdlParser::element_declaration() {QCString s,s1;if (!hasError) {
@@ -2970,7 +2969,7 @@ addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,s1.data(
//addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return s+":"+s1;
assert(false);
-}
+ }
QCString VhdlParser::entity_aspect() {Token *tok=0;QCString s,s1;
@@ -3049,7 +3048,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::entity_class() {
@@ -3247,7 +3246,7 @@ return "file";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::entity_class_entry() {QCString s;if (!hasError) {
@@ -3276,7 +3275,7 @@ s+="<>";
return s;
assert(false);
-}
+ }
QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;if (!hasError) {
@@ -3320,7 +3319,7 @@ s2+=",";s2+=s;
return s1+s2;
assert(false);
-}
+ }
void VhdlParser::entity_declaration() {QCString s;if (!hasError) {
@@ -3411,7 +3410,7 @@ lastEntity=current;
}
lastEntity=0;lastCompound=0; genLabels.resize(0);
-}
+ }
void VhdlParser::entity_declarative_item() {
@@ -3556,7 +3555,7 @@ void VhdlParser::entity_declarative_item() {
}
}
}
-}
+ }
void VhdlParser::entity_declarative_part() {if (!hasError) {
@@ -3596,7 +3595,7 @@ void VhdlParser::entity_declarative_part() {if (!hasError) {
end_label_16: ;
}
-}
+ }
QCString VhdlParser::entity_designator() {QCString s,s1;if (!hasError) {
@@ -3621,7 +3620,7 @@ QCString VhdlParser::entity_designator() {QCString s,s1;if (!hasError) {
return s+s1;
assert(false);
-}
+ }
void VhdlParser::entity_header() {if (!hasError) {
@@ -3663,7 +3662,7 @@ currP=VhdlDocGen::PORT;
}
}
-}
+ }
QCString VhdlParser::entity_name_list() {QCString s,s1;
@@ -3734,7 +3733,7 @@ return "all";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::entity_specification() {QCString s,s1;if (!hasError) {
@@ -3752,7 +3751,7 @@ QCString VhdlParser::entity_specification() {QCString s,s1;if (!hasError) {
return s+":"+s1;
assert(false);
-}
+ }
void VhdlParser::entity_statement() {
@@ -3785,7 +3784,7 @@ void VhdlParser::entity_statement() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::entity_statement_part() {if (!hasError) {
@@ -3814,7 +3813,7 @@ void VhdlParser::entity_statement_part() {if (!hasError) {
end_label_18: ;
}
-}
+ }
QCString VhdlParser::entity_tag() {QCString s;
@@ -3850,7 +3849,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::enumeration_literal() {QCString s;
@@ -3884,7 +3883,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::enumeration_type_definition() {QCString s,s1;if (!hasError) {
@@ -3929,7 +3928,7 @@ s+=",";s+=s1;
return "("+s+")";
assert(false);
-}
+ }
QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
@@ -4003,7 +4002,7 @@ lab.resize(0);
return s+s1+s2+";";
assert(false);
-}
+ }
QCString VhdlParser::expression() {QCString s,s1,s2;if (!hasError) {
@@ -4045,7 +4044,7 @@ s+=s1;s+=s2;
return s;
assert(false);
-}
+ }
QCString VhdlParser::logop() {
@@ -4122,7 +4121,7 @@ return "or" ;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::extended_identifier() {Token *t;if (!hasError) {
@@ -4132,7 +4131,7 @@ QCString VhdlParser::extended_identifier() {Token *t;if (!hasError) {
return t->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::factor() {QCString s,s1;
@@ -4218,7 +4217,7 @@ s1="not ";return s1+s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;if (!hasError) {
@@ -4262,7 +4261,7 @@ QCString t1=s2+" "+s3;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,t1.data(),Public);
return " file "+s+":"+s2+" "+s3+";";
assert(false);
-}
+ }
QCString VhdlParser::file_logical_name() {QCString s;if (!hasError) {
@@ -4272,7 +4271,7 @@ QCString VhdlParser::file_logical_name() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::file_open_information() {QCString s,s1,s2;if (!hasError) {
@@ -4305,7 +4304,7 @@ QCString VhdlParser::file_open_information() {QCString s,s1,s2;if (!hasError) {
s2="open "+s+" is "+s1; return s2;
assert(false);
-}
+ }
QCString VhdlParser::file_type_definition() {QCString s,s1;if (!hasError) {
@@ -4323,7 +4322,7 @@ QCString VhdlParser::file_type_definition() {QCString s,s1;if (!hasError) {
s1=" file of "+s; return s1;
assert(false);
-}
+ }
QCString VhdlParser::floating_type_definition() {QCString s;if (!hasError) {
@@ -4333,7 +4332,7 @@ QCString VhdlParser::floating_type_definition() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::formal_designator() {QCString s;Token *tok=0;
@@ -4369,7 +4368,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::formal_parameter_list() {QCString s;if (!hasError) {
@@ -4379,7 +4378,7 @@ QCString VhdlParser::formal_parameter_list() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::formal_part() {QCString s,s1;if (!hasError) {
@@ -4416,7 +4415,7 @@ s+"("+s1+")";
return s;
assert(false);
-}
+ }
QCString VhdlParser::full_type_declaration() {Entry *tmpEntry;QCString s,s1,s2;if (!hasError) {
@@ -4472,7 +4471,7 @@ if (s2.contains("#")) {
tmpEntry=0;
return "type "+s+" is "+s2+";";
assert(false);
-}
+ }
QCString VhdlParser::function_call() {QCString s,s1;if (!hasError) {
@@ -4494,7 +4493,7 @@ QCString VhdlParser::function_call() {QCString s,s1;if (!hasError) {
return s+"("+s1+")";
assert(false);
-}
+ }
void VhdlParser::generate_statement() {QCString s;if (!hasError) {
@@ -4558,7 +4557,7 @@ error_skipto(GENERATE_T);
}
genLabels=popLabel(genLabels);
-}
+ }
void VhdlParser::generate_scheme() {
@@ -4590,7 +4589,7 @@ void VhdlParser::generate_scheme() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::generic_clause() {QCString s;if (!hasError) {
@@ -4619,7 +4618,7 @@ parse_sec=GEN_SEC;
}
parse_sec=0;
-}
+ }
QCString VhdlParser::generic_list() {QCString s;if (!hasError) {
@@ -4629,7 +4628,7 @@ QCString VhdlParser::generic_list() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
void VhdlParser::generic_map_aspect() {if (!hasError) {
@@ -4653,7 +4652,7 @@ void VhdlParser::generic_map_aspect() {if (!hasError) {
jj_consume_token(RPAREN_T);
}
-}
+ }
QCString VhdlParser::group_constituent() {QCString s;
@@ -4689,7 +4688,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;if (!hasError) {
@@ -4729,7 +4728,7 @@ s2+=",";s2+=s1;
return s+s2;
assert(false);
-}
+ }
QCString VhdlParser::group_declaration() {QCString s,s1,s2;if (!hasError) {
@@ -4767,7 +4766,7 @@ QCString VhdlParser::group_declaration() {QCString s,s1,s2;if (!hasError) {
return "group "+s+":"+s1+"("+s2+");";
assert(false);
-}
+ }
QCString VhdlParser::group_template_declaration() {QCString s,s1;if (!hasError) {
@@ -4801,7 +4800,7 @@ QCString VhdlParser::group_template_declaration() {QCString s,s1;if (!hasError)
return "group "+s+ "is ("+s1+");";
assert(false);
-}
+ }
void VhdlParser::guarded_signal_specification() {if (!hasError) {
@@ -4817,7 +4816,7 @@ void VhdlParser::guarded_signal_specification() {if (!hasError) {
type_mark();
}
-}
+ }
QCString VhdlParser::identifier() {Token *tok=0;
@@ -4850,7 +4849,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::identifier_list() {QCString str,str1;if (!hasError) {
@@ -4887,7 +4886,7 @@ str+=",";str+=str1;
return str;
assert(false);
-}
+ }
void VhdlParser::if_statement() {QCString s,s1;if (!hasError) {
@@ -5021,7 +5020,7 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::ENDIF_NO,0,0);
-}
+ }
QCString VhdlParser::incomplete_type_declaration() {QCString s;if (!hasError) {
@@ -5039,7 +5038,7 @@ QCString VhdlParser::incomplete_type_declaration() {QCString s;if (!hasError) {
return "type "+s+";";
assert(false);
-}
+ }
QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;if (!hasError) {
@@ -5088,7 +5087,7 @@ s+=",";s+=s1;
return s+")";
assert(false);
-}
+ }
QCString VhdlParser::index_specification() {QCString s;
@@ -5136,7 +5135,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::index_subtype_definition() {QCString s;if (!hasError) {
@@ -5154,7 +5153,7 @@ QCString VhdlParser::index_subtype_definition() {QCString s;if (!hasError) {
return s+" range <> ";
assert(false);
-}
+ }
QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok;
@@ -5269,7 +5268,7 @@ s1="configuration ";return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::instantiation_list() {QCString s;Token *tok=0;
@@ -5314,7 +5313,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::integer() {Token *t;if (!hasError) {
@@ -5324,7 +5323,7 @@ QCString VhdlParser::integer() {Token *t;if (!hasError) {
return t->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::integer_type_definition() {QCString s;if (!hasError) {
@@ -5334,7 +5333,7 @@ QCString VhdlParser::integer_type_definition() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::interface_declaration() {QCString s,s1;
@@ -5423,7 +5422,7 @@ if (parse_sec==GEN_SEC)
}
}
assert(false);
-}
+ }
QCString VhdlParser::interface_element() {QCString s;if (!hasError) {
@@ -5433,7 +5432,7 @@ QCString VhdlParser::interface_element() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::interface_file_declaration() {QCString s,s1;if (!hasError) {
@@ -5456,7 +5455,7 @@ QCString VhdlParser::interface_file_declaration() {QCString s,s1;if (!hasError)
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return " file "+s+":"+s1;
assert(false);
-}
+ }
QCString VhdlParser::interface_list() {QCString s,s1,s2;if (!hasError) {
@@ -5493,7 +5492,7 @@ s2+=";";s2+=s1;
return s+s2;
assert(false);
-}
+ }
QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;if (!hasError) {
@@ -5641,7 +5640,7 @@ if(tok)
} // if component
return it;
assert(false);
-}
+ }
QCString VhdlParser::iteration_scheme() {QCString s;
@@ -5688,7 +5687,7 @@ QCString q=lab+" for "+s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::label() {QCString s;if (!hasError) {
@@ -5698,7 +5697,7 @@ QCString VhdlParser::label() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::library_clause() {QCString s;if (!hasError) {
@@ -5724,7 +5723,7 @@ if ( parse_sec==0 && Config_getBool(SHOW_INCLUDE_FILES) )
QCString s1="library "+s;
return s1;
assert(false);
-}
+ }
QCString VhdlParser::library_unit() {QCString s;
@@ -5765,7 +5764,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::literal() {QCString s;
@@ -5827,7 +5826,7 @@ return "null";
}
}
assert(false);
-}
+ }
QCString VhdlParser::logical_operator() {QCString s;if (!hasError) {
@@ -5837,7 +5836,7 @@ QCString VhdlParser::logical_operator() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;if (!hasError) {
@@ -5928,7 +5927,7 @@ QCString q = s+" loop "+s2+" end loop" +s3;
FlowChart::addFlowChart(FlowChart::END_LOOP,endLoop.data(),0);
return q;
assert(false);
-}
+ }
QCString VhdlParser::miscellaneous_operator() {Token *t=0;
@@ -5972,7 +5971,7 @@ return "not";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::mode() {Token *tok=0;
@@ -6038,7 +6037,7 @@ return "linkage";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::multiplying_operation() {Token *tok=0;
@@ -6093,7 +6092,7 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::name() {QCString s,s1;if (!hasError) {
@@ -6145,7 +6144,7 @@ s+=s1;
return s;
assert(false);
-}
+ }
QCString VhdlParser::name_ext1() {QCString s,s1,s2;if (!hasError) {
@@ -6174,7 +6173,7 @@ s+=s1;
return s;
assert(false);
-}
+ }
QCString VhdlParser::name_ext() {QCString s,s1,s2;if (!hasError) {
@@ -6274,7 +6273,7 @@ s+=")";
return s;
assert(false);
-}
+ }
QCString VhdlParser::test_att_name() {QCString s,s1;if (!hasError) {
@@ -6334,7 +6333,7 @@ s+="(";s+=s1;s+=")";
return s;
assert(false);
-}
+ }
QCString VhdlParser::indexed_name() {QCString s,s1,s2;if (!hasError) {
@@ -6387,7 +6386,7 @@ s+=",";s+=s1;
return s+")";
assert(false);
-}
+ }
QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
@@ -6460,7 +6459,7 @@ if(t) s+=":";
if(t1) s2.prepend("when ");
return s+s1+s2+";";
assert(false);
-}
+ }
QCString VhdlParser::null_statement() {QCString s;if (!hasError) {
@@ -6498,7 +6497,7 @@ s+=":";
return s+="null";
assert(false);
-}
+ }
QCString VhdlParser::numeric_literal() {QCString s;
@@ -6533,7 +6532,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::object_class() {
@@ -6614,7 +6613,7 @@ return "type";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::operator_symbol() {Token *tok=0;if (!hasError) {
@@ -6624,7 +6623,7 @@ QCString VhdlParser::operator_symbol() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
void VhdlParser::options() {if (!hasError) {
@@ -6660,7 +6659,7 @@ void VhdlParser::options() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::package_body() {QCString s;if (!hasError) {
@@ -6736,7 +6735,7 @@ lastCompound=current;
}
lastCompound=0; genLabels.resize(0);
-}
+ }
void VhdlParser::package_body_declarative_item() {
@@ -6824,7 +6823,7 @@ void VhdlParser::package_body_declarative_item() {
}
}
}
-}
+ }
void VhdlParser::package_body_declarative_part() {if (!hasError) {
@@ -6859,7 +6858,7 @@ void VhdlParser::package_body_declarative_part() {if (!hasError) {
end_label_29: ;
}
-}
+ }
void VhdlParser::package_declaration() {QCString s;if (!hasError) {
@@ -6934,7 +6933,7 @@ lastCompound=current;
}
lastEntity=0;lastCompound=0; genLabels.resize(0);
-}
+ }
void VhdlParser::geninter() {if (!hasError) {
@@ -6976,7 +6975,7 @@ void VhdlParser::geninter() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::package_declarative_item() {
@@ -7121,7 +7120,7 @@ void VhdlParser::package_declarative_item() {
}
}
}
-}
+ }
void VhdlParser::package_declarative_part() {if (!hasError) {
@@ -7161,7 +7160,7 @@ void VhdlParser::package_declarative_part() {if (!hasError) {
end_label_30: ;
}
-}
+ }
QCString VhdlParser::parameter_specification() {QCString s,s1;if (!hasError) {
@@ -7179,7 +7178,7 @@ QCString VhdlParser::parameter_specification() {QCString s,s1;if (!hasError) {
return s+" in "+s1;
assert(false);
-}
+ }
QCString VhdlParser::physical_literal() {QCString s,s1;if (!hasError) {
@@ -7200,7 +7199,7 @@ QCString VhdlParser::physical_literal() {QCString s,s1;if (!hasError) {
s+=" ";s+=s1;s.prepend(" "); return s;
assert(false);
-}
+ }
QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;if (!hasError) {
@@ -7268,7 +7267,7 @@ addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public)
return s+"%";
assert(false);
-}
+ }
void VhdlParser::port_clause() {if (!hasError) {
@@ -7293,7 +7292,7 @@ void VhdlParser::port_clause() {if (!hasError) {
}
currP=0;
-}
+ }
QCString VhdlParser::port_list() {QCString s;if (!hasError) {
@@ -7303,7 +7302,7 @@ QCString VhdlParser::port_list() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
void VhdlParser::port_map_aspect() {if (!hasError) {
@@ -7327,7 +7326,7 @@ void VhdlParser::port_map_aspect() {if (!hasError) {
jj_consume_token(RPAREN_T);
}
-}
+ }
QCString VhdlParser::primary() {QCString s,s1;
@@ -7424,7 +7423,7 @@ return s;
}
}
assert(false);
-}
+ }
void VhdlParser::primary_unit() {
@@ -7471,7 +7470,7 @@ void VhdlParser::primary_unit() {
}
}
}
-}
+ }
QCString VhdlParser::procedure_call() {QCString s,s1;if (!hasError) {
@@ -7508,7 +7507,7 @@ s1.prepend("("); s1.append(")");
return s+s1;
assert(false);
-}
+ }
QCString VhdlParser::procedure_call_statement() {QCString s,s1;if (!hasError) {
@@ -7541,7 +7540,7 @@ s+=":";
return s+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::process_declarative_item() {QCString s;
@@ -7696,7 +7695,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::process_declarative_part() {QCString s,s1;if (!hasError) {
@@ -7738,7 +7737,7 @@ s+=s1;
return s;
assert(false);
-}
+ }
void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;if (!hasError) {
@@ -7923,7 +7922,7 @@ if(s.isEmpty())
createFlow();
currName="";
newEntry();
-}
+ }
void VhdlParser::process_statement_part() {if (!hasError) {
@@ -7963,7 +7962,7 @@ void VhdlParser::process_statement_part() {if (!hasError) {
end_label_33: ;
}
-}
+ }
QCString VhdlParser::qualified_expression() {QCString s,s1;if (!hasError) {
@@ -8020,7 +8019,7 @@ s+="(";s+=s1;s+=")";
return s;
assert(false);
-}
+ }
QCString VhdlParser::range() {QCString s,s1,s2;
@@ -8055,7 +8054,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::range_constraint() {QCString s,s1;if (!hasError) {
@@ -8069,7 +8068,7 @@ QCString VhdlParser::range_constraint() {QCString s,s1;if (!hasError) {
return " range "+s;
assert(false);
-}
+ }
void VhdlParser::record_type_definition() {if (!hasError) {
@@ -8123,7 +8122,7 @@ void VhdlParser::record_type_definition() {if (!hasError) {
}
}
-}
+ }
QCString VhdlParser::relation() {QCString s,s1,s2;if (!hasError) {
@@ -8157,7 +8156,7 @@ QCString VhdlParser::relation() {QCString s,s1,s2;if (!hasError) {
return s+s1+s2;
assert(false);
-}
+ }
QCString VhdlParser::relation_operator() {
@@ -8234,7 +8233,7 @@ return "/=";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
@@ -8294,7 +8293,7 @@ if(t) s.append(":");
if(t1) s2.prepend(" severity ");
return s+s1+s2+";";
assert(false);
-}
+ }
QCString VhdlParser::return_statement() {QCString s,s1;if (!hasError) {
@@ -8362,7 +8361,7 @@ s+=":";
return s+" return "+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::scalar_type_definition() {QCString s,s1;
@@ -8406,7 +8405,7 @@ return s+" "+s1+"%";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::secondary_unit() {
@@ -8430,7 +8429,7 @@ void VhdlParser::secondary_unit() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;if (!hasError) {
@@ -8455,7 +8454,7 @@ QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;if (!hasError)
return s+"="+s1;
assert(false);
-}
+ }
QCString VhdlParser::selected_name() {QCString s,s1;if (!hasError) {
@@ -8473,7 +8472,7 @@ QCString VhdlParser::selected_name() {QCString s,s1;if (!hasError) {
return s+"."+s1;
assert(false);
-}
+ }
void VhdlParser::selected_signal_assignment() {if (!hasError) {
@@ -8509,7 +8508,7 @@ void VhdlParser::selected_signal_assignment() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::selected_waveforms() {if (!hasError) {
@@ -8556,7 +8555,7 @@ void VhdlParser::selected_waveforms() {if (!hasError) {
end_label_35: ;
}
-}
+ }
QCString VhdlParser::sensitivity_clause() {QCString s;if (!hasError) {
@@ -8571,7 +8570,7 @@ QCString VhdlParser::sensitivity_clause() {QCString s;if (!hasError) {
s.prepend(" on ");
return s;
assert(false);
-}
+ }
QCString VhdlParser::sensitivity_list() {QCString s,s1;if (!hasError) {
@@ -8608,7 +8607,7 @@ s+=",";s+=s1;
return s;
assert(false);
-}
+ }
QCString VhdlParser::sequence_of_statement() {QCString s,s1;if (!hasError) {
@@ -8633,7 +8632,7 @@ s+=s1;
return s;
assert(false);
-}
+ }
QCString VhdlParser::sequential_statement() {QCString s;
@@ -8767,7 +8766,7 @@ FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::shift_expression() {QCString s,s1,s2;if (!hasError) {
@@ -8801,7 +8800,7 @@ QCString VhdlParser::shift_expression() {QCString s,s1,s2;if (!hasError) {
return s+s1+s2;
assert(false);
-}
+ }
QCString VhdlParser::shift_operator() {
@@ -8878,7 +8877,7 @@ return "ror";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::sign() {
@@ -8911,7 +8910,7 @@ return "-";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::signal_assignment_statement() {QCString s,s1,s2,s3;
@@ -9005,7 +9004,7 @@ return s+s1+"<="+s2+s3+";";
}
}
assert(false);
-}
+ }
void VhdlParser::semi() {if (!hasError) {
@@ -9013,7 +9012,7 @@ void VhdlParser::semi() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;if (!hasError) {
@@ -9076,7 +9075,7 @@ if(tok)
s3.prepend(":=");
s4=s1+s2+s3;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::SIGNAL,0,s4.data(),Public);
-}
+ }
QCString VhdlParser::signal_kind() {
@@ -9109,7 +9108,7 @@ return "bus";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::signal_list() {QCString s,s1;
@@ -9179,7 +9178,7 @@ return "all";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::signature() {QCString s,s1,s2;if (!hasError) {
@@ -9261,7 +9260,7 @@ s+="return ";s+=s1;
s1="["+s+"]";return s1;
assert(false);
-}
+ }
QCString VhdlParser::simple_expression() {QCString s,s1,s2;if (!hasError) {
@@ -9314,7 +9313,7 @@ s+=s1;s+=s2;
return s;
assert(false);
-}
+ }
void VhdlParser::simple_name() {if (!hasError) {
@@ -9322,7 +9321,7 @@ void VhdlParser::simple_name() {if (!hasError) {
name();
}
-}
+ }
QCString VhdlParser::slice_name() {QCString s,s1;if (!hasError) {
@@ -9344,7 +9343,7 @@ QCString VhdlParser::slice_name() {QCString s,s1;if (!hasError) {
return s+"("+s1+")";
assert(false);
-}
+ }
QCString VhdlParser::string_literal() {Token *tok=0;if (!hasError) {
@@ -9354,7 +9353,7 @@ QCString VhdlParser::string_literal() {Token *tok=0;if (!hasError) {
return tok->image.c_str();
assert(false);
-}
+ }
void VhdlParser::subprogram_body() {QCString s;if (!hasError) {
@@ -9427,7 +9426,7 @@ if (s.data())
tempEntry->endBodyLine=getLine(END_T);
createFlow();
currP=0;
-}
+ }
void VhdlParser::subprogram_declaration() {
@@ -9462,7 +9461,7 @@ currP=0;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::subprogram_1() {
@@ -9486,7 +9485,7 @@ void VhdlParser::subprogram_1() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
QCString VhdlParser::subprogram_declarative_item() {QCString s;
@@ -9652,7 +9651,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;if (!hasError) {
@@ -9695,7 +9694,7 @@ s+=s1;
return s;
assert(false);
-}
+ }
void VhdlParser::subprogram_kind() {
@@ -9719,7 +9718,7 @@ void VhdlParser::subprogram_kind() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::subprogram_specification() {QCString s;Token *tok=0;Token *t;
@@ -9913,7 +9912,7 @@ tempEntry=current;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::subprogram_statement_part() {if (!hasError) {
@@ -9953,7 +9952,7 @@ void VhdlParser::subprogram_statement_part() {if (!hasError) {
end_label_42: ;
}
-}
+ }
QCString VhdlParser::subtype_declaration() {QCString s,s1;if (!hasError) {
@@ -9980,7 +9979,7 @@ QCString VhdlParser::subtype_declaration() {QCString s,s1;if (!hasError) {
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::SUBTYPE,0,s1.data(),Public);
return " subtype "+s+" is "+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::subtype_indication() {QCString s,s1,s2;if (!hasError) {
@@ -10012,7 +10011,7 @@ QCString VhdlParser::subtype_indication() {QCString s,s1,s2;if (!hasError) {
return s+" "+s1+" "+s2;
assert(false);
-}
+ }
QCString VhdlParser::suffix() {QCString s;
@@ -10067,7 +10066,7 @@ return " all ";
}
}
assert(false);
-}
+ }
QCString VhdlParser::target() {QCString s;
@@ -10103,7 +10102,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::term() {QCString s,s1,s2;if (!hasError) {
@@ -10136,7 +10135,7 @@ s+=s1;s+=s2;
return s;
assert(false);
-}
+ }
QCString VhdlParser::timeout_clause() {QCString s;if (!hasError) {
@@ -10150,7 +10149,7 @@ QCString VhdlParser::timeout_clause() {QCString s;if (!hasError) {
return " for "+s;
assert(false);
-}
+ }
QCString VhdlParser::type_conversion() {QCString s,s1;if (!hasError) {
@@ -10172,7 +10171,7 @@ QCString VhdlParser::type_conversion() {QCString s,s1;if (!hasError) {
return s+"("+s1+")";
assert(false);
-}
+ }
QCString VhdlParser::type_declaration() {QCString s;
@@ -10205,7 +10204,7 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::type_definition() {QCString s;
@@ -10289,7 +10288,7 @@ return "";
}
}
assert(false);
-}
+ }
QCString VhdlParser::type_mark() {QCString s;if (!hasError) {
@@ -10299,7 +10298,7 @@ QCString VhdlParser::type_mark() {QCString s;if (!hasError) {
return s;
assert(false);
-}
+ }
QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;if (!hasError) {
@@ -10356,7 +10355,7 @@ s3+=",";s3+=s1;
return "array("+s+s3+") of "+s2;
assert(false);
-}
+ }
QCString VhdlParser::use_clause() {QCString s,s1;if (!hasError) {
@@ -10412,7 +10411,7 @@ QStringList ql1=QStringList::split(",",s,FALSE);
s1="use "+s;
return s1;
assert(false);
-}
+ }
QCString VhdlParser::variable_assignment_statement() {QCString s,s1,s2;
@@ -10480,7 +10479,7 @@ return "";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
@@ -10559,7 +10558,7 @@ int spec;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,spec,0,it.data(),Public);
return val;
assert(false);
-}
+ }
QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasError) {
@@ -10639,7 +10638,7 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasEr
if(t) s.append(":");
return s+" wait "+s1+s2+s3+";";
assert(false);
-}
+ }
QCString VhdlParser::waveform() {QCString s,s1;
@@ -10714,7 +10713,7 @@ return " unaffected ";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::waveform_element() {QCString s,s1;if (!hasError) {
@@ -10747,7 +10746,7 @@ s1.prepend(" after ");
return s+s1;
assert(false);
-}
+ }
QCString VhdlParser::protected_type_body() {if (!hasError) {
@@ -10793,7 +10792,7 @@ QCString VhdlParser::protected_type_body() {if (!hasError) {
return "";
assert(false);
-}
+ }
void VhdlParser::protected_type_body_declarative_item() {
@@ -10906,7 +10905,7 @@ void VhdlParser::protected_type_body_declarative_item() {
}
}
}
-}
+ }
void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
@@ -10943,7 +10942,7 @@ void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
end_label_47: ;
}
-}
+ }
QCString VhdlParser::protected_type_declaration() {if (!hasError) {
@@ -10988,7 +10987,7 @@ error_skipto(END_T);
return "";
assert(false);
-}
+ }
void VhdlParser::protected_type_declarative_item() {
@@ -11022,7 +11021,7 @@ void VhdlParser::protected_type_declarative_item() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::protected_type_declarative_part() {if (!hasError) {
@@ -11054,7 +11053,7 @@ void VhdlParser::protected_type_declarative_part() {if (!hasError) {
end_label_48: ;
}
-}
+ }
QCString VhdlParser::context_ref() {QCString s;if (!hasError) {
@@ -11072,7 +11071,7 @@ QCString VhdlParser::context_ref() {QCString s;if (!hasError) {
return "context "+s ;
assert(false);
-}
+ }
void VhdlParser::context_declaration() {QCString s,s1;if (!hasError) {
@@ -11154,7 +11153,7 @@ parse_sec=CONTEXT_SEC;
parse_sec=0;
addVhdlType(s.data(),getLine(LIBRARY_T),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,"context",s1.data(),Public);
-}
+ }
QCString VhdlParser::libustcont_stats() {QCString s;
@@ -11198,7 +11197,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
@@ -11247,7 +11246,7 @@ void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;if (!hasE
QCString q=" is new "+s1+s2;
addVhdlType(s.data(),getLine(PACKAGE_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"package",q.data(),Public);
-}
+ }
QCString VhdlParser::interface_package_declaration() {QCString s,s1;if (!hasError) {
@@ -11289,7 +11288,7 @@ QCString VhdlParser::interface_package_declaration() {QCString s,s1;if (!hasErro
current->name=s;
return "package "+s+" is new "+s1;
assert(false);
-}
+ }
QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
@@ -11340,7 +11339,7 @@ QCString q= " is new "+s1+s2;
addVhdlType(s.data(),getLine(FUNCTION_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"function ",q.data(),Public);
return q;
assert(false);
-}
+ }
void VhdlParser::gen_assoc_list() {if (!hasError) {
@@ -11364,7 +11363,7 @@ void VhdlParser::gen_assoc_list() {if (!hasError) {
jj_consume_token(RPAREN_T);
}
-}
+ }
void VhdlParser::gen_interface_list() {if (!hasError) {
@@ -11394,7 +11393,7 @@ void VhdlParser::gen_interface_list() {if (!hasError) {
jj_consume_token(RPAREN_T);
}
-}
+ }
void VhdlParser::case_scheme() {if (!hasError) {
@@ -11441,7 +11440,7 @@ void VhdlParser::case_scheme() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::when_stats() {if (!hasError) {
@@ -11491,7 +11490,7 @@ void VhdlParser::when_stats() {if (!hasError) {
end_label_50: ;
}
-}
+ }
void VhdlParser::ttend() {if (!hasError) {
@@ -11519,7 +11518,7 @@ void VhdlParser::ttend() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::generate_statement_body() {if (!hasError) {
@@ -11531,7 +11530,7 @@ void VhdlParser::generate_statement_body() {if (!hasError) {
generate_statement_body();
}
-}
+ }
void VhdlParser::generate_statement_body1() {if (!hasError) {
@@ -11612,7 +11611,7 @@ void VhdlParser::generate_statement_body1() {if (!hasError) {
end_label_52: ;
}
-}
+ }
QCString VhdlParser::external_name() {QCString s,s1,s2;if (!hasError) {
@@ -11644,7 +11643,7 @@ QCString t="<<"+s;
QCString t1=s1+":"+s2+">>";
return s+s1;
assert(false);
-}
+ }
QCString VhdlParser::sig_stat() {Token *t;
@@ -11688,7 +11687,7 @@ return t->image.data();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::external_pathname() {QCString s;
@@ -11732,7 +11731,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::absolute_pathname() {QCString s,s1;
@@ -11777,7 +11776,7 @@ return "."+s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::relative_pathname() {QCString s,s1,s2;if (!hasError) {
@@ -11802,7 +11801,7 @@ QCString VhdlParser::relative_pathname() {QCString s,s1,s2;if (!hasError) {
return s+s1+s2;
assert(false);
-}
+ }
QCString VhdlParser::neg_list() {QCString s;if (!hasError) {
@@ -11835,7 +11834,7 @@ s+="^.";
return s;
assert(false);
-}
+ }
QCString VhdlParser::pathname_element() {QCString s,s1;if (!hasError) {
@@ -11871,7 +11870,7 @@ if(!s1.isEmpty())
return s;
assert(false);
-}
+ }
QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;if (!hasError) {
@@ -11915,7 +11914,7 @@ s2+=s1;s2+=".";
return s+s2;
assert(false);
-}
+ }
QCString VhdlParser::package_path_name() {QCString s;if (!hasError) {
@@ -11929,7 +11928,7 @@ QCString VhdlParser::package_path_name() {QCString s;if (!hasError) {
return "@"+s;
assert(false);
-}
+ }
void VhdlParser::conditional_signal_assignment_wave() {
@@ -11957,7 +11956,7 @@ void VhdlParser::conditional_signal_assignment_wave() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
@@ -12017,7 +12016,7 @@ void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::else_wave_list() {if (!hasError) {
@@ -12048,7 +12047,7 @@ void VhdlParser::else_wave_list() {if (!hasError) {
}
}
-}
+ }
void VhdlParser::conditional_force_assignment() {if (!hasError) {
@@ -12126,7 +12125,7 @@ void VhdlParser::conditional_force_assignment() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::selected_signal_assignment_wave() {
@@ -12150,7 +12149,7 @@ void VhdlParser::selected_signal_assignment_wave() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::selected_variable_assignment() {if (!hasError) {
@@ -12193,7 +12192,7 @@ void VhdlParser::selected_variable_assignment() {if (!hasError) {
sel_var_list();
}
-}
+ }
void VhdlParser::select_name() {
@@ -12220,7 +12219,7 @@ void VhdlParser::select_name() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::selected_waveform_assignment() {if (!hasError) {
@@ -12280,7 +12279,7 @@ void VhdlParser::selected_waveform_assignment() {if (!hasError) {
sel_wave_list();
}
-}
+ }
void VhdlParser::selected_force_assignment() {if (!hasError) {
@@ -12343,7 +12342,7 @@ void VhdlParser::selected_force_assignment() {if (!hasError) {
sel_var_list();
}
-}
+ }
void VhdlParser::sel_var_list() {if (!hasError) {
@@ -12431,7 +12430,7 @@ void VhdlParser::sel_var_list() {if (!hasError) {
end_label_55: ;
}
-}
+ }
void VhdlParser::sel_wave_list() {if (!hasError) {
@@ -12474,7 +12473,7 @@ void VhdlParser::sel_wave_list() {if (!hasError) {
jj_consume_token(SEMI_T);
}
-}
+ }
void VhdlParser::inout_stat() {
@@ -12498,7 +12497,7 @@ void VhdlParser::inout_stat() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::else_stat() {if (!hasError) {
@@ -12544,7 +12543,7 @@ void VhdlParser::else_stat() {if (!hasError) {
end_label_57: ;
}
-}
+ }
QCString VhdlParser::interface_subprogram_declaration() {QCString s;
@@ -12579,7 +12578,7 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::iproc() {QCString s,s1;if (!hasError) {
@@ -12598,7 +12597,7 @@ QCString VhdlParser::iproc() {QCString s,s1;if (!hasError) {
current->name=s;
return "procedure "+s+s1;
assert(false);
-}
+ }
QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;if (!hasError) {
@@ -12712,7 +12711,7 @@ QCString q;
}
currP=0;return "";
assert(false);
-}
+ }
QCString VhdlParser::param() {QCString s,s1;Token *tok=0;if (!hasError) {
@@ -12766,7 +12765,7 @@ if(tok)
param_sec=0;
return s+"("+s1+")";
assert(false);
-}
+ }
void VhdlParser::parseInline() {
@@ -12796,21 +12795,31 @@ void VhdlParser::parseInline() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
VhdlParser::VhdlParser(TokenManager *tm){
head = NULL;
- errorHandlerCreated = false;
ReInit(tm);
}
-VhdlParser::~VhdlParser()
+ VhdlParser::~VhdlParser()
{
- clear();
+ if (token_source) delete token_source;
+ if (head) {
+ Token *next, *t = head;
+ while (t) {
+ next = t->next;
+ delete t;
+ t = next;
+ }
+ }
+ if (errorHandlerCreated) {
+ delete errorHandler;
+ }
}
void VhdlParser::ReInit(TokenManager *tm){
- clear();
+ if (head) delete head;
errorHandler = new ErrorHandler();
errorHandlerCreated = true;
hasError = false;
@@ -12832,24 +12841,6 @@ void VhdlParser::ReInit(TokenManager *tm){
}
-void VhdlParser::clear(){
- //Since token manager was generate from outside,
- //parser should not take care of deleting
- //if (token_source) delete token_source;
- if (head) {
- Token *next, *t = head;
- while (t) {
- next = t->next;
- delete t;
- t = next;
- }
- }
- if (errorHandlerCreated) {
- delete errorHandler;
- }
-}
-
-
Token * VhdlParser::jj_consume_token(int kind) {
Token *oldToken;
if ((oldToken = token)->next != NULL) token = token->next;
@@ -12872,8 +12863,7 @@ Token * VhdlParser::jj_consume_token(int kind) {
token = oldToken;
jj_kind = kind;
JAVACC_STRING_TYPE image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
- errorHandler->handleUnexpectedToken(kind, image.substr(1, image.size() - 2), getToken(1), this);
- hasError = true;
+ errorHandler->handleUnexpectedToken(kind, image.substr(1, image.size() - 2), getToken(1), this), hasError = true;
return token;
}
@@ -12930,23 +12920,26 @@ int VhdlParser::jj_ntk_f(){
}
- void VhdlParser::jj_add_error_token(int kind, int pos) {
+void VhdlParser::jj_add_error_token(int kind, int pos) {
}
+ /** Generate ParseException. */
void VhdlParser::parseError() {
fprintf(stderr, "Parse error at: %d:%d, after token: %s encountered: %s\n", token->beginLine, token->beginColumn, addUnicodeEscapes(token->image).c_str(), addUnicodeEscapes(getToken(1)->image).c_str());
}
- void VhdlParser::enable_tracing() {
+ void VhdlParser::enable_tracing() {
}
- void VhdlParser::disable_tracing() {
+ /** Disable tracing. */
+
+ void VhdlParser::disable_tracing() {
}
- void VhdlParser::jj_rescan_token(){
+void VhdlParser::jj_rescan_token(){
jj_rescan = true;
for (int i = 0; i < 115; i++) {
JJCalls *p = &jj_2_rtns[i];
@@ -13078,7 +13071,7 @@ int VhdlParser::jj_ntk_f(){
}
- void VhdlParser::jj_save(int index, int xla){
+void VhdlParser::jj_save(int index, int xla){
JJCalls *p = &jj_2_rtns[index];
while (p->gen > jj_gen) {
if (p->next == NULL) { p = p->next = new JJCalls(); break; }