summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--cmake/git_watcher.cmake1
-rw-r--r--doc/commands.doc77
-rw-r--r--doc/docblocks.doc2
-rw-r--r--doc/index.hhp.txt8
-rw-r--r--doc/install.doc2
-rw-r--r--examples/CMakeLists.txt268
-rw-r--r--examples/templ.cfg2
-rw-r--r--libversion/CMakeLists.txt2
-rw-r--r--src/cmdmapper.cpp4
-rw-r--r--src/cmdmapper.h6
-rw-r--r--src/commentscan.l16
-rw-r--r--src/docbookvisitor.cpp6
-rw-r--r--src/docparser.cpp18
-rw-r--r--src/docparser.h2
-rw-r--r--src/doctokenizer.l8
-rw-r--r--src/doxygen.cpp8
-rw-r--r--src/entry.cpp15
-rw-r--r--src/entry.h3
-rw-r--r--src/formula.cpp6
-rw-r--r--src/htmldocvisitor.cpp8
-rw-r--r--src/latexdocvisitor.cpp4
-rw-r--r--src/latexgen.cpp4
-rw-r--r--src/mandocvisitor.cpp6
-rw-r--r--src/markdown.cpp2
-rw-r--r--src/memberlist.cpp11
-rw-r--r--src/perlmodgen.cpp4
-rw-r--r--src/portable.cpp51
-rw-r--r--src/pre.l20
-rw-r--r--src/printdocvisitor.h4
-rw-r--r--src/rtfdocvisitor.cpp6
-rw-r--r--src/scanner.l16
-rw-r--r--src/translator_fr.h1928
-rw-r--r--src/translator_sv.h296
-rw-r--r--src/util.cpp2
-rw-r--r--src/vhdljjparser.cpp5
-rw-r--r--src/xmldocvisitor.cpp18
-rw-r--r--vhdlparser/CharStream.cc22
-rw-r--r--vhdlparser/CharStream.h106
-rw-r--r--vhdlparser/ErrorHandler.h24
-rw-r--r--vhdlparser/JavaCC.h4
-rw-r--r--vhdlparser/JavaCC.h.in47
-rw-r--r--vhdlparser/ParseException.cc52
-rw-r--r--vhdlparser/ParseException.h24
-rw-r--r--vhdlparser/Token.cc37
-rw-r--r--vhdlparser/Token.h18
-rw-r--r--vhdlparser/TokenManager.h15
-rw-r--r--vhdlparser/TokenMgrError.cc28
-rw-r--r--vhdlparser/TokenMgrError.h20
-rw-r--r--vhdlparser/VhdlParser.cc6393
-rw-r--r--vhdlparser/VhdlParser.h6346
-rw-r--r--vhdlparser/VhdlParserConstants.h389
-rw-r--r--vhdlparser/VhdlParserTokenManager.cc913
-rw-r--r--vhdlparser/VhdlParserTokenManager.h83
-rwxr-xr-x[-rw-r--r--]vhdlparser/vhdlparser.jj176
-rwxr-xr-x[-rw-r--r--]vhdlparser/vhdlstring.h20
55 files changed, 8218 insertions, 9338 deletions
diff --git a/cmake/git_watcher.cmake b/cmake/git_watcher.cmake
index 6a50476..72b7852 100644
--- a/cmake/git_watcher.cmake
+++ b/cmake/git_watcher.cmake
@@ -178,6 +178,7 @@ function(SetupGitMonitoring)
ALL
DEPENDS ${PRE_CONFIGURE_GIT_VERSION_FILE}
BYPRODUCTS ${POST_CONFIGURE_GIT_VERSION_FILE}
+ BYPRODUCTS ${GIT_STATE_FILE}
COMMENT "Checking the git repository for changes..."
COMMAND
${CMAKE_COMMAND}
diff --git a/doc/commands.doc b/doc/commands.doc
index 3415dce..be526a0 100644
--- a/doc/commands.doc
+++ b/doc/commands.doc
@@ -72,6 +72,7 @@ documentation:
\refitem cmddetails \\details
\refitem cmddiafile \\diafile
\refitem cmddir \\dir
+\refitem cmddocbookinclude \\docbookinclude
\refitem cmddocbookonly \\docbookonly
\refitem cmddontinclude \\dontinclude
\refitem cmddot \\dot
@@ -135,6 +136,7 @@ documentation:
\refitem cmdline \\line
\refitem cmdlink \\link
\refitem cmdmainpage \\mainpage
+\refitem cmdmaninclude \\maninclude
\refitem cmdmanonly \\manonly
\refitem cmdmemberof \\memberof
\refitem cmdmsc \\msc
@@ -175,6 +177,7 @@ documentation:
\refitem cmdreturn \\return
\refitem cmdreturns \\returns
\refitem cmdretval \\retval
+\refitem cmdrtfinclude \\rtfinclude
\refitem cmdrtfonly \\rtfonly
\refitem cmdsa \\sa
\refitem cmdsecreflist \\secreflist
@@ -211,6 +214,7 @@ documentation:
\refitem cmdvhdlflow \\vhdlflow
\refitem cmdwarning \\warning
\refitem cmdweakgroup \\weakgroup
+\refitem cmdxmlinclude \\xmlinclude
\refitem cmdxmlonly \\xmlonly
\refitem cmdxrefitem \\xrefitem
\refitem cmddollar \\\$
@@ -2457,8 +2461,8 @@ Commands for displaying examples
\section cmdverbinclude \\verbinclude <file-name>
\addindex \\verbinclude
- This command includes the file \<file-name\> verbatim in the documentation.
- The command is equivalent to pasting the file in the documentation and
+ This command includes the contents of the file \<file-name\> verbatim in the documentation.
+ The command is equivalent to pasting the contents of the file in the documentation and
placing \ref cmdverbatim "\\verbatim" and \ref cmdendverbatim "\\endverbatim"
commands around it.
@@ -2469,8 +2473,9 @@ Commands for displaying examples
\section cmdhtmlinclude \\htmlinclude ["[block]"] <file-name>
\addindex \\htmlinclude
- This command includes the file \<file-name\> as is in the HTML documentation.
- The command is equivalent to pasting the file in the documentation and
+ This command includes the contents of the file \<file-name\> as is in the HTML documentation
+ and tagged with `<htmlonly>` in the generated XML output.
+ The command is equivalent to pasting the contents of the file in the documentation and
placing \ref cmdhtmlonly "\\htmlonly" and \ref cmdendhtmlonly "\\endhtmlonly"
commands around it.
@@ -2490,8 +2495,9 @@ Commands for displaying examples
\section cmdlatexinclude \\latexinclude <file-name>
\addindex \\latexinclude
- This command includes the file \<file-name\> as is in the \LaTeX documentation.
- The command is equivalent to pasting the file in the documentation and
+ This command includes the contents of the file \<file-name\> as is in the \LaTeX documentation
+ and tagged with `<latexonly>` in the generated XML output.
+ The command is equivalent to pasting the contents of the file in the documentation and
placing \ref cmdlatexonly "\\latexonly" and \ref cmdendlatexonly "\\endlatexonly"
commands around it.
@@ -2501,6 +2507,65 @@ Commands for displaying examples
\sa section \ref cmdlatexonly "\\latexonly".
<hr>
+\section cmdrtfinclude \\rtfinclude <file-name>
+
+ \addindex \\rtfinclude
+ This command includes the contents of the file \<file-name\> as is in the RTF documentation
+ and tagged with `<rtfonly>` in the generated XML output.
+ The command is equivalent to pasting the contents of the file in the documentation and
+ placing \ref cmdrtfonly "\\rtfonly" and \ref cmdendrtfonly "\\endrtfonly"
+ commands around it.
+
+ Files or directories that doxygen should look for can be specified using the
+ \ref cfg_example_path "EXAMPLE_PATH" tag of doxygen's configuration file.
+
+ \sa section \ref cmdrtfonly "\\rtfonly".
+<hr>
+
+\section cmdmaninclude \\maninclude <file-name>
+
+ \addindex \\maninclude
+ This command includes the contents of the file \<file-name\> as is in the MAN documentation
+ and tagged with `<manonly>` in the generated XML output.
+ The command is equivalent to pasting the contents of the file in the documentation and
+ placing \ref cmdmanonly "\\manonly" and \ref cmdendmanonly "\\endmanonly"
+ commands around it.
+
+ Files or directories that doxygen should look for can be specified using the
+ \ref cfg_example_path "EXAMPLE_PATH" tag of doxygen's configuration file.
+
+ \sa section \ref cmdmanonly "\\manonly".
+<hr>
+
+\section cmddocbookinclude \\docbookinclude <file-name>
+
+ \addindex \\docbookinclude
+ This command includes the contents of the file \<file-name\> as is in the DocBook documentation
+ and tagged with `<docbookonly>` in the generated XML output.
+ The command is equivalent to pasting the contents of the file in the documentation and
+ placing \ref cmddocbookonly "\\docbookonly" and \ref cmdenddocbookonly "\\enddocbookonly"
+ commands around it.
+
+ Files or directories that doxygen should look for can be specified using the
+ \ref cfg_example_path "EXAMPLE_PATH" tag of doxygen's configuration file.
+
+ \sa section \ref cmddocbookonly "\\docbookonly".
+<hr>
+
+\section cmdxmlinclude \\xmlinclude <file-name>
+
+ \addindex \\xmlinclude
+ This command includes contents of the the file \<file-name\> as is in the XML documentation.
+ The command is equivalent to pasting the contents of the file in the documentation and
+ placing \ref cmdxmlonly "\\xmlonly" and \ref cmdendxmlonly "\\endxmlonly"
+ commands around it.
+
+ Files or directories that doxygen should look for can be specified using the
+ \ref cfg_example_path "EXAMPLE_PATH" tag of doxygen's configuration file.
+
+ \sa section \ref cmdxmlonly "\\xmlonly".
+<hr>
+
\htmlonly</p><center><p>\endhtmlonly
<h2>
\htmlonly --- \endhtmlonly
diff --git a/doc/docblocks.doc b/doc/docblocks.doc
index 8e99669..23933c7 100644
--- a/doc/docblocks.doc
+++ b/doc/docblocks.doc
@@ -653,7 +653,7 @@ syntax, including parts of the
extension.
Markdown is designed to be very easy to read and write.
-It's formatting is inspired by plain text mail.
+Its formatting is inspired by plain text mail.
Markdown works great for simple, generic formatting, like an introduction
page for your project. Doxygen also supports reading of markdown files
directly. For more details see chapter \ref markdown.
diff --git a/doc/index.hhp.txt b/doc/index.hhp.txt
index b676d83..3bf8701 100644
--- a/doc/index.hhp.txt
+++ b/doc/index.hhp.txt
@@ -102,7 +102,7 @@ examples/tag/html/tab_a.png
examples/tag/html/tab_b.png
examples/tag/html/tab_h.png
examples/tag/html/tab_s.png
-examples/template/html/tab_a.png
-examples/template/html/tab_b.png
-examples/template/html/tab_h.png
-examples/template/html/tab_s.png
+examples/templ/html/tab_a.png
+examples/templ/html/tab_b.png
+examples/templ/html/tab_h.png
+examples/templ/html/tab_s.png
diff --git a/doc/install.doc b/doc/install.doc
index b711cd4..5f15d8a 100644
--- a/doc/install.doc
+++ b/doc/install.doc
@@ -251,7 +251,7 @@ Ghostscript can be <a href="https://sourceforge.net/projects/ghostscript/">downl
from Sourceforge.
After installing \LaTeX and Ghostscript you'll need to make sure the tools
-latex.exe, pdflatex.exe, and gswin32c.exe are present in the search path of a
+latex.exe, pdflatex.exe, and gswin32c.exe (or gswin64c.exe) are present in the search path of a
command box. Follow <a href="https://www.computerhope.com/issues/ch000549.htm">these</a>
instructions if you are unsure and run the commands from a command box to verify it works.
diff --git a/examples/CMakeLists.txt b/examples/CMakeLists.txt
index 967f3d4..c73d6f4 100644
--- a/examples/CMakeLists.txt
+++ b/examples/CMakeLists.txt
@@ -16,236 +16,56 @@ foreach (f ${EXAMPLE_FILES})
list(APPEND OUT_EXAMPLE_FILES "${PROJECT_BINARY_DIR}/examples/${f}")
endforeach()
+set(BASIC_EXAMPLES
+ class:h
+ define:h
+ enum:h
+ file:h
+ func:h
+ javadoc-banner:h
+ structcmd:h
+ afterdoc:h
+ relates:cpp
+ author:cpp
+ par:cpp
+ overload:cpp
+ example:cpp
+ include:cpp
+ qtstyle:cpp
+ jdstyle:cpp
+ autolink:cpp
+ restypedef:cpp
+ tag:cpp
+ group:cpp
+ memgrp:cpp
+ templ:cpp
+ page:doc
+ docstring:py
+ pyexample:py
+ tclexample:tcl
+ manual:c
+ mux:vhdl
+)
+
+foreach (f_inp ${BASIC_EXAMPLES})
+ string(REGEX REPLACE ".*:" "" f_ext ${f_inp})
+ string(REGEX REPLACE ":.*" "" f ${f_inp})
+ add_custom_command(
+ COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen ${f}.cfg
+ COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/${f}/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/${f}/latex/refman_doc.tex
+ DEPENDS doxygen ${f}.${f_ext} ${f}.cfg ${TOP}/examples/strip_example.py
+ OUTPUT ${PROJECT_BINARY_DIR}/html/examples/${f}/html/index.html ${PROJECT_BINARY_DIR}/html/examples/${f}/latex/refman_doc.tex
+ )
+ set(EXAMPLES_RES ${EXAMPLES_RES} "" ${PROJECT_BINARY_DIR}/html/examples/${f}/html/index.html)
+endforeach()
+
add_custom_target(examples
DEPENDS ${OUT_EXAMPLE_FILES}
- ${PROJECT_BINARY_DIR}/html/examples/class/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/define/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/enum/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/file/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/func/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/javadoc-banner/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/page/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/relates/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/author/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/par/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/overload/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/example/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/include/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/qtstyle/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/jdstyle/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/structcmd/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/autolink/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/restypedef/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/afterdoc/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/template/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/tag/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/group/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/memgrp/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/docstring/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/pyexample/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/tclexample/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/mux/html/index.html
- ${PROJECT_BINARY_DIR}/html/examples/manual/html/index.html
+ ${EXAMPLES_RES}
${DIAGRAM_EXAMPLE}
WORKING_DIRECTORY ${PROJECT_BINARY_DIR}/examples
)
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen class.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/class/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/class/latex/refman_doc.tex
- DEPENDS doxygen class.h class.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/class/html/index.html ${PROJECT_BINARY_DIR}/html/examples/class/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen define.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/define/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/define/latex/refman_doc.tex
- DEPENDS doxygen define.h define.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/define/html/index.html ${PROJECT_BINARY_DIR}/html/examples/define/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen enum.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/enum/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/enum/latex/refman_doc.tex
- DEPENDS doxygen enum.h enum.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/enum/html/index.html ${PROJECT_BINARY_DIR}/html/examples/enum/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen file.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/file/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/file/latex/refman_doc.tex
- DEPENDS doxygen file.h file.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/file/html/index.html ${PROJECT_BINARY_DIR}/html/examples/file/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen func.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/func/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/func/latex/refman_doc.tex
- DEPENDS doxygen func.h func.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/func/html/index.html ${PROJECT_BINARY_DIR}/html/examples/func/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen javadoc-banner.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/javadoc-banner/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/javadoc-banner/latex/refman_doc.tex
- DEPENDS doxygen javadoc-banner.h javadoc-banner.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/javadoc-banner/html/index.html ${PROJECT_BINARY_DIR}/html/examples/javadoc-banner/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen page.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/page/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/page/latex/refman_doc.tex
- DEPENDS doxygen page.doc page.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/page/html/index.html ${PROJECT_BINARY_DIR}/html/examples/page/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen relates.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/relates/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/relates/latex/refman_doc.tex
- DEPENDS doxygen relates.cpp relates.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/relates/html/index.html ${PROJECT_BINARY_DIR}/html/examples/relates/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen author.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/author/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/author/latex/refman_doc.tex
- DEPENDS doxygen author.cpp author.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/author/html/index.html ${PROJECT_BINARY_DIR}/html/examples/author/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen par.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/par/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/par/latex/refman_doc.tex
- DEPENDS doxygen par.cpp par.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/par/html/index.html ${PROJECT_BINARY_DIR}/html/examples/par/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen overload.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/overload/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/overload/latex/refman_doc.tex
- DEPENDS doxygen overload.cpp overload.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/overload/html/index.html ${PROJECT_BINARY_DIR}/html/examples/overload/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen example.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/example/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/example/latex/refman_doc.tex
- DEPENDS doxygen example.cpp example_test.cpp example.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/example/html/index.html ${PROJECT_BINARY_DIR}/html/examples/example/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen include.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/include/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/include/latex/refman_doc.tex
- DEPENDS doxygen include.cpp include_test.cpp include.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/include/html/index.html ${PROJECT_BINARY_DIR}/html/examples/include/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen qtstyle.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/qtstyle/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/qtstyle/latex/refman_doc.tex
- DEPENDS doxygen qtstyle.cpp qtstyle.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/qtstyle/html/index.html ${PROJECT_BINARY_DIR}/html/examples/qtstyle/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen jdstyle.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/jdstyle/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/jdstyle/latex/refman_doc.tex
- DEPENDS doxygen jdstyle.cpp jdstyle.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/jdstyle/html/index.html ${PROJECT_BINARY_DIR}/html/examples/jdstyle/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen structcmd.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/structcmd/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/structcmd/latex/refman_doc.tex
- DEPENDS doxygen structcmd.h structcmd.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/structcmd/html/index.html ${PROJECT_BINARY_DIR}/html/examples/structcmd/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen autolink.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/autolink/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/autolink/latex/refman_doc.tex
- DEPENDS doxygen autolink.cpp autolink.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/autolink/html/index.html ${PROJECT_BINARY_DIR}/html/examples/autolink/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen tag.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/tag/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/tag/latex/refman_doc.tex
- DEPENDS doxygen tag.cpp tag.cfg ${PROJECT_BINARY_DIR}/html/examples/example/html/index.html ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/tag/html/index.html ${PROJECT_BINARY_DIR}/html/examples/tag/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen restypedef.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/restypedef/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/restypedef/latex/refman_doc.tex
- DEPENDS doxygen restypedef.cpp restypedef.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/restypedef/html/index.html ${PROJECT_BINARY_DIR}/html/examples/restypedef/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen afterdoc.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/afterdoc/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/afterdoc/latex/refman_doc.tex
- DEPENDS doxygen afterdoc.h afterdoc.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/afterdoc/html/index.html ${PROJECT_BINARY_DIR}/html/examples/afterdoc/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen templ.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/template/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/template/latex/refman_doc.tex
- DEPENDS doxygen templ.cpp templ.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/template/html/index.html ${PROJECT_BINARY_DIR}/html/examples/template/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen group.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/group/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/group/latex/refman_doc.tex
- DEPENDS doxygen group.cpp group.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/group/html/index.html ${PROJECT_BINARY_DIR}/html/examples/group/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen memgrp.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/memgrp/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/memgrp/latex/refman_doc.tex
- DEPENDS doxygen memgrp.cpp memgrp.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/memgrp/html/index.html ${PROJECT_BINARY_DIR}/html/examples/memgrp/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen pyexample.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/pyexample/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/pyexample/latex/refman_doc.tex
- DEPENDS doxygen pyexample.py pyexample.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/pyexample/html/index.html ${PROJECT_BINARY_DIR}/html/examples/pyexample/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen tclexample.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/tclexample/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/tclexample/latex/refman_doc.tex
- DEPENDS doxygen tclexample.tcl tclexample.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/tclexample/html/index.html ${PROJECT_BINARY_DIR}/html/examples/tclexample/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen mux.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/mux/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/mux/latex/refman_doc.tex
- DEPENDS doxygen mux.vhdl mux.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/mux/html/index.html ${PROJECT_BINARY_DIR}/html/examples/mux/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen manual.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/manual/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/manual/latex/refman_doc.tex
- DEPENDS doxygen manual.c manual.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/manual/html/index.html ${PROJECT_BINARY_DIR}/html/examples/manual/latex/refman_doc.tex
-)
-
-add_custom_command(
- COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen docstring.cfg
- COMMAND ${PYTHON_EXECUTABLE} ${TOP}/examples/strip_example.py < ${PROJECT_BINARY_DIR}/html/examples/docstring/latex/refman.tex > ${PROJECT_BINARY_DIR}/html/examples/docstring/latex/refman_doc.tex
- DEPENDS doxygen docstring.py docstring.cfg ${TOP}/examples/strip_example.py
- OUTPUT ${PROJECT_BINARY_DIR}/html/examples/docstring/html/index.html ${PROJECT_BINARY_DIR}/html/examples/docstring/latex/refman_doc.tex
-)
-
if (DOT)
add_custom_command(
COMMAND ${EXECUTABLE_OUTPUT_PATH}/doxygen diagrams.cfg
diff --git a/examples/templ.cfg b/examples/templ.cfg
index 9d42e79..c34633f 100644
--- a/examples/templ.cfg
+++ b/examples/templ.cfg
@@ -1,5 +1,5 @@
PROJECT_NAME = "Template Test"
-OUTPUT_DIRECTORY = ../html/examples/template
+OUTPUT_DIRECTORY = ../html/examples/templ
GENERATE_LATEX = YES
GENERATE_MAN = NO
GENERATE_RTF = NO
diff --git a/libversion/CMakeLists.txt b/libversion/CMakeLists.txt
index 34e8655..6952cea 100644
--- a/libversion/CMakeLists.txt
+++ b/libversion/CMakeLists.txt
@@ -7,6 +7,7 @@ set(POST_CONFIGURE_DOXYGEN_VERSION_FILE "${GENERATED_SRC}/doxyversion.cpp")
# setup information for git version handling
set(PRE_CONFIGURE_GIT_VERSION_FILE "${CMAKE_SOURCE_DIR}/libversion/gitversion.cpp.in")
set(POST_CONFIGURE_GIT_VERSION_FILE "${GENERATED_SRC}/gitversion.cpp")
+set(GIT_STATE_FILE "${GENERATED_SRC}/git_state")
include(${CMAKE_SOURCE_DIR}/cmake/git_watcher.cmake)
include(${CMAKE_SOURCE_DIR}/cmake/doxygen_version.cmake)
@@ -25,3 +26,4 @@ add_dependencies( doxygen_version check_doxygen_version )
set_source_files_properties(${POST_CONFIGURE_GIT_VERSION_FILE} PROPERTIES GENERATED 1)
set_source_files_properties(${POST_CONFIGURE_DOXYGEN_VERSION_FILE} PROPERTIES GENERATED 1)
+set_source_files_properties(${GIT_STATE_FILE} PROPERTIES GENERATED 1)
diff --git a/src/cmdmapper.cpp b/src/cmdmapper.cpp
index e62aa4f..372ba5b 100644
--- a/src/cmdmapper.cpp
+++ b/src/cmdmapper.cpp
@@ -149,6 +149,10 @@ CommandMap cmdMap[] =
{ "---", CMD_MDASH },
{ "_setscope", CMD_SETSCOPE },
{ "emoji", CMD_EMOJI },
+ { "rtfinclude", CMD_RTFINCLUDE },
+ { "docbookinclude",CMD_DOCBOOKINCLUDE },
+ { "maninclude", CMD_MANINCLUDE },
+ { "xmlinclude", CMD_XMLINCLUDE },
{ 0, 0 },
};
diff --git a/src/cmdmapper.h b/src/cmdmapper.h
index 246be9d..a86c20a 100644
--- a/src/cmdmapper.h
+++ b/src/cmdmapper.h
@@ -138,7 +138,11 @@ enum CommandType
CMD_SNIPPETDOC = 108,
CMD_SNIPWITHLINES= 109,
CMD_EMOJI = 110,
- CMD_EQUAL = 111
+ CMD_EQUAL = 111,
+ CMD_RTFINCLUDE = 112,
+ CMD_DOCBOOKINCLUDE= 113,
+ CMD_MANINCLUDE = 114,
+ CMD_XMLINCLUDE = 115
};
enum HtmlTagType
diff --git a/src/commentscan.l b/src/commentscan.l
index fbcd089..a3741e5 100644
--- a/src/commentscan.l
+++ b/src/commentscan.l
@@ -286,6 +286,10 @@ static DocCmdMap docCmdMap[] =
{ "snippet", 0, TRUE },
{ "snippetlineno", 0, TRUE },
{ "noop", &handleNoop, TRUE },
+ { "rtfinclude", 0, FALSE },
+ { "docbookinclude", 0, FALSE },
+ { "maninclude", 0, FALSE },
+ { "xmlinclude", 0, FALSE },
{ 0, 0, FALSE }
};
@@ -487,18 +491,6 @@ static void addCite(yyscan_t yyscanner);
/* start command character */
CMD ("\\"|"@")
-DCMD1 ("arg"|"attention"|"author"|"cite"|"code")
-DCMD2 ("date"|"dot"|"msc"|"dotfile"|"example"|"startuml")
-DCMD3 ("htmlinclude"|"htmlonly"|"image"|"include")
-DCMD4 ("includelineno"|"internal"|"invariant")
-DCMD5 ("latexinclude"|"latexonly"|"li"|"line"|"manonly"|"name")
-DCMD6 ("note"|"par"|"paragraph"|"param"|"post")
-DCMD7 ("pre"|"remarks"|(("relate"[sd])("also")?))
-DCMD8 ("remarks"|("return"[s]?)|"retval"|"sa"|"section")
-DCMD9 ("see"|"since"|"subsection"|"subsubsection")
-DCMD10 ("throw"|"until"|"verbatim")
-DCMD11 ("verbinclude"|"version"|"warning")
-DETAILEDCMD {CMD}({DCMD1}|{DCMD2}|{DCMD3}|{DCMD4}|{DCMD5}|{DCMD6}|{DCMD7}|{DCMD8}|{DCMD9}|{DCMD10}|{DCMD11})
XREFCMD {CMD}("bug"|"deprecated"|"test"|"todo"|"xrefitem")
PRE [pP][rR][eE]
TABLE [tT][aA][bB][lL][eE]
diff --git a/src/docbookvisitor.cpp b/src/docbookvisitor.cpp
index 9de0a16..e6d0014 100644
--- a/src/docbookvisitor.cpp
+++ b/src/docbookvisitor.cpp
@@ -434,6 +434,12 @@ DB_VIS_C
case DocInclude::DontIncWithLines:
case DocInclude::HtmlInclude:
case DocInclude::LatexInclude:
+ case DocInclude::RtfInclude:
+ case DocInclude::ManInclude:
+ case DocInclude::XmlInclude:
+ break;
+ case DocInclude::DocbookInclude:
+ m_t << inc->text();
break;
case DocInclude::VerbInclude:
m_t << "<literallayout>";
diff --git a/src/docparser.cpp b/src/docparser.cpp
index 5498adb..2d27ff9 100644
--- a/src/docparser.cpp
+++ b/src/docparser.cpp
@@ -2000,9 +2000,11 @@ void DocInclude::parse()
case VerbInclude:
// fall through
case HtmlInclude:
- readTextFileByName(m_file,m_text);
- break;
case LatexInclude:
+ case DocInclude::RtfInclude:
+ case DocInclude::ManInclude:
+ case DocInclude::XmlInclude:
+ case DocInclude::DocbookInclude:
readTextFileByName(m_file,m_text);
break;
case Snippet:
@@ -5776,6 +5778,18 @@ int DocPara::handleCommand(const QCString &cmdName, const int tok)
case CMD_LATEXINCLUDE:
handleInclude(cmdName,DocInclude::LatexInclude);
break;
+ case CMD_RTFINCLUDE:
+ handleInclude(cmdName,DocInclude::RtfInclude);
+ break;
+ case CMD_MANINCLUDE:
+ handleInclude(cmdName,DocInclude::ManInclude);
+ break;
+ case CMD_XMLINCLUDE:
+ handleInclude(cmdName,DocInclude::XmlInclude);
+ break;
+ case CMD_DOCBOOKINCLUDE:
+ handleInclude(cmdName,DocInclude::DocbookInclude);
+ break;
case CMD_VERBINCLUDE:
handleInclude(cmdName,DocInclude::VerbInclude);
break;
diff --git a/src/docparser.h b/src/docparser.h
index b7164d7..2ce8f4b 100644
--- a/src/docparser.h
+++ b/src/docparser.h
@@ -583,7 +583,7 @@ class DocInclude : public DocNode
public:
enum Type { Include, DontInclude, VerbInclude, HtmlInclude, LatexInclude,
IncWithLines, Snippet , IncludeDoc, SnippetDoc, SnipWithLines,
- DontIncWithLines};
+ DontIncWithLines, RtfInclude, ManInclude, DocbookInclude, XmlInclude};
DocInclude(DocNode *parent,const QCString &file,
const QCString context, Type t,
bool isExample,const QCString exampleFile,
diff --git a/src/doctokenizer.l b/src/doctokenizer.l
index 4882570..11e96d6 100644
--- a/src/doctokenizer.l
+++ b/src/doctokenizer.l
@@ -1296,6 +1296,14 @@ REFWORD_NOCV {FILEMASK}|{LABELID}|{REFWORD2_NOCV}|{REFWORD3}|{REFWORD4_NOCV}
g_endMarker="endlatexonly";
BEGIN(St_SecSkip);
}
+<St_Sections>{CMD}"manonly"/[^a-z_A-Z0-9] {
+ g_endMarker="endmanonly";
+ BEGIN(St_SecSkip);
+ }
+<St_Sections>{CMD}"rtfonly"/[^a-z_A-Z0-9] {
+ g_endMarker="endrtfonly";
+ BEGIN(St_SecSkip);
+ }
<St_Sections>{CMD}"xmlonly"/[^a-z_A-Z0-9] {
g_endMarker="endxmlonly";
BEGIN(St_SecSkip);
diff --git a/src/doxygen.cpp b/src/doxygen.cpp
index 5a5e95d..f51798b 100644
--- a/src/doxygen.cpp
+++ b/src/doxygen.cpp
@@ -2039,7 +2039,7 @@ static MemberDef *addVariableToClass(
}
else
{
- def=type+" "+name+root->args;
+ def=type+" "+name+args;
}
}
else
@@ -2612,11 +2612,13 @@ static void addVariable(const Entry *root,int isFuncPtr=-1)
type=name;
static const QRegExp reName("[a-z_A-Z][a-z_A-Z0-9]*");
int l=0;
+ int j=0;
int i=args.isEmpty() ? -1 : reName.match(args,0,&l);
if (i!=-1)
{
name=args.mid(i,l);
- args=args.mid(i+l,args.find(')',i+l)-i-l);
+ j=args.find(')',i+l)-i-l;
+ if (j >= 0) args=args.mid(i+l,j);
}
//printf("new: type='%s' name='%s' args='%s'\n",
// type.data(),name.data(),args.data());
@@ -3724,7 +3726,7 @@ static void transferFunctionDocumentation()
MemberNameIterator mni2(*mn);
for (;(mdef=mni2.current());++mni2)
{
- if (mdec!=mdef && !mdec->isAlias() && !mdef->isAlias())
+ if (mdec!=mdef && mdec->getNamespaceDef()==mdef->getNamespaceDef() && !mdec->isAlias() && !mdef->isAlias())
{
combineDeclarationAndDefinition(mdec,mdef);
}
diff --git a/src/entry.cpp b/src/entry.cpp
index cc8cd1f..a0e616a 100644
--- a/src/entry.cpp
+++ b/src/entry.cpp
@@ -169,21 +169,6 @@ void Entry::copyToSubEntry(const std::shared_ptr<Entry> &current)
m_sublist.push_back(copy);
}
-void Entry::moveFromSubEntry(const Entry *child,std::shared_ptr<Entry> &moveTo)
-{
- auto it = std::find_if(m_sublist.begin(),m_sublist.end(),
- [child](const std::shared_ptr<Entry>&elem) { return elem.get()==child; });
- if (it!=m_sublist.end())
- {
- moveTo = *it;
- m_sublist.erase(it);
- }
- else
- {
- moveTo.reset();
- }
-}
-
void Entry::removeSubEntry(const Entry *e)
{
auto it = std::find_if(m_sublist.begin(),m_sublist.end(),
diff --git a/src/entry.h b/src/entry.h
index 802a212..3cd53e9 100644
--- a/src/entry.h
+++ b/src/entry.h
@@ -216,9 +216,6 @@ class Entry
void moveToSubEntryAndRefresh(Entry* &e);
void moveToSubEntryAndRefresh(std::shared_ptr<Entry> &e);
- /*! take \a child of of to list of children and move it into \a moveTo */
- void moveFromSubEntry(const Entry *child,std::shared_ptr<Entry> &moveTo);
-
/*! make a copy of \a e and add it as a child to this entry */
void copyToSubEntry (Entry* e);
void copyToSubEntry (const std::shared_ptr<Entry> &e);
diff --git a/src/formula.cpp b/src/formula.cpp
index 64555c9..01a96b3 100644
--- a/src/formula.cpp
+++ b/src/formula.cpp
@@ -151,13 +151,13 @@ void FormulaList::generateBitmaps(const char *path)
return;
}
Portable::sysTimerStop();
- // run ps2epsi to convert to an encapsulated postscript file with
+ // run eps2eps to convert to an encapsulated postscript file with
// boundingbox (dvips with -E has some problems here).
sprintf(psArgs,"%s_tmp.ps %s.eps",formBase.data(),formBase.data());
Portable::sysTimerStart();
- if (Portable::system("ps2epsi",psArgs)!=0)
+ if (Portable::system("eps2eps",psArgs)!=0)
{
- err("Problems running ps2epsi. Check your installation!\n");
+ err("Problems running eps2eps. Check your installation!\n");
Portable::sysTimerStop();
QDir::setCurrent(oldDir);
return;
diff --git a/src/htmldocvisitor.cpp b/src/htmldocvisitor.cpp
index 424fead..aff838e 100644
--- a/src/htmldocvisitor.cpp
+++ b/src/htmldocvisitor.cpp
@@ -180,6 +180,10 @@ static bool isDocIncludeVisible(DocInclude *s)
{
case DocInclude::DontInclude:
case DocInclude::LatexInclude:
+ case DocInclude::RtfInclude:
+ case DocInclude::ManInclude:
+ case DocInclude::XmlInclude:
+ case DocInclude::DocbookInclude:
return FALSE;
default:
return TRUE;
@@ -715,6 +719,10 @@ void HtmlDocVisitor::visit(DocInclude *inc)
break;
case DocInclude::DontInclude:
case DocInclude::LatexInclude:
+ case DocInclude::RtfInclude:
+ case DocInclude::ManInclude:
+ case DocInclude::XmlInclude:
+ case DocInclude::DocbookInclude:
case DocInclude::DontIncWithLines:
break;
case DocInclude::HtmlInclude:
diff --git a/src/latexdocvisitor.cpp b/src/latexdocvisitor.cpp
index 730f083..b9ce963 100644
--- a/src/latexdocvisitor.cpp
+++ b/src/latexdocvisitor.cpp
@@ -503,6 +503,10 @@ void LatexDocVisitor::visit(DocInclude *inc)
case DocInclude::DontInclude:
case DocInclude::DontIncWithLines:
case DocInclude::HtmlInclude:
+ case DocInclude::RtfInclude:
+ case DocInclude::ManInclude:
+ case DocInclude::XmlInclude:
+ case DocInclude::DocbookInclude:
break;
case DocInclude::LatexInclude:
m_t << inc->text();
diff --git a/src/latexgen.cpp b/src/latexgen.cpp
index cdda22c..b8cac2f 100644
--- a/src/latexgen.cpp
+++ b/src/latexgen.cpp
@@ -42,6 +42,7 @@
#include "namespacedef.h"
#include "filename.h"
#include "resourcemgr.h"
+#include "portable.h"
static bool DoxyCodeOpen = FALSE;
static bool DoxyCodeLineOpen = FALSE;
@@ -421,7 +422,8 @@ static void writeMakeBat()
t << mkidx_command << " refman.idx\n";
t << "%LATEX_CMD% refman.tex\n";
t << "dvips -o refman.ps refman.dvi\n";
- t << "gswin32c -q -dNOPAUSE -dBATCH -sDEVICE=pdfwrite "
+ t << Portable::ghostScriptCommand();
+ t << " -q -dNOPAUSE -dBATCH -sDEVICE=pdfwrite "
"-sOutputFile=refman.pdf -c save pop -f refman.ps\n";
}
else // use pdflatex
diff --git a/src/mandocvisitor.cpp b/src/mandocvisitor.cpp
index 6b76008..fef857e 100644
--- a/src/mandocvisitor.cpp
+++ b/src/mandocvisitor.cpp
@@ -304,6 +304,12 @@ void ManDocVisitor::visit(DocInclude *inc)
case DocInclude::DontIncWithLines:
case DocInclude::HtmlInclude:
case DocInclude::LatexInclude:
+ case DocInclude::RtfInclude:
+ case DocInclude::XmlInclude:
+ case DocInclude::DocbookInclude:
+ break;
+ case DocInclude::ManInclude:
+ m_t << inc->text();
break;
case DocInclude::VerbInclude:
if (!m_firstCol) m_t << endl;
diff --git a/src/markdown.cpp b/src/markdown.cpp
index aa64aa2..d0262b3 100644
--- a/src/markdown.cpp
+++ b/src/markdown.cpp
@@ -2660,11 +2660,13 @@ void MarkdownOutlineParser::parseInput(const char *fileName,
QFileInfo(mdfileAsMainPage).absFilePath()) // file reference with path
)
{
+ docs.prepend("@anchor " + id + "\n");
docs.prepend("@mainpage "+title+"\n");
}
else if (id=="mainpage" || id=="index")
{
if (title.isEmpty()) title = titleFn;
+ docs.prepend("@anchor " + id + "\n");
docs.prepend("@mainpage "+title+"\n");
}
else
diff --git a/src/memberlist.cpp b/src/memberlist.cpp
index 278023b..edd164b 100644
--- a/src/memberlist.cpp
+++ b/src/memberlist.cpp
@@ -75,8 +75,15 @@ int MemberList::compareValues(const MemberDef *c1, const MemberDef *c2) const
return 1;
}
int cmp = qstricmp(c1->name(),c2->name());
- if (cmp==0) cmp = qstricmp(c1->argsString(),c2->argsString());
- return cmp!=0 ? cmp : c1->getDefLine()-c2->getDefLine();
+ if (cmp==0 && c1->argsString() && c2->argsString())
+ {
+ cmp = qstricmp(c1->argsString(),c2->argsString());
+ }
+ if (cmp==0)
+ {
+ cmp = c1->getDefLine()-c2->getDefLine();
+ }
+ return cmp;
}
int MemberList::countInheritableMembers(const ClassDef *inheritedFrom) const
diff --git a/src/perlmodgen.cpp b/src/perlmodgen.cpp
index 4ecee5e..7bbc4a7 100644
--- a/src/perlmodgen.cpp
+++ b/src/perlmodgen.cpp
@@ -733,6 +733,10 @@ void PerlModDocVisitor::visit(DocInclude *inc)
case DocInclude::DontIncWithLines: return;
case DocInclude::HtmlInclude: type = "htmlonly"; break;
case DocInclude::LatexInclude: type = "latexonly"; break;
+ case DocInclude::RtfInclude: type = "rtfonly"; break;
+ case DocInclude::ManInclude: type = "manonly"; break;
+ case DocInclude::XmlInclude: type = "xmlonly"; break;
+ case DocInclude::DocbookInclude: type = "docbookonly"; break;
case DocInclude::VerbInclude: type = "preformatted"; break;
case DocInclude::Snippet: return;
case DocInclude::SnipWithLines: return;
diff --git a/src/portable.cpp b/src/portable.cpp
index e191b26..403b0ea 100644
--- a/src/portable.cpp
+++ b/src/portable.cpp
@@ -348,10 +348,59 @@ char Portable::pathListSeparator(void)
#endif
}
+#if defined(_WIN32) && !defined(__CYGWIN__)
+static const bool ExistsOnPath(const char *fileName)
+{
+ QFileInfo fi1(fileName);
+ if (fi1.exists()) return true;
+
+ const char *p = Portable::getenv("PATH");
+ char listSep = Portable::pathListSeparator();
+ char pathSep = Portable::pathSeparator();
+ QCString paths(p);
+ int strt = 0;
+ int idx;
+ while ((idx = paths.find(listSep,strt)) != -1)
+ {
+ QCString locFile(paths.mid(strt,idx-strt));
+ locFile += pathSep;
+ locFile += fileName;
+ QFileInfo fi(locFile);
+ if (fi.exists()) return true;
+ strt = idx + 1;
+ }
+ // to be sure the last path component is checked as well
+ QCString locFile(paths.mid(strt));
+ if (!locFile.isEmpty())
+ {
+ locFile += pathSep;
+ locFile += fileName;
+ QFileInfo fi(locFile);
+ if (fi.exists()) return true;
+ }
+ return false;
+}
+#endif
+
const char *Portable::ghostScriptCommand(void)
{
#if defined(_WIN32) && !defined(__CYGWIN__)
- return "gswin32c.exe";
+ static char *gsexe = NULL;
+ if (!gsexe)
+ {
+ char *gsExec[] = {"gswin32c.exe","gswin64c.exe"};
+ for (int i = 0; i < sizeof(gsExec) / sizeof(*gsExec); i++)
+ {
+ if (ExistsOnPath(gsExec[i]))
+ {
+ gsexe = gsExec[i];
+ return gsexe;
+ }
+ }
+ gsexe = gsExec[0];
+ return gsexe;
+ }
+ return gsexe;
#else
return "gs";
#endif
diff --git a/src/pre.l b/src/pre.l
index a26c044..4fafe40 100644
--- a/src/pre.l
+++ b/src/pre.l
@@ -2379,6 +2379,8 @@ static int getNextId(const QCString &expr,int p,int *l)
return -1;
}
+#define MAX_EXPANSION_DEPTH 50
+
/*! performs recursive macro expansion on the string \a expr
* starting at position \a pos.
* May read additional characters from the input while re-scanning!
@@ -2392,7 +2394,7 @@ static bool expandExpression(yyscan_t yyscanner,QCString &expr,QCString *rest,in
//printf("<expandExpression: empty\n");
return TRUE;
}
- if (state->expansionDict.find(expr)!=0) // check for recursive expansions
+ if (state->expansionDict.find(expr)!=0 && level>MAX_EXPANSION_DEPTH) // check for too deep recursive expansions
{
//printf("<expandExpression: already expanded expr='%s'\n",expr.data());
return FALSE;
@@ -2405,6 +2407,8 @@ static bool expandExpression(yyscan_t yyscanner,QCString &expr,QCString *rest,in
QCString expMacro;
bool definedTest=FALSE;
int i=pos,l,p,len;
+ int startPos = pos;
+ int samePosCount=0;
while ((p=getNextId(expr,i,&l))!=-1) // search for an macro name
{
bool replaced=FALSE;
@@ -2492,6 +2496,20 @@ static bool expandExpression(yyscan_t yyscanner,QCString &expr,QCString *rest,in
i=p+l+2;
//i=p+l;
}
+ // check for too many inplace expansions without making progress
+ if (i==startPos)
+ {
+ samePosCount++;
+ }
+ else
+ {
+ startPos=i;
+ samePosCount=0;
+ }
+ if (samePosCount>MAX_EXPANSION_DEPTH)
+ {
+ break;
+ }
}
else // no re-scan marker found, skip the macro name
{
diff --git a/src/printdocvisitor.h b/src/printdocvisitor.h
index ed4e76b..7bc5821 100644
--- a/src/printdocvisitor.h
+++ b/src/printdocvisitor.h
@@ -202,6 +202,10 @@ class PrintDocVisitor : public DocVisitor
if (inc->isBlock()) printf(" block=\"yes\"");
break;
case DocInclude::LatexInclude: printf("latexinclude"); break;
+ case DocInclude::RtfInclude: printf("rtfinclude"); break;
+ case DocInclude::DocbookInclude: printf("docbookinclude"); break;
+ case DocInclude::ManInclude: printf("maninclude"); break;
+ case DocInclude::XmlInclude: printf("xmlinclude"); break;
case DocInclude::VerbInclude: printf("verbinclude"); break;
case DocInclude::Snippet: printf("snippet"); break;
case DocInclude::SnipWithLines: printf("snipwithlines"); break;
diff --git a/src/rtfdocvisitor.cpp b/src/rtfdocvisitor.cpp
index 471cf85..75ac400 100644
--- a/src/rtfdocvisitor.cpp
+++ b/src/rtfdocvisitor.cpp
@@ -473,6 +473,12 @@ void RTFDocVisitor::visit(DocInclude *inc)
case DocInclude::DontIncWithLines:
case DocInclude::HtmlInclude:
case DocInclude::LatexInclude:
+ case DocInclude::ManInclude:
+ case DocInclude::XmlInclude:
+ case DocInclude::DocbookInclude:
+ break;
+ case DocInclude::RtfInclude:
+ m_t << inc->text();
break;
case DocInclude::VerbInclude:
m_t << "{" << endl;
diff --git a/src/scanner.l b/src/scanner.l
index 187a9ff..00ba8a7 100644
--- a/src/scanner.l
+++ b/src/scanner.l
@@ -4274,7 +4274,7 @@ OPERATOR "operator"{B}*({ARITHOP}|{ASSIGNOP}|{LOGICOP}|{BITOP})
unput(yyextra->lastCopyArgChar);
BEGIN( yyextra->lastCommentInArgContext );
}
-<CopyArgCommentLine>{CMD}("verbatim"|"latexonly"|"htmlonly"|"xmlonly"|"manonly"|"dot"|"code")/[^a-z_A-Z0-9\-] { // verbatim command (which could contain nested comments!)
+<CopyArgCommentLine>{CMD}("verbatim"|"latexonly"|"htmlonly"|"xmlonly"|"manonly"|"rtfonly"|"docbookonly"|"dot"|"code")/[^a-z_A-Z0-9\-] { // verbatim command (which could contain nested comments!)
yyextra->docBlockName=&yytext[1];
yyextra->fullArgString+=yytext;
BEGIN(CopyArgVerbatim);
@@ -4292,7 +4292,7 @@ OPERATOR "operator"{B}*({ARITHOP}|{ASSIGNOP}|{LOGICOP}|{BITOP})
yyextra->fullArgString+=yytext;
BEGIN(CopyArgVerbatim);
}
-<CopyArgVerbatim>[\\@]("endverbatim"|"endlatexonly"|"endhtmlonly"|"endxmlonly"|"enddocbookonly"|"endmanonly"|"enddot"|"endcode"|"f$"|"f]"|"f}")/[^a-z_A-Z0-9\-] { // end of verbatim block
+<CopyArgVerbatim>[\\@]("endverbatim"|"endlatexonly"|"endhtmlonly"|"endxmlonly"|"enddocbookonly"|"endmanonly"|"endrtfonly"|"enddot"|"endcode"|"f$"|"f]"|"f}")/[^a-z_A-Z0-9\-] { // end of verbatim block
yyextra->fullArgString+=yytext;
if (yytext[1]=='f') // end of formula
{
@@ -4914,7 +4914,7 @@ OPERATOR "operator"{B}*({ARITHOP}|{ASSIGNOP}|{LOGICOP}|{BITOP})
}
<SkipCurly>"}"{BN}*("/*!"|"/**"|"//!"|"///")"<" {
lineCount(yyscanner);
- if ( yyextra->curlyCount )
+ if ( yyextra->curlyCount )
{
//addToBody(yytext);
--yyextra->curlyCount ;
@@ -4922,10 +4922,8 @@ OPERATOR "operator"{B}*({ARITHOP}|{ASSIGNOP}|{LOGICOP}|{BITOP})
else
{
yyextra->current->endBodyLine=yyextra->yyLineNr;
- // take yyextra->previous out of yyextra->current_root and move it into yyextra->current
- yyextra->tempEntry = yyextra->current; // remember yyextra->current
- yyextra->current_root->moveFromSubEntry(yyextra->previous.get(),yyextra->current);
- yyextra->previous.reset();
+ yyextra->tempEntry = yyextra->current; // temporarily switch to the previous entry
+ yyextra->current = yyextra->previous;
yyextra->docBlockContext = SkipCurlyEndDoc;
yyextra->docBlockInBody = FALSE;
@@ -6254,7 +6252,7 @@ OPERATOR "operator"{B}*({ARITHOP}|{ASSIGNOP}|{LOGICOP}|{BITOP})
yyextra->nestedComment=FALSE;
BEGIN(DocCopyBlock);
}
-<DocBlock>{CMD}("verbatim"|"latexonly"|"htmlonly"|"xmlonly"|"manonly"|"dot"|"code")/[^a-z_A-Z0-9\-] { // verbatim command (which could contain nested comments!)
+<DocBlock>{CMD}("verbatim"|"latexonly"|"htmlonly"|"xmlonly"|"manonly"|"rtfonly"|"docbookonly"|"dot"|"code")/[^a-z_A-Z0-9\-] { // verbatim command (which could contain nested comments!)
yyextra->docBlock+=yytext;
yyextra->docBlockName=&yytext[1];
yyextra->fencedSize=0;
@@ -6322,7 +6320,7 @@ OPERATOR "operator"{B}*({ARITHOP}|{ASSIGNOP}|{LOGICOP}|{BITOP})
yyextra->docBlock+=yytext;
BEGIN(DocBlock);
}
-<DocCopyBlock>[\\@]("endverbatim"|"endlatexonly"|"endhtmlonly"|"endxmlonly"|"enddocbookonly"|"endmanonly"|"enddot"|"endcode")/[^a-z_A-Z0-9] { // end of verbatim block
+<DocCopyBlock>[\\@]("endverbatim"|"endlatexonly"|"endhtmlonly"|"endxmlonly"|"enddocbookonly"|"endmanonly"|"endrtfonly"|"enddot"|"endcode")/[^a-z_A-Z0-9] { // end of verbatim block
yyextra->docBlock+=yytext;
if (&yytext[4]==yyextra->docBlockName)
{
diff --git a/src/translator_fr.h b/src/translator_fr.h
index f355619..0035e23 100644
--- a/src/translator_fr.h
+++ b/src/translator_fr.h
@@ -129,1077 +129,1088 @@ class TranslatorFrench : public TranslatorAdapter_1_8_15
* "\\usepackage[T1]{fontenc}\n"
* </pre>
*/
- virtual QCString latexLanguageSupportCommand()
- {
- return "\\usepackage[french]{babel}\n"
- "\\NoAutoSpaceBeforeFDP\n";
- }
+ virtual QCString latexLanguageSupportCommand()
+ {
+ return "\\usepackage[french]{babel}\n"
+ "\\NoAutoSpaceBeforeFDP\n";
+ }
// --- Language translation methods -------------------
/*! used in the compound documentation before a list of related functions. */
- virtual QCString trRelatedFunctions()
- { return "Fonctions associées"; }
+ virtual QCString trRelatedFunctions()
+ { return "Fonctions associées"; }
/*! subscript for the related functions. */
- virtual QCString trRelatedSubscript()
- { return "(Notez que ce ne sont pas des fonctions membres)"; }
+ virtual QCString trRelatedSubscript()
+ { return "(Notez que ce ne sont pas des fonctions membres)"; }
/*! header that is put before the detailed description of files, classes and namespaces. */
- virtual QCString trDetailedDescription()
- { return "Description détaillée"; }
+ virtual QCString trDetailedDescription()
+ { return "Description détaillée"; }
/*! header that is put before the list of typedefs. */
- virtual QCString trMemberTypedefDocumentation()
- { return "Documentation des définitions de type membres"; }
+ virtual QCString trMemberTypedefDocumentation()
+ { return "Documentation des définitions de type membres"; }
/*! header that is put before the list of enumerations. */
- virtual QCString trMemberEnumerationDocumentation()
- { return "Documentation des énumérations membres"; }
+ virtual QCString trMemberEnumerationDocumentation()
+ { return "Documentation des énumérations membres"; }
/*! header that is put before the list of member functions. */
- virtual QCString trMemberFunctionDocumentation()
- { return "Documentation des fonctions membres"; }
+ virtual QCString trMemberFunctionDocumentation()
+ { return "Documentation des fonctions membres"; }
/*! header that is put before the list of member attributes. */
- virtual QCString trMemberDataDocumentation()
+ virtual QCString trMemberDataDocumentation()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Documentation des champs";
- }
- else
- {
- return "Documentation des données membres";
- }
+ return "Documentation des champs";
}
+ else
+ {
+ return "Documentation des données membres";
+ }
+ }
/*! this is the text of a link put after brief descriptions. */
- virtual QCString trMore()
- { return "Plus de détails..."; }
+ virtual QCString trMore()
+ { return "Plus de détails..."; }
/*! put in the class documentation */
- virtual QCString trListOfAllMembers()
- { return "Liste de tous les membres"; }
+ virtual QCString trListOfAllMembers()
+ { return "Liste de tous les membres"; }
/*! used as the title of the "list of all members" page of a class */
- virtual QCString trMemberList()
- { return "Liste des membres"; }
+ virtual QCString trMemberList()
+ { return "Liste des membres"; }
/*! this is the first part of a sentence that is followed by a class name */
- virtual QCString trThisIsTheListOfAllMembers()
- { return "Liste complète des membres de "; }
+ virtual QCString trThisIsTheListOfAllMembers()
+ { return "Liste complète des membres de "; }
/*! this is the remainder of the sentence after the class name */
- virtual QCString trIncludingInheritedMembers()
- { return ", y compris les membres hérités :"; }
+ virtual QCString trIncludingInheritedMembers()
+ { return ", y compris les membres hérités :"; }
/*! this is put at the author sections at the bottom of man pages.
* parameter s is name of the project name.
*/
- virtual QCString trGeneratedAutomatically(const char *s)
- { QCString result="Généré automatiquement par Doxygen";
- if (s) result+=(QCString)" pour "+s;
- result+=" à partir du code source.";
- return result;
- }
+ virtual QCString trGeneratedAutomatically(const char *s)
+ { QCString result="Généré automatiquement par Doxygen";
+ if (s) result+=(QCString)" pour "+s;
+ result+=" à partir du code source.";
+ return result;
+ }
/*! put after an enum name in the list of all members */
- virtual QCString trEnumName()
- { return "énumération"; }
+ virtual QCString trEnumName()
+ { return "énumération"; }
/*! put after an enum value in the list of all members */
- virtual QCString trEnumValue()
- { return "valeur énumérée"; }
+ virtual QCString trEnumValue()
+ { return "valeur énumérée"; }
/*! put after an undocumented member in the list of all members */
- virtual QCString trDefinedIn()
- { return "défini dans"; }
+ virtual QCString trDefinedIn()
+ { return "défini dans"; }
// quick reference sections
/*! This is put above each page as a link to the list of all groups of
* compounds or files (see the \\group command).
*/
- virtual QCString trModules()
- { return "Modules"; }
+ virtual QCString trModules()
+ { return "Modules"; }
/*! This is put above each page as a link to the class hierarchy */
- virtual QCString trClassHierarchy()
- { return "Hiérarchie des classes"; }
+ virtual QCString trClassHierarchy()
+ { return "Hiérarchie des classes"; }
/*! This is put above each page as a link to the list of annotated classes */
- virtual QCString trCompoundList()
+ virtual QCString trCompoundList()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Structures de données";
- }
- else
- {
- return "Liste des classes";
- }
+ return "Structures de données";
}
+ else
+ {
+ return "Liste des classes";
+ }
+ }
/*! This is put above each page as a link to the list of documented files */
- virtual QCString trFileList()
- { return "Liste des fichiers"; }
+ virtual QCString trFileList()
+ { return "Liste des fichiers"; }
/*! This is put above each page as a link to all members of compounds. */
- virtual QCString trCompoundMembers()
+ virtual QCString trCompoundMembers()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Champs de donnée";
- }
- else
- {
- return "Membres de classe";
- }
+ return "Champs de donnée";
}
+ else
+ {
+ return "Membres de classe";
+ }
+ }
/*! This is put above each page as a link to all members of files. */
- virtual QCString trFileMembers()
+ virtual QCString trFileMembers()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Variables globale";
- }
- else
- {
- return "Membres de fichier";
- }
+ return "Variables globale";
}
+ else
+ {
+ return "Membres de fichier";
+ }
+ }
/*! This is put above each page as a link to all related pages. */
- virtual QCString trRelatedPages()
- { return "Pages associées"; }
+ virtual QCString trRelatedPages()
+ { return "Pages associées"; }
/*! This is put above each page as a link to all examples. */
- virtual QCString trExamples()
- { return "Exemples"; }
+ virtual QCString trExamples()
+ { return "Exemples"; }
/*! This is put above each page as a link to the search engine. */
- virtual QCString trSearch()
- { return "Recherche"; }
+ virtual QCString trSearch()
+ { return "Recherche"; }
/*! This is an introduction to the class hierarchy. */
- virtual QCString trClassHierarchyDescription()
- { return "Cette liste d'héritage est classée "
- "approximativement par ordre alphabétique :";
+ virtual QCString trClassHierarchyDescription()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_VHDL))
+ {
+ return "Liste hiérarchique de toutes les entités :";
}
-
- /*! This is an introduction to the list with all files. */
- virtual QCString trFileListDescription(bool extractAll)
+ else
{
- QCString result="Liste de tous les fichiers ";
- if (!extractAll) result+="documentés ";
- result+="avec une brève description :";
- return result;
+ return "Cette liste d'héritage est classée "
+ "approximativement par ordre alphabétique :";
}
+ }
+
+ /*! This is an introduction to the list with all files. */
+ virtual QCString trFileListDescription(bool extractAll)
+ {
+ QCString result="Liste de tous les fichiers ";
+ if (!extractAll) result+="documentés ";
+ result+="avec une brève description :";
+ return result;
+ }
/*! This is an introduction to the annotated compound list. */
- virtual QCString trCompoundListDescription()
+ virtual QCString trCompoundListDescription()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
-
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Liste des structures de données avec une brève description :";
- }
- else
- {
- return "Liste des classes, structures, "
+ return "Liste des structures de données avec une brève description :";
+ }
+ else if (Config_getBool(OPTIMIZE_OUTPUT_SLICE))
+ {
+ return "Liste des classes avec une brève description :";
+ }
+ else
+ {
+ return "Liste des classes, structures, "
"unions et interfaces avec une brève description :";
- }
}
+ }
/*! This is an introduction to the page with all class members. */
- virtual QCString trCompoundMembersDescription(bool extractAll)
+ virtual QCString trCompoundMembersDescription(bool extractAll)
+ {
+ QCString result="Liste de tous les ";
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
+ {
+ result+="champs de structure et d'union ";
+ }
+ else
{
- QCString result="Liste de tous les ";
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- result+="champs de structure et d'union ";
- }
- else
- {
- result+="membres de classe ";
- }
- if (!extractAll)
- {
- result+="documentés ";
- }
- result+="avec des liens vers ";
- if (!extractAll)
- {
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- result+="la documentation de structure/union de chaque champ :";
- }
- else
- {
- result+="la documentation de classe de chaque membre :";
- }
- }
- else
- {
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- result+="les structures/unions auxquelles ils appartiennent :";
- }
- else
- {
- result+="les classes auxquelles ils appartiennent :";
- }
- }
- return result;
+ result+="membres de classe ";
+ }
+ if (!extractAll)
+ {
+ result+="documentés ";
}
+ result+="avec des liens vers ";
+ if (!extractAll)
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
+ {
+ result+="la documentation de structure/union de chaque champ :";
+ }
+ else
+ {
+ result+="la documentation de classe de chaque membre :";
+ }
+ }
+ else
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
+ {
+ result+="les structures/unions auxquelles ils appartiennent :";
+ }
+ else
+ {
+ result+="les classes auxquelles ils appartiennent :";
+ }
+ }
+ return result;
+ }
/*! This is an introduction to the page with all file members. */
- virtual QCString trFileMembersDescription(bool extractAll)
+ virtual QCString trFileMembersDescription(bool extractAll)
+ {
+ QCString result="Liste ";
+
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
+ {
+ result+="de toutes les fonctions, variables, macros, enumérations, et définitions de type ";
+ }
+ else
{
- QCString result="Liste ";
-
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- result+="de toutes les fonctions, variables, macros, enumérations, et définitions de type ";
- }
- else
- {
- result+="de tous les membres de fichier ";
- }
- if (!extractAll) result+="documentés ";
- result+="avec des liens vers ";
- if (extractAll)
- result+="les fichiers auxquels ils appartiennent :";
- else
- result+="la documentation :";
- return result;
+ result+="de tous les membres de fichier ";
}
+ if (!extractAll) result+="documentés ";
+ result+="avec des liens vers ";
+ if (extractAll)
+ result+="les fichiers auxquels ils appartiennent :";
+ else
+ result+="la documentation :";
+ return result;
+ }
/*! This is an introduction to the page with the list of all examples */
- virtual QCString trExamplesDescription()
- { return "Liste de tous les exemples :"; }
+ virtual QCString trExamplesDescription()
+ { return "Liste de tous les exemples :"; }
/*! This is an introduction to the page with the list of related pages */
- virtual QCString trRelatedPagesDescription()
- { return "Liste de toutes les pages de documentation associées :"; }
+ virtual QCString trRelatedPagesDescription()
+ { return "Liste de toutes les pages de documentation associées :"; }
/*! This is an introduction to the page with the list of class/file groups */
- virtual QCString trModulesDescription()
- { return "Liste de tous les modules :"; }
+ virtual QCString trModulesDescription()
+ { return "Liste de tous les modules :"; }
/*! This is used in HTML as the title of index.html. */
- virtual QCString trDocumentation()
- { return "Documentation"; }
+ virtual QCString trDocumentation()
+ { return "Documentation"; }
/*! This is used in LaTeX as the title of the chapter with the
* index of all groups.
*/
- virtual QCString trModuleIndex()
- { return "Index des modules"; }
+ virtual QCString trModuleIndex()
+ { return "Index des modules"; }
/*! This is used in LaTeX as the title of the chapter with the
* class hierarchy.
*/
- virtual QCString trHierarchicalIndex()
- { return "Index hiérarchique"; }
+ virtual QCString trHierarchicalIndex()
+ { return "Index hiérarchique"; }
/*! This is used in LaTeX as the title of the chapter with the
* annotated compound index.
*/
- virtual QCString trCompoundIndex()
+ virtual QCString trCompoundIndex()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
+ {
+ return "Index des structures de données";
+ }
+ else
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Index des structures de données";
- }
- else
- {
- return "Index des classes";
- }
+ return "Index des classes";
}
+ }
/*! This is used in LaTeX as the title of the chapter with the
* list of all files.
*/
- virtual QCString trFileIndex()
- { return "Index des fichiers"; }
+ virtual QCString trFileIndex()
+ { return "Index des fichiers"; }
/*! This is used in LaTeX as the title of the chapter containing
* the documentation of all groups.
*/
- virtual QCString trModuleDocumentation()
- { return "Documentation des modules"; }
+ virtual QCString trModuleDocumentation()
+ { return "Documentation des modules"; }
/*! This is used in LaTeX as the title of the chapter containing
* the documentation of all classes, structs and unions.
*/
- virtual QCString trClassDocumentation()
+ virtual QCString trClassDocumentation()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Documentation des structures de données";
- }
- else
- {
- return "Documentation des classes";
- }
+ return "Documentation des structures de données";
}
+ else
+ {
+ return "Documentation des classes";
+ }
+ }
/*! This is used in LaTeX as the title of the chapter containing
* the documentation of all files.
*/
- virtual QCString trFileDocumentation()
- { return "Documentation des fichiers"; }
+ virtual QCString trFileDocumentation()
+ { return "Documentation des fichiers"; }
/*! This is used in LaTeX as the title of the chapter containing
* the documentation of all examples.
*/
- virtual QCString trExampleDocumentation()
- { return "Documentation des exemples"; }
+ virtual QCString trExampleDocumentation()
+ { return "Documentation des exemples"; }
/*! This is used in LaTeX as the title of the chapter containing
* the documentation of all related pages.
*/
- virtual QCString trPageDocumentation()
- { return "Documentation des pages associées"; }
+ virtual QCString trPageDocumentation()
+ { return "Documentation des pages associées"; }
/*! This is used in LaTeX as the title of the document */
- virtual QCString trReferenceManual()
- { return "Manuel de référence"; }
+ virtual QCString trReferenceManual()
+ { return "Manuel de référence"; }
/*! This is used in the documentation of a file as a header before the
* list of defines
*/
- virtual QCString trDefines()
- { return "Macros"; }
+ virtual QCString trDefines()
+ { return "Macros"; }
/*! This is used in the documentation of a file as a header before the
* list of typedefs
*/
- virtual QCString trTypedefs()
- { return "Définitions de type"; }
+ virtual QCString trTypedefs()
+ { return "Définitions de type"; }
/*! This is used in the documentation of a file as a header before the
* list of enumerations
*/
- virtual QCString trEnumerations()
- { return "Énumérations"; }
+ virtual QCString trEnumerations()
+ { return "Énumérations"; }
/*! This is used in the documentation of a file as a header before the
* list of (global) functions
*/
- virtual QCString trFunctions()
- { return "Fonctions"; }
+ virtual QCString trFunctions()
+ { return "Fonctions"; }
/*! This is used in the documentation of a file as a header before the
* list of (global) variables
*/
- virtual QCString trVariables()
- { return "Variables"; }
+ virtual QCString trVariables()
+ { return "Variables"; }
/*! This is used in the documentation of a file as a header before the
* list of (global) variables
*/
- virtual QCString trEnumerationValues()
- { return "Valeurs énumérées"; }
+ virtual QCString trEnumerationValues()
+ { return "Valeurs énumérées"; }
/*! This is used in the documentation of a file before the list of
* documentation blocks for defines
*/
- virtual QCString trDefineDocumentation()
- { return "Documentation des macros"; }
+ virtual QCString trDefineDocumentation()
+ { return "Documentation des macros"; }
/*! This is used in the documentation of a file/namespace before the list
* of documentation blocks for typedefs
*/
- virtual QCString trTypedefDocumentation()
- { return "Documentation des définitions de type"; }
+ virtual QCString trTypedefDocumentation()
+ { return "Documentation des définitions de type"; }
/*! This is used in the documentation of a file/namespace before the list
* of documentation blocks for enumeration types
*/
- virtual QCString trEnumerationTypeDocumentation()
- { return "Documentation du type de l'énumération"; }
+ virtual QCString trEnumerationTypeDocumentation()
+ { return "Documentation du type de l'énumération"; }
/*! This is used in the documentation of a file/namespace before the list
* of documentation blocks for functions
*/
- virtual QCString trFunctionDocumentation()
- { return "Documentation des fonctions"; }
+ virtual QCString trFunctionDocumentation()
+ { return "Documentation des fonctions"; }
/*! This is used in the documentation of a file/namespace before the list
* of documentation blocks for variables
*/
- virtual QCString trVariableDocumentation()
- { return "Documentation des variables"; }
+ virtual QCString trVariableDocumentation()
+ { return "Documentation des variables"; }
/*! This is used in the documentation of a file/namespace/group before
* the list of links to documented compounds
*/
- virtual QCString trCompounds()
+ virtual QCString trCompounds()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Structures de données";
- }
- else
- {
- return "Classes";
- }
+ return "Structures de données";
}
+ else
+ {
+ return "Classes";
+ }
+ }
/*! This is used in the standard footer of each page and indicates when
* the page was generated
*/
- virtual QCString trGeneratedAt(const char *date,const char *projName)
- {
- QCString result=(QCString)"Généré le "+date;
- if (projName) result+=(QCString)" pour "+projName;
- result+=(QCString)" par";
- return result;
- }
+ virtual QCString trGeneratedAt(const char *date,const char *projName)
+ {
+ QCString result=(QCString)"Généré le "+date;
+ if (projName) result+=(QCString)" pour "+projName;
+ result+=(QCString)" par";
+ return result;
+ }
/*! this text is put before a class diagram */
- virtual QCString trClassDiagram(const char *clName)
- {
- return (QCString)"Graphe d'héritage de "+clName+":";
- }
+ virtual QCString trClassDiagram(const char *clName)
+ {
+ return (QCString)"Graphe d'héritage de "+clName+":";
+ }
/*! this text is generated when the \\internal command is used. */
- virtual QCString trForInternalUseOnly()
- { return "Pour un usage interne uniquement."; }
+ virtual QCString trForInternalUseOnly()
+ { return "Pour un usage interne uniquement."; }
/*! this text is generated when the \\warning command is used. */
- virtual QCString trWarning()
- { return "Avertissement"; }
+ virtual QCString trWarning()
+ { return "Avertissement"; }
/*! this text is generated when the \\version command is used. */
- virtual QCString trVersion()
- { return "Version"; }
+ virtual QCString trVersion()
+ { return "Version"; }
/*! this text is generated when the \\date command is used. */
- virtual QCString trDate()
- { return "Date"; }
+ virtual QCString trDate()
+ { return "Date"; }
/*! this text is generated when the \\return command is used. */
- virtual QCString trReturns()
- { return "Renvoie"; }
+ virtual QCString trReturns()
+ { return "Renvoie"; }
/*! this text is generated when the \\sa command is used. */
- virtual QCString trSeeAlso()
- { return "Voir également"; }
+ virtual QCString trSeeAlso()
+ { return "Voir également"; }
/*! this text is generated when the \\param command is used. */
- virtual QCString trParameters()
- { return "Paramètres"; }
+ virtual QCString trParameters()
+ { return "Paramètres"; }
/*! this text is generated when the \\exception command is used. */
- virtual QCString trExceptions()
- { return "Exceptions"; }
+ virtual QCString trExceptions()
+ { return "Exceptions"; }
/*! this text is used in the title page of a LaTeX document. */
- virtual QCString trGeneratedBy()
- { return "Généré par"; }
+ virtual QCString trGeneratedBy()
+ { return "Généré par"; }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-990307
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-990307
+//////////////////////////////////////////////////////////////////////////
/*! used as the title of page containing all the index of all namespaces. */
- virtual QCString trNamespaceList()
- { return "Liste des espaces de nommage"; }
+ virtual QCString trNamespaceList()
+ { return "Liste des espaces de nommage"; }
/*! used as an introduction to the namespace list */
- virtual QCString trNamespaceListDescription(bool extractAll)
- {
- QCString result="Liste de tous les espaces de nommage ";
- if (!extractAll) result+="documentés ";
- result+="avec une brève description:";
- return result;
- }
+ virtual QCString trNamespaceListDescription(bool extractAll)
+ {
+ QCString result="Liste de tous les espaces de nommage ";
+ if (!extractAll) result+="documentés ";
+ result+="avec une brève description:";
+ return result;
+ }
/*! used in the class documentation as a header before the list of all
* friends of a class
*/
- virtual QCString trFriends()
- { return "Amis"; }
+ virtual QCString trFriends()
+ { return "Amis"; }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-990405
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-990405
+//////////////////////////////////////////////////////////////////////////
/*! used in the class documentation as a header before the list of all
* related classes
*/
- virtual QCString trRelatedFunctionDocumentation()
- { return "Documentation des fonctions amies et associées"; }
+ virtual QCString trRelatedFunctionDocumentation()
+ { return "Documentation des fonctions amies et associées"; }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-990425
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-990425
+//////////////////////////////////////////////////////////////////////////
/*! used as the title of the HTML page of a class/struct/union */
- virtual QCString trCompoundReference(const char *clName,
+ virtual QCString trCompoundReference(const char *clName,
ClassDef::CompoundType compType,
bool isTemplate)
+ {
+ QCString result="Référence ";
+ if (isTemplate) result+="du modèle ";
+ result+="de ";
+ switch(compType)
{
- QCString result="Référence ";
- if (isTemplate) result+="du modèle ";
- result+="de ";
- switch(compType)
- {
- case ClassDef::Class: result+="la classe "; break;
- case ClassDef::Struct: result+="la structure "; break;
- case ClassDef::Union: result+="l'union "; break;
- case ClassDef::Interface: result+="l'interface "; break;
- case ClassDef::Protocol: result+="le protocol "; break;
- case ClassDef::Category: result+="la catégorie "; break;
- case ClassDef::Exception: result+="l'exception "; break;
- default: break;
- }
- result+=(QCString)clName;
- return result;
+ case ClassDef::Class: result+="la classe "; break;
+ case ClassDef::Struct: result+="la structure "; break;
+ case ClassDef::Union: result+="l'union "; break;
+ case ClassDef::Interface: result+="l'interface "; break;
+ case ClassDef::Protocol: result+="le protocol "; break;
+ case ClassDef::Category: result+="la catégorie "; break;
+ case ClassDef::Exception: result+="l'exception "; break;
+ default: break;
}
+ result+=(QCString)clName;
+ return result;
+ }
/*! used as the title of the HTML page of a file */
- virtual QCString trFileReference(const char *fileName)
- {
- QCString result= "Référence du fichier ";
- result+=fileName;
- return result;
- }
+ virtual QCString trFileReference(const char *fileName)
+ {
+ QCString result= "Référence du fichier ";
+ result+=fileName;
+ return result;
+ }
/*! used as the title of the HTML page of a namespace */
- virtual QCString trNamespaceReference(const char *namespaceName)
- {
- QCString result= "Référence de l'espace de nommage ";
- result+=namespaceName;
- return result;
- }
+ virtual QCString trNamespaceReference(const char *namespaceName)
+ {
+ QCString result= "Référence de l'espace de nommage ";
+ result+=namespaceName;
+ return result;
+ }
- virtual QCString trPublicMembers()
- { return "Fonctions membres publiques"; }
- virtual QCString trPublicSlots()
- { return "Connecteurs publics"; }
- virtual QCString trSignals()
- { return "Signaux"; }
- virtual QCString trStaticPublicMembers()
- { return "Fonctions membres publiques statiques"; }
- virtual QCString trProtectedMembers()
- { return "Fonctions membres protégées"; }
- virtual QCString trProtectedSlots()
- { return "Connecteurs protégés"; }
- virtual QCString trStaticProtectedMembers()
- { return "Fonctions membres protégées statiques"; }
- virtual QCString trPrivateMembers()
- { return "Fonctions membres privées"; }
- virtual QCString trPrivateSlots()
- { return "Connecteurs privés"; }
- virtual QCString trStaticPrivateMembers()
- { return "Fonctions membres privées statiques"; }
+ virtual QCString trPublicMembers()
+ { return "Fonctions membres publiques"; }
+ virtual QCString trPublicSlots()
+ { return "Connecteurs publics"; }
+ virtual QCString trSignals()
+ { return "Signaux"; }
+ virtual QCString trStaticPublicMembers()
+ { return "Fonctions membres publiques statiques"; }
+ virtual QCString trProtectedMembers()
+ { return "Fonctions membres protégées"; }
+ virtual QCString trProtectedSlots()
+ { return "Connecteurs protégés"; }
+ virtual QCString trStaticProtectedMembers()
+ { return "Fonctions membres protégées statiques"; }
+ virtual QCString trPrivateMembers()
+ { return "Fonctions membres privées"; }
+ virtual QCString trPrivateSlots()
+ { return "Connecteurs privés"; }
+ virtual QCString trStaticPrivateMembers()
+ { return "Fonctions membres privées statiques"; }
/*! this function is used to produce a comma-separated list of items.
* use generateMarker(i) to indicate where item i should be put.
*/
- virtual QCString trWriteList(int numEntries)
- {
- QCString result;
- int i;
+ virtual QCString trWriteList(int numEntries)
+ {
+ QCString result;
+ int i;
// the inherits list contain `numEntries' classes
- for (i=0;i<numEntries;i++)
- {
- // use generateMarker to generate placeholders for the class links!
- result+=generateMarker(i); // generate marker for entry i in the list
+ for (i=0;i<numEntries;i++)
+ {
+ // use generateMarker to generate placeholders for the class links!
+ result+=generateMarker(i); // generate marker for entry i in the list
// (order is left to right)
- if (i!=numEntries-1) // not the last entry, so we need a separator
- {
- if (i<numEntries-2) // not the fore last entry
- result+=", ";
- else // the fore last entry
- result+=", et ";
- }
- }
- return result;
+ if (i!=numEntries-1) // not the last entry, so we need a separator
+ {
+ if (i<numEntries-2) // not the fore last entry
+ result+=", ";
+ else // the fore last entry
+ result+=", et ";
+ }
}
+ return result;
+ }
/*! used in class documentation to produce a list of base classes,
* if class diagrams are disabled.
*/
- virtual QCString trInheritsList(int numEntries)
- {
- return "Est dérivée de "+trWriteList(numEntries)+".";
- }
+ virtual QCString trInheritsList(int numEntries)
+ {
+ return "Est dérivée de "+trWriteList(numEntries)+".";
+ }
/*! used in class documentation to produce a list of super classes,
* if class diagrams are disabled.
*/
- virtual QCString trInheritedByList(int numEntries)
- {
- return "Dérivée par "+trWriteList(numEntries)+".";
- }
+ virtual QCString trInheritedByList(int numEntries)
+ {
+ return "Dérivée par "+trWriteList(numEntries)+".";
+ }
/*! used in member documentation blocks to produce a list of
* members that are hidden by this one.
*/
- virtual QCString trReimplementedFromList(int numEntries)
- {
- return "Réimplémentée à partir de "+trWriteList(numEntries)+".";
- }
+ virtual QCString trReimplementedFromList(int numEntries)
+ {
+ return "Réimplémentée à partir de "+trWriteList(numEntries)+".";
+ }
/*! used in member documentation blocks to produce a list of
* all member that overwrite the implementation of this member.
*/
- virtual QCString trReimplementedInList(int numEntries)
- {
- return "Réimplémentée dans "+trWriteList(numEntries)+".";
- }
+ virtual QCString trReimplementedInList(int numEntries)
+ {
+ return "Réimplémentée dans "+trWriteList(numEntries)+".";
+ }
/*! This is put above each page as a link to all members of namespaces. */
- virtual QCString trNamespaceMembers()
- { return "Membres de l'espace de nommage"; }
+ virtual QCString trNamespaceMembers()
+ { return "Membres de l'espace de nommage"; }
/*! This is an introduction to the page with all namespace members */
- virtual QCString trNamespaceMemberDescription(bool extractAll)
- {
- QCString result="Liste de tous les membres des espaces de nommage ";
- if (!extractAll) result+="documentés ";
- result+="avec des liens vers ";
- if (extractAll)
- result+="la documentation de namespace de chaque membre :";
- else
- result+="les espaces de nommage auxquels ils appartiennent :";
- return result;
- }
+ virtual QCString trNamespaceMemberDescription(bool extractAll)
+ {
+ QCString result="Liste de tous les membres des espaces de nommage ";
+ if (!extractAll) result+="documentés ";
+ result+="avec des liens vers ";
+ if (extractAll)
+ result+="la documentation de namespace de chaque membre :";
+ else
+ result+="les espaces de nommage auxquels ils appartiennent :";
+ return result;
+ }
/*! This is used in LaTeX as the title of the chapter with the
* index of all namespaces.
*/
- virtual QCString trNamespaceIndex()
- { return "Index des espaces de nommage"; }
+ virtual QCString trNamespaceIndex()
+ { return "Index des espaces de nommage"; }
/*! This is used in LaTeX as the title of the chapter containing
* the documentation of all namespaces.
*/
- virtual QCString trNamespaceDocumentation()
- { return "Documentation des espaces de nommage"; }
+ virtual QCString trNamespaceDocumentation()
+ { return "Documentation des espaces de nommage"; }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-990522
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-990522
+//////////////////////////////////////////////////////////////////////////
/*! This is used in the documentation before the list of all
* namespaces in a file.
*/
- virtual QCString trNamespaces()
- { return "Espaces de nommage"; }
+ virtual QCString trNamespaces()
+ { return "Espaces de nommage"; }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-990728
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-990728
+//////////////////////////////////////////////////////////////////////////
/*! This is put at the bottom of a class documentation page and is
* followed by a list of files that were used to generate the page.
*/
- virtual QCString trGeneratedFromFiles(ClassDef::CompoundType compType,
+ virtual QCString trGeneratedFromFiles(ClassDef::CompoundType compType,
bool single)
- { // here s is one of " Class", " Struct" or " Union"
+ { // here s is one of " Class", " Struct" or " Union"
// single is true implies a single file
- bool female = true;
- QCString result=(QCString)"La documentation de ";
- switch(compType)
- {
- case ClassDef::Class: result+="cette classe"; break;
- case ClassDef::Struct: result+="cette structure"; break;
- case ClassDef::Union: result+="cette union"; break;
- case ClassDef::Interface: result+="cette interface"; break;
- case ClassDef::Protocol: result+="ce protocol"; female = false; break;
- case ClassDef::Category: result+="cette catégorie"; break;
- case ClassDef::Exception: result+="cette exception"; break;
- default: break;
- }
- if (female) result+= " a été générée à partir ";
- else result+=" a été généré à partir ";
- if (single) result+="du fichier suivant :";
- else result+="des fichiers suivants :";
- return result;
+ bool feminine = true;
+ QCString result=(QCString)"La documentation de ";
+ switch(compType)
+ {
+ case ClassDef::Class: result+="cette classe"; break;
+ case ClassDef::Struct: result+="cette structure"; break;
+ case ClassDef::Union: result+="cette union"; break;
+ case ClassDef::Interface: result+="cette interface"; break;
+ case ClassDef::Protocol: result+="ce protocol"; feminine = false; break;
+ case ClassDef::Category: result+="cette catégorie"; break;
+ case ClassDef::Exception: result+="cette exception"; break;
+ default: break;
}
+ if (feminine) result+= " a été générée à partir ";
+ else result+=" a été généré à partir ";
+ if (feminine) result+="du fichier suivant :";
+ else result+="des fichiers suivants :";
+ return result;
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-990901
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-990901
+//////////////////////////////////////////////////////////////////////////
/*! This is used as the heading text for the retval command. */
- virtual QCString trReturnValues()
- { return "Valeurs retournées"; }
+ virtual QCString trReturnValues()
+ { return "Valeurs retournées"; }
/*! This is in the (quick) index as a link to the main page (index.html)
*/
- virtual QCString trMainPage()
- { return "Page principale"; }
+ virtual QCString trMainPage()
+ { return "Page principale"; }
/*! This is used in references to page that are put in the LaTeX
* documentation. It should be an abbreviation of the word page.
*/
- virtual QCString trPageAbbreviation()
- { return "p."; }
+ virtual QCString trPageAbbreviation()
+ { return "p."; }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-991003
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-991003
+//////////////////////////////////////////////////////////////////////////
- virtual QCString trDefinedAtLineInSourceFile()
- {
- return "Définition à la ligne @0 du fichier @1.";
- }
- virtual QCString trDefinedInSourceFile()
- {
- return "Définition dans le fichier @0.";
- }
+ virtual QCString trDefinedAtLineInSourceFile()
+ {
+ return "Définition à la ligne @0 du fichier @1.";
+ }
+ virtual QCString trDefinedInSourceFile()
+ {
+ return "Définition dans le fichier @0.";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 0.49-991205
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 0.49-991205
+//////////////////////////////////////////////////////////////////////////
- virtual QCString trDeprecated()
- {
- return "Obsolète";
- }
+ virtual QCString trDeprecated()
+ {
+ return "Obsolète";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.0.0
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.0.0
+//////////////////////////////////////////////////////////////////////////
/*! this text is put before a collaboration diagram */
- virtual QCString trCollaborationDiagram(const char *clName)
- {
- return (QCString)"Graphe de collaboration de "+clName+":";
- }
+ virtual QCString trCollaborationDiagram(const char *clName)
+ {
+ return (QCString)"Graphe de collaboration de "+clName+":";
+ }
/*! this text is put before an include dependency graph */
- virtual QCString trInclDepGraph(const char *fName)
- {
- return (QCString)"Graphe des dépendances par inclusion de "+fName+":";
- }
+ virtual QCString trInclDepGraph(const char *fName)
+ {
+ return (QCString)"Graphe des dépendances par inclusion de "+fName+":";
+ }
/*! header that is put before the list of constructor/destructors. */
- virtual QCString trConstructorDocumentation()
- {
- return "Documentation des constructeurs et destructeur";
- }
+ virtual QCString trConstructorDocumentation()
+ {
+ return "Documentation des constructeurs et destructeur";
+ }
/*! Used in the file documentation to point to the corresponding sources. */
- virtual QCString trGotoSourceCode()
- {
- return "Aller au code source de ce fichier.";
- }
+ virtual QCString trGotoSourceCode()
+ {
+ return "Aller au code source de ce fichier.";
+ }
/*! Used in the file sources to point to the corresponding documentation. */
- virtual QCString trGotoDocumentation()
- {
- return "Aller à la documentation de ce fichier.";
- }
+ virtual QCString trGotoDocumentation()
+ {
+ return "Aller à la documentation de ce fichier.";
+ }
/*! Text for the \\pre command */
- virtual QCString trPrecondition()
- {
- return "Précondition";
- }
+ virtual QCString trPrecondition()
+ {
+ return "Précondition";
+ }
/*! Text for the \\post command */
- virtual QCString trPostcondition()
- {
- return "Postcondition";
- }
+ virtual QCString trPostcondition()
+ {
+ return "Postcondition";
+ }
/*! Text for the \\invariant command */
- virtual QCString trInvariant()
- {
- return "Invariant";
- }
+ virtual QCString trInvariant()
+ {
+ return "Invariant";
+ }
/*! Text shown before a multi-line variable/enum initialization */
- virtual QCString trInitialValue()
- {
- return "Valeur initiale :";
- }
+ virtual QCString trInitialValue()
+ {
+ return "Valeur initiale :";
+ }
/*! Text used the source code in the file index */
- virtual QCString trCode()
- {
- return "code";
- }
- virtual QCString trGraphicalHierarchy()
- {
- return "Graphe hiérarchique des classes";
- }
- virtual QCString trGotoGraphicalHierarchy()
- {
- return "Aller au graphe hiérarchique des classes";
- }
- virtual QCString trGotoTextualHierarchy()
- {
- return "Aller à la hiérarchie des classes en mode texte";
- }
- virtual QCString trPageIndex()
- {
- return "Index des pages";
- }
+ virtual QCString trCode()
+ {
+ return "code";
+ }
+ virtual QCString trGraphicalHierarchy()
+ {
+ return "Graphe hiérarchique des classes";
+ }
+ virtual QCString trGotoGraphicalHierarchy()
+ {
+ return "Aller au graphe hiérarchique des classes";
+ }
+ virtual QCString trGotoTextualHierarchy()
+ {
+ return "Aller à la hiérarchie des classes en mode texte";
+ }
+ virtual QCString trPageIndex()
+ {
+ return "Index des pages";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.1.0
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.1.0
+//////////////////////////////////////////////////////////////////////////
- virtual QCString trNote()
- {
- return "Note";
- }
- virtual QCString trPublicTypes()
- {
- return "Types publics";
- }
- virtual QCString trPublicAttribs()
- {
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Champs de données";
- }
- else
- {
- return "Attributs publics";
- }
- }
- virtual QCString trStaticPublicAttribs()
- {
- return "Attributs publics statiques";
- }
- virtual QCString trProtectedTypes()
- {
- return "Types protégés";
- }
- virtual QCString trProtectedAttribs()
- {
- return "Attributs protégés";
- }
- virtual QCString trStaticProtectedAttribs()
- {
- return "Attributs protégés statiques";
- }
- virtual QCString trPrivateTypes()
- {
- return "Types privés";
- }
- virtual QCString trPrivateAttribs()
+ virtual QCString trNote()
+ {
+ return "Note";
+ }
+ virtual QCString trPublicTypes()
+ {
+ return "Types publics";
+ }
+ virtual QCString trPublicAttribs()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- return "Attributs privés";
+ return "Champs de données";
}
- virtual QCString trStaticPrivateAttribs()
+ else
{
- return "Attributs privés statiques";
+ return "Attributs publics";
}
+ }
+ virtual QCString trStaticPublicAttribs()
+ {
+ return "Attributs publics statiques";
+ }
+ virtual QCString trProtectedTypes()
+ {
+ return "Types protégés";
+ }
+ virtual QCString trProtectedAttribs()
+ {
+ return "Attributs protégés";
+ }
+ virtual QCString trStaticProtectedAttribs()
+ {
+ return "Attributs protégés statiques";
+ }
+ virtual QCString trPrivateTypes()
+ {
+ return "Types privés";
+ }
+ virtual QCString trPrivateAttribs()
+ {
+ return "Attributs privés";
+ }
+ virtual QCString trStaticPrivateAttribs()
+ {
+ return "Attributs privés statiques";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.1.3
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.1.3
+//////////////////////////////////////////////////////////////////////////
/*! Used as a marker that is put before a \\todo item */
- virtual QCString trTodo()
- {
- return "A faire";
- }
+ virtual QCString trTodo()
+ {
+ return "A faire";
+ }
/*! Used as the header of the todo list */
- virtual QCString trTodoList()
- {
- return "Liste des choses à faire";
- }
+ virtual QCString trTodoList()
+ {
+ return "Liste des choses à faire";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.1.4
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.1.4
+//////////////////////////////////////////////////////////////////////////
- virtual QCString trReferencedBy()
- {
- return "Référencé par";
- }
- virtual QCString trRemarks()
- {
- return "Remarques";
- }
- virtual QCString trAttention()
- {
- return "Attention";
- }
- virtual QCString trInclByDepGraph()
- {
- return "Ce graphe montre quels fichiers incluent directement "
+ virtual QCString trReferencedBy()
+ {
+ return "Référencé par";
+ }
+ virtual QCString trRemarks()
+ {
+ return "Remarques";
+ }
+ virtual QCString trAttention()
+ {
+ return "Attention";
+ }
+ virtual QCString trInclByDepGraph()
+ {
+ return "Ce graphe montre quels fichiers incluent directement "
"ou indirectement ce fichier :";
- }
- virtual QCString trSince()
- {
- return "Depuis";
- }
+ }
+ virtual QCString trSince()
+ {
+ return "Depuis";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.1.5
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.1.5
+//////////////////////////////////////////////////////////////////////////
/*! title of the graph legend page */
- virtual QCString trLegendTitle()
- {
- return "Légende du graphe";
- }
+ virtual QCString trLegendTitle()
+ {
+ return "Légende du graphe";
+ }
/*! page explaining how the dot graph's should be interpreted
* The %A in the text below are to prevent link to classes called "A".
*/
- virtual QCString trLegendDocs()
- {
- return
- "Cette page explique comment interpréter les graphes générés "
- "par doxygen.<p>\n"
- "Considérez l'exemple suivant :\n"
- "\\code\n"
- "/*! Classe invisible à cause d'une troncature */\n"
- "class Invisible { };\n\n"
- "/*! Classe tronquée, la relation d'héritage est masquée */\n"
- "class Truncated : public Invisible { };\n\n"
- "/*! Classe non documentée avec des commentaires Doxygen */\n"
- "class Undocumented { };\n\n"
- "/*! Classe dérivée par héritage public */\n"
- "class PublicBase : public Truncated { };\n\n"
- "/*! Un modèle de classe */\n"
- "template<class T> class Templ { };\n\n"
- "/*! Classe dérivée par héritage protégé */\n"
- "class ProtectedBase { };\n\n"
- "/*! Classe dérivée par héritage privé */\n"
- "class PrivateBase { };\n\n"
- "/*! Classe utilisée par la classe dérivée */\n"
- "class Used { };\n\n"
- "/*! Super-classe qui hérite de plusieurs autres classes */\n"
- "class Inherited : public PublicBase,\n"
- " protected ProtectedBase,\n"
- " private PrivateBase,\n"
- " public Undocumented,\n"
- " public Templ<int>\n"
- "{\n"
- " private:\n"
- " Used *m_usedClass;\n"
- "};\n"
- "\\endcode\n"
- "Cela aboutira au graphe suivant :"
- "<p><center><img alt=\"\" src=\"graph_legend."+getDotImageExtension()+"\"></center></p>\n"
- "<p>\n"
- "Les rectangles du graphe ci-dessus ont la signification suivante :\n"
- "<ul>\n"
- "<li>Un rectangle plein noir représente la structure ou la classe pour laquelle "
- "le graphe est généré.\n"
- "<li>Un rectangle avec un bord noir indique une classe ou une structure documentée.\n"
- "<li>Un rectangle avec un bord gris indique une classe ou une structure non documentée.\n"
- "<li>Un rectangle avec un bord rouge indique une structure ou une classe documentée\n"
- "pour laquelle des relations d'héritage ou de collaboration manquent. Un graphe est "
- "tronqué s'il n'entre pas dans les limites spécifiées."
- "</ul>\n"
- "Les flèches ont la signification suivante :\n"
- "<ul>\n"
- "<li>Une flèche bleu foncé est utilisée pour visualiser une relation d'héritage publique "
- "entre deux classes.\n"
- "<li>Une flèche vert foncé est utilisée pour une relation d'héritage protégée.\n"
- "<li>Une flèche rouge foncé est utilisée pour une relation d'héritage privée.\n"
- "<li>Une flèche violette en pointillés est utilisée si une classe est contenue ou "
- "utilisée par une autre classe. La flèche est étiquetée avec la ou les variable(s) "
- "qui permettent d'accéder à la classe ou structure pointée. \n"
- "<li>Une flèche jaune en pointillés indique une relation entre un modèle d'instance et "
- "le modèle de classe duquel il est instancié. La flèche est étiquetée avec "
- "les paramètres de modèle de l'instance.\n"
- "</ul>\n";
- }
+ virtual QCString trLegendDocs()
+ {
+ return
+ "Cette page explique comment interpréter les graphes générés "
+ "par doxygen.<p>\n"
+ "Considérez l'exemple suivant :\n"
+ "\\code\n"
+ "/*! Classe invisible à cause d'une troncature */\n"
+ "class Invisible { };\n\n"
+ "/*! Classe tronquée, la relation d'héritage est masquée */\n"
+ "class Truncated : public Invisible { };\n\n"
+ "/*! Classe non documentée avec des commentaires Doxygen */\n"
+ "class Undocumented { };\n\n"
+ "/*! Classe dérivée par héritage public */\n"
+ "class PublicBase : public Truncated { };\n\n"
+ "/*! Un modèle de classe */\n"
+ "template<class T> class Templ { };\n\n"
+ "/*! Classe dérivée par héritage protégé */\n"
+ "class ProtectedBase { };\n\n"
+ "/*! Classe dérivée par héritage privé */\n"
+ "class PrivateBase { };\n\n"
+ "/*! Classe utilisée par la classe dérivée */\n"
+ "class Used { };\n\n"
+ "/*! Super-classe qui hérite de plusieurs autres classes */\n"
+ "class Inherited : public PublicBase,\n"
+ " protected ProtectedBase,\n"
+ " private PrivateBase,\n"
+ " public Undocumented,\n"
+ " public Templ<int>\n"
+ "{\n"
+ " private:\n"
+ " Used *m_usedClass;\n"
+ "};\n"
+ "\\endcode\n"
+ "Cela aboutira au graphe suivant :"
+ "<p><center><img alt=\"\" src=\"graph_legend."+getDotImageExtension()+"\"></center></p>\n"
+ "<p>\n"
+ "Les rectangles du graphe ci-dessus ont la signification suivante :\n"
+ "<ul>\n"
+ "<li>Un rectangle plein noir représente la structure ou la classe pour laquelle "
+ "le graphe est généré.\n"
+ "<li>Un rectangle avec un bord noir indique une classe ou une structure documentée.\n"
+ "<li>Un rectangle avec un bord gris indique une classe ou une structure non documentée.\n"
+ "<li>Un rectangle avec un bord rouge indique une structure ou une classe documentée\n"
+ "pour laquelle des relations d'héritage ou de collaboration manquent. Un graphe est "
+ "tronqué s'il n'entre pas dans les limites spécifiées."
+ "</ul>\n"
+ "Les flèches ont la signification suivante :\n"
+ "<ul>\n"
+ "<li>Une flèche bleu foncé est utilisée pour visualiser une relation d'héritage publique "
+ "entre deux classes.\n"
+ "<li>Une flèche vert foncé est utilisée pour une relation d'héritage protégée.\n"
+ "<li>Une flèche rouge foncé est utilisée pour une relation d'héritage privée.\n"
+ "<li>Une flèche violette en pointillés est utilisée si une classe est contenue ou "
+ "utilisée par une autre classe. La flèche est étiquetée avec la ou les variable(s) "
+ "qui permettent d'accéder à la classe ou structure pointée. \n"
+ "<li>Une flèche jaune en pointillés indique une relation entre un modèle d'instance et "
+ "le modèle de classe duquel il est instancié. La flèche est étiquetée avec "
+ "les paramètres de modèle de l'instance.\n"
+ "</ul>\n";
+ }
/*! text for the link to the legend page */
- virtual QCString trLegend()
- {
- return "légende";
- }
+ virtual QCString trLegend()
+ {
+ return "légende";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.0
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.0
+//////////////////////////////////////////////////////////////////////////
/*! Used as a marker that is put before a test item */
- virtual QCString trTest()
- {
- return "Test";
- }
+ virtual QCString trTest()
+ {
+ return "Test";
+ }
/*! Used as the header of the test list */
- virtual QCString trTestList()
- {
- return "Liste des tests";
- }
+ virtual QCString trTestList()
+ {
+ return "Liste des tests";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.2
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.2
+//////////////////////////////////////////////////////////////////////////
/*! Used as a section header for IDL properties */
- virtual QCString trProperties()
- {
- return "Propriétés";
- }
+ virtual QCString trProperties()
+ {
+ return "Propriétés";
+ }
/*! Used as a section header for IDL property documentation */
- virtual QCString trPropertyDocumentation()
- {
- return "Documentation des propriétés";
- }
+ virtual QCString trPropertyDocumentation()
+ {
+ return "Documentation des propriétés";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.4
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.4
+//////////////////////////////////////////////////////////////////////////
/*! Used for Java classes in the summary section of Java packages */
- virtual QCString trClasses()
+ virtual QCString trClasses()
+ {
+ if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
{
- if (Config_getBool(OPTIMIZE_OUTPUT_FOR_C))
- {
- return "Structures de données";
- }
- else
- {
- return "Classes";
- }
+ return "Structures de données";
}
- /*! Used as the title of a Java package */
- virtual QCString trPackage(const char *name)
+ else
{
- return (QCString)"Paquetage "+name;
+ return "Classes";
}
+ }
+ /*! Used as the title of a Java package */
+ virtual QCString trPackage(const char *name)
+ {
+ return (QCString)"Paquetage "+name;
+ }
/*! Title of the package index page */
- virtual QCString trPackageList()
- {
- return "Liste des paquetages";
- }
+ virtual QCString trPackageList()
+ {
+ return "Liste des paquetages";
+ }
/*! The description of the package index page */
- virtual QCString trPackageListDescription()
- {
- return "Liste des paquetages avec une brève description (si disponible) :";
- }
+ virtual QCString trPackageListDescription()
+ {
+ return "Liste des paquetages avec une brève description (si disponible) :";
+ }
/*! The link name in the Quick links header for each page */
- virtual QCString trPackages()
- {
- return "Paquetages";
- }
+ virtual QCString trPackages()
+ {
+ return "Paquetages";
+ }
/*! Text shown before a multi-line define */
- virtual QCString trDefineValue()
- {
- return "Valeur :";
- }
+ virtual QCString trDefineValue()
+ {
+ return "Valeur :";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.5
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.5
+//////////////////////////////////////////////////////////////////////////
/*! Used as a marker that is put before a \\bug item */
- virtual QCString trBug()
- {
- return "Bogue";
- }
+ virtual QCString trBug()
+ {
+ return "Bogue";
+ }
/*! Used as the header of the bug list */
- virtual QCString trBugList()
- {
- return "Liste des bogues";
- }
+ virtual QCString trBugList()
+ {
+ return "Liste des bogues";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.6
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.6
+//////////////////////////////////////////////////////////////////////////
/*! Used as ansicpg for RTF file
*
@@ -1226,256 +1237,256 @@ class TranslatorFrench : public TranslatorAdapter_1_8_15
* </pre>
*
*/
- virtual QCString trRTFansicp()
- {
- return "1252";
- }
+ virtual QCString trRTFansicp()
+ {
+ return "1252";
+ }
/*! Used as ansicpg for RTF fcharset
* \see trRTFansicp() for a table of possible values.
*/
- virtual QCString trRTFCharSet()
- {
- return "0";
- }
+ virtual QCString trRTFCharSet()
+ {
+ return "0";
+ }
/*! Used as header RTF general index */
- virtual QCString trRTFGeneralIndex()
- {
- return "Index";
- }
+ virtual QCString trRTFGeneralIndex()
+ {
+ return "Index";
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trClass(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Classe" : "classe"));
- if (!singular) result+="s";
- return result;
- }
+ virtual QCString trClass(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Classe" : "classe"));
+ if (!singular) result+="s";
+ return result;
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trFile(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Fichier" : "fichier"));
- if (!singular) result+="s";
- return result;
- }
+ virtual QCString trFile(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Fichier" : "fichier"));
+ if (!singular) result+="s";
+ return result;
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trNamespace(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Espace" : "espace"));
- if (!singular) result+="s";
- result+=" de nommage";
- return result;
- }
+ virtual QCString trNamespace(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Espace" : "espace"));
+ if (!singular) result+="s";
+ result+=" de nommage";
+ return result;
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trGroup(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Groupe" : "groupe"));
- if (!singular) result+="s";
- return result;
- }
+ virtual QCString trGroup(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Groupe" : "groupe"));
+ if (!singular) result+="s";
+ return result;
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trPage(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Page" : "page"));
- if (!singular) result+="s";
- return result;
- }
+ virtual QCString trPage(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Page" : "page"));
+ if (!singular) result+="s";
+ return result;
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trMember(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Membre" : "membre"));
- if (!singular) result+="s";
- return result;
- }
+ virtual QCString trMember(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Membre" : "membre"));
+ if (!singular) result+="s";
+ return result;
+ }
/*! This is used for translation of the word that will possibly
* be followed by a single name or by a list of names
* of the category.
*/
- virtual QCString trGlobal(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Globa" : "globa"));
- if (!singular) result+="ux(ales)"; else result+="l(e)";
- return result;
- }
+ virtual QCString trGlobal(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Globa" : "globa"));
+ if (!singular) result+="ux(ales)"; else result+="l(e)";
+ return result;
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.7
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.7
+//////////////////////////////////////////////////////////////////////////
/*! This text is generated when the \\author command is used and
* for the author section in man pages. */
- virtual QCString trAuthor(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Auteur" : "auteur"));
- if (!singular) result+="s";
- return result;
- }
+ virtual QCString trAuthor(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Auteur" : "auteur"));
+ if (!singular) result+="s";
+ return result;
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.11
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.11
+//////////////////////////////////////////////////////////////////////////
/*! This text is put before the list of members referenced by a member
*/
- virtual QCString trReferences()
- {
- return "Références";
- }
+ virtual QCString trReferences()
+ {
+ return "Références";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.13
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.13
+//////////////////////////////////////////////////////////////////////////
/*! used in member documentation blocks to produce a list of
* members that are implemented by this one.
*/
- virtual QCString trImplementedFromList(int numEntries)
- {
- return "Implémente "+trWriteList(numEntries)+".";
- }
+ virtual QCString trImplementedFromList(int numEntries)
+ {
+ return "Implémente "+trWriteList(numEntries)+".";
+ }
/*! used in member documentation blocks to produce a list of
* all members that implement this abstract member.
*/
- virtual QCString trImplementedInList(int numEntries)
- {
- return "Implémenté dans "+trWriteList(numEntries)+".";
- }
+ virtual QCString trImplementedInList(int numEntries)
+ {
+ return "Implémenté dans "+trWriteList(numEntries)+".";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.16
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.16
+//////////////////////////////////////////////////////////////////////////
/*! used in RTF documentation as a heading for the Table
* of Contents.
*/
- virtual QCString trRTFTableOfContents()
- {
- return "Table des matières";
- }
+ virtual QCString trRTFTableOfContents()
+ {
+ return "Table des matières";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.17
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.17
+//////////////////////////////////////////////////////////////////////////
/*! Used as the header of the list of item that have been
* flagged deprecated
*/
- virtual QCString trDeprecatedList()
- {
- return "Liste des éléments obsolètes";
- }
+ virtual QCString trDeprecatedList()
+ {
+ return "Liste des éléments obsolètes";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.2.18
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.2.18
+//////////////////////////////////////////////////////////////////////////
/*! Used as a header for declaration section of the events found in
* a C# program
*/
- virtual QCString trEvents()
- {
- return "Événements";
- }
+ virtual QCString trEvents()
+ {
+ return "Événements";
+ }
/*! Header used for the documentation section of a class' events. */
- virtual QCString trEventDocumentation()
- {
- return "Documentation des événements";
- }
+ virtual QCString trEventDocumentation()
+ {
+ return "Documentation des événements";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.3
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.3
+//////////////////////////////////////////////////////////////////////////
/*! Used as a heading for a list of Java class types with package scope.
*/
- virtual QCString trPackageTypes()
- {
- return "Types de paquetage";
- }
+ virtual QCString trPackageTypes()
+ {
+ return "Types de paquetage";
+ }
/*! Used as a heading for a list of Java class functions with package
* scope.
*/
- virtual QCString trPackageMembers()
- {
- return "Fonctions de paquetage";
- }
+ virtual QCString trPackageMembers()
+ {
+ return "Fonctions de paquetage";
+ }
/*! Used as a heading for a list of static Java class functions with
* package scope.
*/
- virtual QCString trStaticPackageMembers()
- {
- return "Fonctions statiques de paquetage";
- }
+ virtual QCString trStaticPackageMembers()
+ {
+ return "Fonctions statiques de paquetage";
+ }
/*! Used as a heading for a list of Java class variables with package
* scope.
*/
- virtual QCString trPackageAttribs()
- {
- return "Attributs de paquetage";
- }
+ virtual QCString trPackageAttribs()
+ {
+ return "Attributs de paquetage";
+ }
/*! Used as a heading for a list of static Java class variables with
* package scope.
*/
- virtual QCString trStaticPackageAttribs()
- {
- return "Attributs statiques de paquetage";
- }
+ virtual QCString trStaticPackageAttribs()
+ {
+ return "Attributs statiques de paquetage";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.3.1
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.3.1
+//////////////////////////////////////////////////////////////////////////
/*! Used in the quick index of a class/file/namespace member list page
* to link to the unfiltered list of all members.
*/
- virtual QCString trAll()
- {
- return "Tout";
- }
+ virtual QCString trAll()
+ {
+ return "Tout";
+ }
/*! Put in front of the call graph for a function. */
- virtual QCString trCallGraph()
- {
- return "Voici le graphe d'appel pour cette fonction :";
- }
+ virtual QCString trCallGraph()
+ {
+ return "Voici le graphe d'appel pour cette fonction :";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.3.3
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.3.3
+//////////////////////////////////////////////////////////////////////////
/*! This string is used as the title for the page listing the search
* results.
*/
- virtual QCString trSearchResultsTitle()
- {
- return "Résultats de la recherche";
- }
+ virtual QCString trSearchResultsTitle()
+ {
+ return "Résultats de la recherche";
+ }
/*! This string is put just before listing the search results. The
* text can be different depending on the number of documents found.
* Inside the text you can put the special marker $num to insert
@@ -1484,104 +1495,104 @@ class TranslatorFrench : public TranslatorAdapter_1_8_15
* value 2 represents 2 or more matches. HTML markup is allowed inside
* the returned string.
*/
- virtual QCString trSearchResults(int numDocuments)
+ virtual QCString trSearchResults(int numDocuments)
+ {
+ if (numDocuments==0)
{
- if (numDocuments==0)
- {
- return "Désolé, aucun document ne correspond à votre requête.";
- }
- else if (numDocuments==1)
- {
- return "Trouvé <b>1</b> document correspondant à votre requête.";
- }
- else
- {
- return "Trouvé <b>$num</b> documents correspondant à votre requête. "
+ return "Désolé, aucun document ne correspond à votre requête.";
+ }
+ else if (numDocuments==1)
+ {
+ return "Trouvé <b>1</b> document correspondant à votre requête.";
+ }
+ else
+ {
+ return "Trouvé <b>$num</b> documents correspondant à votre requête. "
"Classé par ordre de pertinence décroissant.";
- }
}
+ }
/*! This string is put before the list of matched words, for each search
* result. What follows is the list of words that matched the query.
*/
- virtual QCString trSearchMatches()
- {
- return "Correspondances :";
- }
+ virtual QCString trSearchMatches()
+ {
+ return "Correspondances :";
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.3.8
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.3.8
+//////////////////////////////////////////////////////////////////////////
- /*! This is used in HTML as the title of page with source code for file filename
- */
- virtual QCString trSourceFile(QCString& filename)
- {
- return " Fichier source de " + filename;
- }
+ /*! This is used in HTML as the title of page with source code for file filename
+ */
+ virtual QCString trSourceFile(QCString& filename)
+ {
+ return " Fichier source de " + filename;
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.3.9
- //////////////////////////////////////////////////////////////////////////
-
- /*! This is used as the name of the chapter containing the directory
- * hierarchy.
- */
- virtual QCString trDirIndex()
- { return "Hiérarchie de répertoires"; }
-
- /*! This is used as the name of the chapter containing the documentation
- * of the directories.
- */
- virtual QCString trDirDocumentation()
- { return "Documentation des répertoires"; }
-
- /*! This is used as the title of the directory index and also in the
- * Quick links of a HTML page, to link to the directory hierarchy.
- */
- virtual QCString trDirectories()
- { return "Répertoires"; }
-
- /*! This returns a sentences that introduces the directory hierarchy.
- * and the fact that it is sorted alphabetically per level
- */
- virtual QCString trDirDescription()
- { return "Cette hiérarchie de répertoire est triée approximativement, "
- "mais pas complètement, par ordre alphabétique :";
- }
+//////////////////////////////////////////////////////////////////////////
+// new since 1.3.9
+//////////////////////////////////////////////////////////////////////////
- /*! This returns the title of a directory page. The name of the
- * directory is passed via \a dirName.
- */
- virtual QCString trDirReference(const char *dirName)
- { QCString result="Répertoire de référence de "; result+=dirName; return result; }
+ /*! This is used as the name of the chapter containing the directory
+ * hierarchy.
+ */
+ virtual QCString trDirIndex()
+ { return "Hiérarchie de répertoires"; }
- /*! This returns the word directory with or without starting capital
- * (\a first_capital) and in sigular or plural form (\a singular).
- */
- virtual QCString trDir(bool first_capital, bool singular)
- {
- QCString result((first_capital ? "Répertoire" : "répertoire"));
- if (singular) result+=""; else result+="s";
- return result;
- }
+ /*! This is used as the name of the chapter containing the documentation
+ * of the directories.
+ */
+ virtual QCString trDirDocumentation()
+ { return "Documentation des répertoires"; }
+
+ /*! This is used as the title of the directory index and also in the
+ * Quick links of an HTML page, to link to the directory hierarchy.
+ */
+ virtual QCString trDirectories()
+ { return "Répertoires"; }
+
+ /*! This returns a sentences that introduces the directory hierarchy.
+ * and the fact that it is sorted alphabetically per level
+ */
+ virtual QCString trDirDescription()
+ { return "Cette hiérarchie de répertoire est triée approximativement, "
+ "mais pas complètement, par ordre alphabétique :";
+ }
+
+ /*! This returns the title of a directory page. The name of the
+ * directory is passed via \a dirName.
+ */
+ virtual QCString trDirReference(const char *dirName)
+ { QCString result="Répertoire de référence de "; result+=dirName; return result; }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.4.1
- //////////////////////////////////////////////////////////////////////////
+ /*! This returns the word directory with or without starting capital
+ * (\a first_capital) and in singular or plural form (\a singular).
+ */
+ virtual QCString trDir(bool first_capital, bool singular)
+ {
+ QCString result((first_capital ? "Répertoire" : "répertoire"));
+ if (singular) result+=""; else result+="s";
+ return result;
+ }
+
+//////////////////////////////////////////////////////////////////////////
+// new since 1.4.1
+//////////////////////////////////////////////////////////////////////////
/*! This text is added to the documentation when the \\overload command
* is used for a overloaded function.
*/
- virtual QCString trOverloadText()
- {
- return "Ceci est une fonction membre surchargée, "
+ virtual QCString trOverloadText()
+ {
+ return "Ceci est une fonction membre surchargée, "
"proposée par commodité. Elle diffère de la fonction "
"ci-dessus uniquement par le(s) argument(s) qu'elle accepte.";
- }
+ }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.4.6
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.4.6
+//////////////////////////////////////////////////////////////////////////
/*! This is used to introduce a caller (or called-by) graph */
virtual QCString trCallerGraph()
@@ -1595,9 +1606,9 @@ class TranslatorFrench : public TranslatorAdapter_1_8_15
virtual QCString trEnumerationValueDocumentation()
{ return "Documentation des énumérations"; }
- //////////////////////////////////////////////////////////////////////////
- // new since 1.5.4 (mainly for Fortran)
- //////////////////////////////////////////////////////////////////////////
+//////////////////////////////////////////////////////////////////////////
+// new since 1.5.4 (mainly for Fortran)
+//////////////////////////////////////////////////////////////////////////
/*! header that is put before the list of member subprograms (Fortran). */
virtual QCString trMemberFunctionDocumentationFortran()
@@ -1832,7 +1843,7 @@ class TranslatorFrench : public TranslatorAdapter_1_8_15
}
//////////////////////////////////////////////////////////////////////////
-// new since 1.6.3
+// new since 1.6.3 (missing items for the directory pages)
//////////////////////////////////////////////////////////////////////////
/*! when clicking a directory dependency label, a page with a
@@ -2269,20 +2280,23 @@ class TranslatorFrench : public TranslatorAdapter_1_8_15
}
virtual QCString trCompoundReferenceSlice(const char *clName, ClassDef::CompoundType compType, bool isLocal)
{
- QCString result = "Référence de ";
-
+ QCString result = "Référence ";
+ bool feminine = true;
switch(compType)
{
- case ClassDef::Class: result+="la classe "; break;
- case ClassDef::Struct: result+="la structure "; break;
- case ClassDef::Interface: result+="l'interface "; break;
- case ClassDef::Exception: result+="l'exception "; break;
+ case ClassDef::Class: result+="de la classe "; break;
+ case ClassDef::Struct: result+="de la structure "; break;
+ case ClassDef::Union: result+="de l'union "; break;
+ case ClassDef::Interface: result+="de l'interface "; break;
+ case ClassDef::Protocol: result+="du protocole "; feminine=false; break;
+ case ClassDef::Category: result+="de la catégorie "; break;
+ case ClassDef::Exception: result+="de l'exception "; break;
default: break;
}
if(isLocal)
{
- result += "locale ";
+ result += (feminine) ? "locale " : "local ";
}
result += (QCString)clName;
diff --git a/src/translator_sv.h b/src/translator_sv.h
index 5da89df..6277445 100644
--- a/src/translator_sv.h
+++ b/src/translator_sv.h
@@ -23,6 +23,7 @@ Xet Erixon <xet@xeqt.com>
Mikael Hallin <mikaelhallin@yahoo.se> 2003-07-28
Björn Palmqvist <bjorn@aidium.se> 2014-02-01
Magnus Österlund <magnus.osterlund@capgemini.com> 2016-09-12
+Björn Palmqvist <bjorn@aidium.se> 2020-01-08
==================================================================================
Uppdateringar.
1999/04/29
@@ -70,16 +71,34 @@ Problem!
* Uppdaterat den till senaste versionen 1.8.9.1
2015/09/12
* Fixat lite särksirvningar och inkonsekvenser
+2020/01/08
+* Uppdaterat den till senaste språkversionen 1.8.15
+
+Bytte ut Deprecated från Föråldrad till Obsolet
+
+VHDL översättningarna är kanske inte perfekta, då jag endast använt de en gång tidigare.
+Jag lämnade use clause orörd, då jag inte hittade en lämplig översättning för den.
+
+English:
+* Updated the language translation to 1.8.15
+
+Changed Deprecated from Föråldrad to Obsolet
+
+The VHDL translations may not perfect, as I only used it once before.
+I left use clause untouched as I didn't find a suitable translation for it.
+
===================================================================================
Ordlista
===================================================================================
ENGELSKA SVENSKA
* Attribute Attribut
-* Category Lategori
+* Category Kategori
* Class Klass
* Compound Sammansatt
-* Deprecated Föråldrad
+* Deprecated Obsolet
* Directory Katalog
+* Dictionary Uppslagsverk // Frågan om de är de som menas i de fallet
+* Entity Entitet
* Enum Enum
* Enumeration Egenuppräknande
* Event Händelse
@@ -89,6 +108,7 @@ Problem!
* Function Funktion
* Inherited Ärvd
* Interface Gränssnitt
+* Library Biblotek
* Macro Makro
* Member Medlem
* Member Data Medlemsdata
@@ -103,16 +123,19 @@ Problem!
* Protected Skyddad
* Protocol Protokoll
* Public Publik
+* Record Post // Ge gärna exempel på bättre översättning
* Service Tjänst
* Signal Signal
-* Slot Slot //Ge gärna exempel på bättre översättning
+* Slot Slot // Ge gärna exempel på bättre översättning
* Static Statisk
* Struct Struktur
* Subprogram Underprogram
* Subroutine Subrutin
+* Subtype Undertyp
* Template Mall
* Typedef Typdefinition
* Union Union
+* Unit Enhet // Lämplig översättning i VHDL kontextet?
* Variable Variabel
===================================================================================
*/
@@ -120,7 +143,7 @@ Problem!
#ifndef TRANSLATOR_SE_H
#define TRANSLATOR_SE_H
-class TranslatorSwedish : public TranslatorAdapter_1_8_15
+class TranslatorSwedish : public Translator
{
public:
@@ -881,7 +904,7 @@ class TranslatorSwedish : public TranslatorAdapter_1_8_15
virtual QCString trDeprecated()
{
- return "Föråldrad";
+ return "Obsolet";
}
//////////////////////////////////////////////////////////////////////////
@@ -1041,7 +1064,7 @@ class TranslatorSwedish : public TranslatorAdapter_1_8_15
virtual QCString trInclByDepGraph()
{
return "Den här grafen visar vilka filer som direkt eller "
- "indirekt inkluderar denna filen:";
+ "indirekt inkluderar denna filen:";
}
virtual QCString trSince()
{
@@ -1152,7 +1175,7 @@ class TranslatorSwedish : public TranslatorAdapter_1_8_15
/*! Used as a section header for IDL properties */
virtual QCString trProperties()
{
- return "Egenskaper";
+ return "Egenskaper";
}
/*! Used as a section header for IDL property documentation */
virtual QCString trPropertyDocumentation()
@@ -1230,8 +1253,8 @@ class TranslatorSwedish : public TranslatorAdapter_1_8_15
* Charset Name Charset Value(hex) Codepage number
* ------------------------------------------------------
* ANSI_CHARSET 0 (x00) 1252
- * </pre>
- */
+ * </pre>
+ */
virtual QCString trRTFansicp()
{
return "1252";
@@ -1552,7 +1575,7 @@ class TranslatorSwedish : public TranslatorAdapter_1_8_15
* and the fact that it is sorted alphabetically per level
*/
virtual QCString trDirDescription()
- { return "Den här katalogen är grovt sorterad, "
+ { return "Den här katalogen är grovt sorterad, "
"men inte helt, i alfabetisk ordning:";
}
@@ -2055,13 +2078,264 @@ class TranslatorSwedish : public TranslatorAdapter_1_8_15
virtual QCString trSingletonGeneratedFromFiles(bool single)
{
// single is true implies a single file
- QCString result=(QCString)"Dokumentationen för denna singleton"
+ QCString result=(QCString)"Dokumentationen för denna singleton "
"genererades från följande fil";
if (single) result+=":"; else result+="er:";
return result;
}
+//////////////////////////////////////////////////////////////////////////
+// new since 1.8.15
+//////////////////////////////////////////////////////////////////////////
+ /** VHDL design unit hierarchy */
+ virtual QCString trDesignUnitHierarchy()
+ { return "Designenhetshirarki"; }
+ /** VHDL design unit list */
+ virtual QCString trDesignUnitList()
+ { return "Designenhetslista"; }
+ /** VHDL design unit members */
+ virtual QCString trDesignUnitMembers()
+ { return "Designenhetsmedlemmar"; }
+ /** VHDL design unit list description
+ * Orginal: Here is a list of all design unit members with links to
+ * the Entities they belong to:
+ */
+ virtual QCString trDesignUnitListDescription()
+ {
+ return "Här är en lista av alla designenhetsmedlemmar med länkar till "
+ "entiteterna som de hör till:";
+ }
+ /** VHDL design unit index */
+ virtual QCString trDesignUnitIndex()
+ { return "Designenhetsindex"; }
+ /** VHDL design units */
+ virtual QCString trDesignUnits()
+ { return "Designenheter"; }
+ /** VHDL functions/procedures/processes */
+ virtual QCString trFunctionAndProc()
+ { return "Funktioner/Procedurer/Processer"; }
+ /** VHDL type */
+ virtual QCString trVhdlType(uint64 type,bool single)
+ {
+ switch(type)
+ {
+ case VhdlDocGen::LIBRARY:
+ return "Biblotek";
+ case VhdlDocGen::PACKAGE:
+ return "Paket";
+ case VhdlDocGen::SIGNAL:
+ if (single) return "Signal";
+ else return "Signaler";
+ case VhdlDocGen::COMPONENT:
+ if (single) return "Komponent";
+ else return "Komponenter";
+ case VhdlDocGen::CONSTANT:
+ if (single) return "Konstant";
+ else return "Konstanter";
+ case VhdlDocGen::ENTITY:
+ if (single) return "Entitet";
+ else return "Entiteter";
+ case VhdlDocGen::TYPE:
+ if (single) return "Typ";
+ else return "Typer";
+ case VhdlDocGen::SUBTYPE:
+ if (single) return "Undertyp";
+ else return "Undertyper";
+ case VhdlDocGen::FUNCTION:
+ if (single) return "Funktion";
+ else return "Funktioner";
+ case VhdlDocGen::RECORD:
+ if (single) return "Post";
+ else return "Poster";
+ case VhdlDocGen::PROCEDURE:
+ if (single) return "Procedur";
+ else return "Procedurer";
+ case VhdlDocGen::ARCHITECTURE:
+ if (single) return "Arkitektur";
+ else return "Arkitekturer";
+ case VhdlDocGen::ATTRIBUTE:
+ return "Attribut";
+ case VhdlDocGen::PROCESS:
+ if (single) return "Process";
+ else return "Processer";
+ case VhdlDocGen::PORT:
+ if (single) return "Port";
+ else return "Portar";
+ case VhdlDocGen::USE:
+ if (single) return "use clause";
+ else return "Use Clauses";
+ case VhdlDocGen::GENERIC:
+ if (single) return "Generisk";
+ else return "Generiska";
+ case VhdlDocGen::PACKAGE_BODY:
+ return "Paketinehåll";
+ case VhdlDocGen::UNITS:
+ return "Enheter";
+ case VhdlDocGen::SHAREDVARIABLE:
+ if (single) return "Delad Variabel";
+ else return "Delade Variabler";
+ case VhdlDocGen::VFILE:
+ if (single) return "Fil";
+ else return "Filer";
+ case VhdlDocGen::GROUP:
+ if (single) return "Grupp";
+ else return "Grupper";
+ case VhdlDocGen::INSTANTIATION:
+ if (single) return "Instantiation";
+ else return "Instantiations";
+ case VhdlDocGen::ALIAS:
+ return "Alias";
+ case VhdlDocGen::CONFIG:
+ if (single) return "Konfiguration";
+ else return "Konfigurationer";
+ case VhdlDocGen::MISCELLANEOUS:
+ return "Diverse";
+ case VhdlDocGen::UCF_CONST:
+ return "Begränsningar";
+ default:
+ return "Klass";
+ }
+ }
+ virtual QCString trCustomReference(const char *name)
+ { return QCString(name)+"referens"; }
+
+ /* Slice */
+ virtual QCString trConstants()
+ {
+ return "Konstanter";
+ }
+ virtual QCString trConstantDocumentation()
+ {
+ return "Konstantdokumentation";
+ }
+ virtual QCString trSequences()
+ {
+ return "Sekvenser";
+ }
+ virtual QCString trSequenceDocumentation()
+ {
+ return "Sekvensdokumentation";
+ }
+ virtual QCString trDictionaries()
+ {
+ return "Uppslagsverk";
+ }
+ virtual QCString trDictionaryDocumentation()
+ {
+ return "Uppslagsverksdokumentation";
+ }
+ virtual QCString trSliceInterfaces()
+ {
+ return "Gränssnitt";
+ }
+ virtual QCString trInterfaceIndex()
+ {
+ return "Gränssnittsindex";
+ }
+ virtual QCString trInterfaceList()
+ {
+ return "Gränssnittslist";
+ }
+ /** Orginal: Here are the interfaces with brief descriptions: */
+ virtual QCString trInterfaceListDescription()
+ {
+ return "Här är gränssnitten med en kort beskrivning";
+ }
+ virtual QCString trInterfaceHierarchy()
+ {
+ return "Gränssnittshirarkin";
+ }
+ /** Orginal: This inheritance list is sorted roughly, but not completely, alphabetically: */
+ virtual QCString trInterfaceHierarchyDescription()
+ {
+ return "Denna arvslista är grovt sorterad, men inte helt, i alfabetisk ordning:";
+ }
+ virtual QCString trInterfaceDocumentation()
+ {
+ return "Gränssnittsdokumentation";
+ }
+ virtual QCString trStructs()
+ {
+ return "Strukturer";
+ }
+ virtual QCString trStructIndex()
+ {
+ return "Strukturindex";
+ }
+ virtual QCString trStructList()
+ {
+ return "Strukturlist";
+ }
+ /** Orginal: Here are the structs with brief descriptions: */
+ virtual QCString trStructListDescription()
+ {
+ return "Här är strukturerna med en kort beskrivning:";
+ }
+ virtual QCString trStructDocumentation()
+ {
+ return "Strukturdokumentation";
+ }
+ virtual QCString trExceptionIndex()
+ {
+ return "Undantagsindex";
+ }
+ virtual QCString trExceptionList()
+ {
+ return "Undantagslista";
+ }
+ /** Orginal: Here are the exceptions with brief descriptions: */
+ virtual QCString trExceptionListDescription()
+ {
+ return "Här är undantagen med en kort beskrivning:";
+ }
+ virtual QCString trExceptionHierarchy()
+ {
+ return "Undantagshirarki";
+ }
+ /** Orginal: This inheritance list is sorted roughly, but not completely, alphabetically: */
+ virtual QCString trExceptionHierarchyDescription()
+ {
+ return "Denna arvslista är grovt sorterad, men inte helt, i alfabetisk ordning:";
+ }
+ virtual QCString trExceptionDocumentation()
+ {
+ return "Undantagsdokumentation";
+ }
+ virtual QCString trCompoundReferenceSlice(const char *clName, ClassDef::CompoundType compType, bool isLocal)
+ {
+ QCString result=(QCString)clName;
+ if (isLocal) result+=" Lokal";
+ switch(compType)
+ {
+ case ClassDef::Class: result+=" Klass"; break;
+ case ClassDef::Struct: result+=" Struktur"; break;
+ case ClassDef::Union: result+=" Unions"; break;
+ case ClassDef::Interface: result+=" Gränssnitts"; break;
+ case ClassDef::Protocol: result+=" Protokoll"; break;
+ case ClassDef::Category: result+=" Kategori"; break;
+ case ClassDef::Exception: result+=" Undantags"; break;
+ default: break;
+ }
+ result+="referens";
+ return result;
+ }
+ virtual QCString trOperations()
+ {
+ return "Operationer";
+ }
+ virtual QCString trOperationDocumentation()
+ {
+ return "Operationsdokumentation";
+ }
+ virtual QCString trDataMembers()
+ {
+ return "Datamedlemmar";
+ }
+ virtual QCString trDataMemberDocumentation()
+ {
+ return "Datamedlemsdokumentation";
+ }
};
#endif
diff --git a/src/util.cpp b/src/util.cpp
index abcd910..260ee01 100644
--- a/src/util.cpp
+++ b/src/util.cpp
@@ -6754,11 +6754,11 @@ bool recursivelyAddGroupListToTitle(OutputList &ol,const Definition *d,bool root
bool first=true;
for (gli.toFirst();(gd=gli.current());++gli)
{
+ if (!first) { ol.writeString(" &#124; "); } else first=false;
if (recursivelyAddGroupListToTitle(ol, gd, FALSE))
{
ol.writeString(" &raquo; ");
}
- if (!first) { ol.writeString(" &#124; "); } else first=FALSE;
ol.writeObjectLink(gd->getReference(),gd->getOutputFileBase(),0,gd->groupTitle());
}
if (root)
diff --git a/src/vhdljjparser.cpp b/src/vhdljjparser.cpp
index a7bac68..cddc7c1 100644
--- a/src/vhdljjparser.cpp
+++ b/src/vhdljjparser.cpp
@@ -94,7 +94,8 @@ void VHDLOutlineParser::Private::parseVhdlfile(const char *fileName,
vhdlParser=new VhdlParser(tokenManager);
vhdlParser->setOutlineParser(thisParser);
vhdlParser->setSharedState(&shared);
- tokenManager->ReInit(stream,0,vhdlParser);
+ tokenManager->setLexParser(vhdlParser);
+ tokenManager->ReInit(stream,0);
tokenManager->setErrorHandler(tokErrHandler);
VhdlErrorHandler *parserErrHandler=new VhdlErrorHandler(fileName);
vhdlParser->setErrorHandler(parserErrHandler);
@@ -594,7 +595,7 @@ int VHDLOutlineParser::getLine()
void VHDLOutlineParser::setLineParsed(int tok)
{
- p->lineParse.reserve(tok+1);
+ if (p->lineParse.size()<=tok) p->lineParse.resize(tok+1);
p->lineParse[tok]=p->yyLineNr;
}
diff --git a/src/xmldocvisitor.cpp b/src/xmldocvisitor.cpp
index 019bef1..0aafd47 100644
--- a/src/xmldocvisitor.cpp
+++ b/src/xmldocvisitor.cpp
@@ -388,6 +388,24 @@ void XmlDocVisitor::visit(DocInclude *inc)
filter(inc->text());
m_t << "</latexonly>";
break;
+ case DocInclude::RtfInclude:
+ m_t << "<rtfonly>";
+ filter(inc->text());
+ m_t << "</rtfonly>";
+ break;
+ case DocInclude::ManInclude:
+ m_t << "<manonly>";
+ filter(inc->text());
+ m_t << "</manonly>";
+ break;
+ case DocInclude::XmlInclude:
+ filter(inc->text());
+ break;
+ case DocInclude::DocbookInclude:
+ m_t << "<docbookonly>";
+ filter(inc->text());
+ m_t << "</docbookonly>";
+ break;
case DocInclude::VerbInclude:
m_t << "<verbatim>";
filter(inc->text());
diff --git a/vhdlparser/CharStream.cc b/vhdlparser/CharStream.cc
index 65179f5..05003ef 100644
--- a/vhdlparser/CharStream.cc
+++ b/vhdlparser/CharStream.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. CharStream.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. CharStream.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "CharStream.h"
@@ -12,8 +12,8 @@ void ArrayCopy(T* src, int src_offset, T* dest, int dest_offset, int len) {
class StringReaderStream : public ReaderStream {
public:
- StringReaderStream(const JAVACC_STRING_TYPE& str) : str_(str), cur_(0), max_(str.size()) {}
- virtual size_t read(JAVACC_CHAR_TYPE *bufptr, int offset, size_t len) {
+ StringReaderStream(const JJString& str) : str_(str), cur_(0), max_(str.size()) {}
+ virtual size_t read(JJChar *bufptr, int offset, size_t len) {
size_t count = str_.copy(bufptr + offset, len > max_ ? max_ : len, cur_);
cur_ += count;
max_ -= count;
@@ -25,7 +25,7 @@ class StringReaderStream : public ReaderStream {
}
private:
- const JAVACC_STRING_TYPE str_;
+ const JJString str_;
size_t cur_;
size_t max_;
};
@@ -33,7 +33,7 @@ class StringReaderStream : public ReaderStream {
namespace vhdl {
namespace parser {
-void CharStream::ReInit(const JAVACC_STRING_TYPE& str, int startline,
+void CharStream::ReInit(const JJString& str, int startline,
int startcolumn, int buffersize) {
StringReaderStream *stream = new StringReaderStream(str);
ReInit(stream, startline, startcolumn, buffersize);
@@ -46,12 +46,12 @@ void CharStream::ReInit(ReaderStream *input_stream, int startline,
delete inputStream;
}
- if (buffer != NULL) {
+ if (buffer) {
DeleteBuffers();
}
available = bufsize = buffersize;
- buffer = new JAVACC_CHAR_TYPE[buffersize];
+ buffer = new JJChar[buffersize];
bufline = new int[buffersize];
bufcolumn = new int[buffersize];
@@ -111,7 +111,7 @@ void CharStream::adjustBeginLineColumn(int newLine, int newCol) {
}
void CharStream::ExpandBuff(bool wrapAround) {
- JAVACC_CHAR_TYPE *newbuffer = new JAVACC_CHAR_TYPE[bufsize + 2048];
+ JJChar *newbuffer = new JJChar[bufsize + 2048];
int *newbufline = new int[bufsize + 2048];
int *newbufcolumn = new int[bufsize + 2048];
@@ -160,7 +160,7 @@ void CharStream::FillBuff() {
}
}
- int i = inputStream->read(buffer, maxNextCharInd, available - maxNextCharInd);
+ size_t i = inputStream->read(buffer, maxNextCharInd, available - maxNextCharInd);
if (i > 0) {
maxNextCharInd += i;
} else {
@@ -172,7 +172,7 @@ void CharStream::FillBuff() {
}
}
-void CharStream::UpdateLineColumn(JAVACC_CHAR_TYPE c) {
+void CharStream::UpdateLineColumn(JJChar c) {
column++;
if (prevCharIsLF) {
prevCharIsLF = false;
@@ -209,4 +209,4 @@ void CharStream::UpdateLineColumn(JAVACC_CHAR_TYPE c) {
}
}
-/* JavaCC - OriginalChecksum=ade3c1b57a731a003629de593814ffa6 (do not edit this line) */
+/* JavaCC - OriginalChecksum=0ba78d6c493d812eba61de6fa794fd15 (do not edit this line) */
diff --git a/vhdlparser/CharStream.h b/vhdlparser/CharStream.h
index 69b8ab5..a955d8e 100644
--- a/vhdlparser/CharStream.h
+++ b/vhdlparser/CharStream.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. CharStream.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. CharStream.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef CHARSTREAM_H
-#define CHARSTREAM_H
+#ifndef JAVACC_CHARSTREAM_H_
+#define JAVACC_CHARSTREAM_H_
+
#include "JavaCC.h"
#ifndef INITIAL_BUFFER_SIZE
@@ -29,9 +30,10 @@ namespace parser {
class CharStream {
public:
- void setTabSize(int i) { tabSize = i; }
- int getTabSize(int i) { return tabSize; }
- private:
+ void setTabSize(int i) { tabSize = i; }
+ int getTabSize(int i) { return tabSize; }
+
+private:
int getBufcolumn(int pos) {
if (trackLineColumn && pos>=0) {
return bufcolumn[pos];
@@ -46,13 +48,14 @@ public:
return -1;
}
}
- public:
- virtual int getColumn() { return getBufcolumn(bufpos); }
- virtual int getLine() { return getBufline(bufpos); }
- virtual int getEndColumn() { return getBufcolumn(bufpos); }
- virtual int getEndLine() { return getBufline(bufpos); }
- virtual int getBeginColumn() { return getBufcolumn(tokenBegin); }
- virtual int getBeginLine() { return getBufline(tokenBegin); }
+
+public:
+ virtual int getColumn() { return getBufcolumn(bufpos); }
+ virtual int getLine() { return getBufline(bufpos); }
+ virtual int getEndColumn() { return getBufcolumn(bufpos); }
+ virtual int getEndLine() { return getBufline(bufpos); }
+ virtual int getBeginColumn() { return getBufcolumn(tokenBegin); }
+ virtual int getBeginLine() { return getBufline(tokenBegin); }
virtual bool getTrackLineColumn() { return trackLineColumn; }
virtual void setTrackLineColumn(bool val) { trackLineColumn = val; }
@@ -61,7 +64,7 @@ public:
* Backs up the input stream by amount steps. Lexer calls this method if it
* had already read some characters, but could not use them to match a
* (longer) token. So, they will be used again as the prefix of the next
- * token and it is the implementation's responsibility to do this right.
+ * token and it is the implemetation's responsibility to do this right.
*/
virtual inline void backup(int amount) {
inBuf += amount;
@@ -76,9 +79,9 @@ public:
* All characters must remain in the buffer between two successive calls
* to this method to implement backup correctly.
*/
- virtual inline JAVACC_CHAR_TYPE BeginToken() {
+ virtual inline JJChar BeginToken() {
tokenBegin = -1;
- JAVACC_CHAR_TYPE c = readChar();
+ JJChar c = readChar();
tokenBegin = bufpos;
return c;
}
@@ -89,7 +92,7 @@ public:
* of selecting the input is the responsibility of the class
* implementing this class.
*/
- virtual inline JAVACC_CHAR_TYPE readChar() {
+ virtual inline JJChar readChar() {
if (inBuf > 0) {
--inBuf;
++bufpos;
@@ -104,7 +107,7 @@ public:
FillBuff();
}
- JAVACC_CHAR_TYPE c = buffer[bufpos];
+ JJChar c = buffer[bufpos];
if (trackLineColumn) {
UpdateLineColumn(c);
@@ -123,11 +126,11 @@ public:
* anything that they want to. For example, for efficiency, one might decide
* to just return NULL, which is a valid implementation.
*/
- virtual JAVACC_STRING_TYPE GetImage() {
+ virtual JJString GetImage() {
if (bufpos >= tokenBegin)
- return JAVACC_STRING_TYPE(buffer + tokenBegin, bufpos - tokenBegin + 1);
+ return JJString(buffer + tokenBegin, bufpos - tokenBegin + 1);
else
- return JAVACC_STRING_TYPE(buffer + tokenBegin, bufsize - tokenBegin).append(buffer, bufpos + 1);
+ return JJString(buffer + tokenBegin, bufsize - tokenBegin).append(buffer, bufpos + 1);
}
/**
@@ -136,11 +139,11 @@ public:
* for use in actions in the case of MORE. A simple and inefficient
* implementation of this is as follows :
*/
- virtual JAVACC_STRING_TYPE GetSuffix(int len) {
+ virtual JJString GetSuffix(int len) {
if ((bufpos + 1) >= len) {
- return JAVACC_STRING_TYPE(buffer + bufpos - len + 1, len);
+ return JJString(buffer + bufpos - len + 1, len);
}
- return JAVACC_STRING_TYPE(buffer + bufsize - (len - bufpos - 1), len - bufpos - 1).append(buffer, bufpos + 1);
+ return JJString(buffer + bufsize - (len - bufpos - 1), len - bufpos - 1).append(buffer, bufpos + 1);
}
/**
@@ -160,62 +163,62 @@ public:
return inBuf == 0 && bufpos + 1 >= maxNextCharInd && inputStream->endOfInput();
}
- CharStream(const JAVACC_CHAR_TYPE *buf, int sz, int startline,
+ CharStream(const JJChar *buf, int sz, int startline,
int startcolumn, int buffersize) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
- ReInit(JAVACC_STRING_TYPE(buf, sz), startline, startcolumn, buffersize);
+ inputStream(nullptr), deleteStream(false) {
+ ReInit(JJString(buf, sz), startline, startcolumn, buffersize);
}
- CharStream(const JAVACC_CHAR_TYPE *buf, int sz, int startline, int startcolumn) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ CharStream(const JJChar *buf, int sz, int startline, int startcolumn) :
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
- ReInit(JAVACC_STRING_TYPE(buf, sz), startline, startcolumn, INITIAL_BUFFER_SIZE);
+ inputStream(nullptr), deleteStream(false) {
+ ReInit(JJString(buf, sz), startline, startcolumn, INITIAL_BUFFER_SIZE);
}
- CharStream(const JAVACC_STRING_TYPE& str, int startline,
+ CharStream(const JJString& str, int startline,
int startcolumn, int buffersize) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(str, startline, startcolumn, buffersize);
}
- CharStream(const JAVACC_STRING_TYPE& str, int startline, int startcolumn) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ CharStream(const JJString& str, int startline, int startcolumn) :
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(str, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream, int startline,
int startcolumn, int buffersize) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
- ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
+ inputStream(nullptr), deleteStream(false) {
+ ReInit(input_stream, startline, startcolumn, buffersize);
}
CharStream(ReaderStream *input_stream, int startline, int startcolumn) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(input_stream, 1, 1, INITIAL_BUFFER_SIZE);
}
@@ -229,22 +232,22 @@ public:
ReInit(input_stream, 1, 1, INITIAL_BUFFER_SIZE);
}
- virtual void ReInit(const JAVACC_STRING_TYPE& str, int startline,
+ virtual void ReInit(const JJString& str, int startline,
int startcolumn, int buffersize);
- virtual void ReInit(const JAVACC_STRING_TYPE& str, int startline,
+ virtual void ReInit(const JJString& str, int startline,
int startcolumn) {
ReInit(str, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
virtual void adjustBeginLineColumn(int newLine, int newCol);
- protected:
- virtual void UpdateLineColumn(JAVACC_CHAR_TYPE c);
+protected:
+ virtual void UpdateLineColumn(JJChar c);
int* bufline;
int* bufcolumn;
- JAVACC_CHAR_TYPE* buffer;
+ JJChar* buffer;
int bufpos;
int bufsize;
int tokenBegin;
@@ -263,5 +266,6 @@ public:
}
}
+
#endif
-/* JavaCC - OriginalChecksum=3f0e693d1617236429891c8c95713d73 (do not edit this line) */
+/* JavaCC - OriginalChecksum=c5b4b2e72393f865547f405cc9def169 (do not edit this line) */
diff --git a/vhdlparser/ErrorHandler.h b/vhdlparser/ErrorHandler.h
index 224500e..d5535e8 100644
--- a/vhdlparser/ErrorHandler.h
+++ b/vhdlparser/ErrorHandler.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. ErrorHandler.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. ErrorHandler.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,BUILD_PARSER=true,BUILD_TOKEN_MANAGER=true */
-#ifndef ERRORHANDLER_H
-#define ERRORHANDLER_H
+#ifndef JAVACC_ERRORHANDLER_H
+#define JAVACC_ERRORHANDLER_H
+
#include <stdio.h>
#include <string>
#include "JavaCC.h"
@@ -10,7 +11,7 @@
namespace vhdl {
namespace parser {
-JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
+JJSimpleString addUnicodeEscapes(const JJString& str);
class VhdlParser;
class ErrorHandler {
@@ -24,22 +25,22 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
// expectedKind - token kind that the parser was trying to consume.
// expectedToken - the image of the token - tokenImages[expectedKind].
// actual - the actual token that the parser got instead.
- virtual void handleUnexpectedToken(int expectedKind, JAVACC_STRING_TYPE expectedToken, Token *actual, VhdlParser *parser) {
+ virtual void handleUnexpectedToken(int expectedKind, const JJString& expectedToken, Token *actual, VhdlParser *parser) {
error_count++;
fprintf(stderr, "Expecting %s at: %d:%d but got %s\n", addUnicodeEscapes(expectedToken).c_str(), actual->beginLine, actual->beginColumn, addUnicodeEscapes(actual->image).c_str());
}
// Called when the parser cannot continue parsing.
// last - the last token successfully parsed.
// unexpected - the token at which the error occurs.
- // production - the production in which this error occurs.
- virtual void handleParseError(Token *last, Token *unexpected, JAVACC_SIMPLE_STRING production, VhdlParser *parser) {
+ // production - the production in which this error occurrs.
+ virtual void handleParseError(Token *last, Token *unexpected, const JJSimpleString& production, VhdlParser *parser) {
error_count++;
fprintf(stderr, "Encountered: %s at: %d:%d while parsing: %s\n", addUnicodeEscapes(unexpected->image).c_str(), unexpected->beginLine, unexpected->beginColumn, production.c_str());
}
virtual int getErrorCount() {
return error_count;
}
- virtual void handleOtherError(JAVACC_STRING_TYPE message, VhdlParser *parser) {
+ virtual void handleOtherError(const JJString& message, VhdlParser *parser) {
fprintf(stderr, "Error: %s\n", (char*)message.c_str());
}
virtual ~ErrorHandler() {}
@@ -62,11 +63,11 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
// errorAfter : prefix that was seen before this error occurred
// curchar : the offending character
//
- virtual void lexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, VhdlParserTokenManager* token_manager) {
+ virtual void lexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, VhdlParserTokenManager* token_manager) {
// by default, we just print an error message and return.
fprintf(stderr, "Lexical error at: %d:%d. Encountered: %c after: %s.\n", errorLine, errorColumn, curChar, (EOFSeen? "EOF" : (const char*)errorAfter.c_str()));
}
- virtual void lexicalError(JAVACC_STRING_TYPE errorMessage, VhdlParserTokenManager* token_manager) {
+ virtual void lexicalError(const JJString& errorMessage, VhdlParserTokenManager* token_manager) {
fprintf(stderr, "%s\n", (char*)errorMessage.c_str());
}
virtual ~TokenManagerErrorHandler() {}
@@ -76,4 +77,5 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
}
#endif
-/* JavaCC - OriginalChecksum=c18f1105ba178be8e21cc9f279f94496 (do not edit this line) */
+
+/* JavaCC - OriginalChecksum=5361b31ac6530c6c23511012deb15394 (do not edit this line) */
diff --git a/vhdlparser/JavaCC.h b/vhdlparser/JavaCC.h
index 2d34634..5889e93 100644
--- a/vhdlparser/JavaCC.h
+++ b/vhdlparser/JavaCC.h
@@ -35,10 +35,10 @@ public:
const JAVACC_CHAR_TYPE EMPTY[] = { 0 };
#ifndef MAX
-#define MAX(a,b) (a>=b?a:b)
+#define MAX(a,b) ((a)>=(b)?(a):(b))
#endif
#ifndef MIN
-#define MIN(a,b) (a<=b?a:b)
+#define MIN(a,b) ((a)<=(b)?(a):(b))
#endif
template<typename T>
diff --git a/vhdlparser/JavaCC.h.in b/vhdlparser/JavaCC.h.in
index 224b2b5..5889e93 100644
--- a/vhdlparser/JavaCC.h.in
+++ b/vhdlparser/JavaCC.h.in
@@ -1,31 +1,33 @@
-/* Generated By:JavaCC: Do not edit this line. JavaCC.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. JavaCC.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef JAVACC_H_
-#define JAVACC_H_
-#include <stdio.h>
+#ifndef JAVACC_JAVACC_H_
+#define JAVACC_JAVACC_H_
+
#include <string>
-#include <memory.h>
-#include <assert.h>
-#include "vhdlstring.h"
+#include <memory>
+#include <cassert>
+#include <functional>
#ifndef JAVACC_CHAR_TYPE
#define JAVACC_CHAR_TYPE char
#endif
#ifndef JAVACC_STRING_TYPE
-#define JAVACC_STRING_TYPE VhdlString
+#define JAVACC_STRING_TYPE std::basic_string<JAVACC_CHAR_TYPE>
#endif
-#define JAVACC_SIMPLE_STRING VhdlString
+#define JAVACC_SIMPLE_STRING std::basic_string<char>
-typedef JAVACC_STRING_TYPE StringBuffer;
-typedef JAVACC_STRING_TYPE String;
+typedef JAVACC_CHAR_TYPE JJChar;
+typedef JAVACC_STRING_TYPE JJString;
+typedef JAVACC_STRING_TYPE JJStringBuffer;
+typedef JAVACC_SIMPLE_STRING JJSimpleString;
// Abstraction on stream classes to read a block of data into a buffer.
class ReaderStream {
public:
// Read block of data into a buffer and return the actual number read.
- virtual size_t read(JAVACC_CHAR_TYPE * /*bufptr*/, int /*offset*/, size_t /*len*/) { return 0; }
+ virtual size_t read(JAVACC_CHAR_TYPE *buffer, int offset, size_t len) { return 0; }
virtual bool endOfInput() { return true; }
virtual ~ReaderStream() {}
};
@@ -33,11 +35,26 @@ public:
const JAVACC_CHAR_TYPE EMPTY[] = { 0 };
#ifndef MAX
-#define MAX(a,b) ((a)>(b)?(a):(b))
+#define MAX(a,b) ((a)>=(b)?(a):(b))
#endif
#ifndef MIN
-#define MIN(a,b) ((a)<(b)?(a):(b))
+#define MIN(a,b) ((a)<=(b)?(a):(b))
#endif
+template<typename T>
+struct JJEnter
+{
+ JJEnter(T f_) : f{f_} {f();}
+ ~JJEnter(){}
+ T f;
+};
+template<typename T>
+struct JJExit
+{
+ JJExit(T f_) : f{f_} {}
+ ~JJExit(){f();}
+ T f;
+};
+
#endif
-/* JavaCC - OriginalChecksum=eb066370c81bb58bb68713327da9719f (do not edit this line) */
+/* JavaCC - OriginalChecksum=b719dd5e5f87efc5315d14df0b701850 (do not edit this line) */
diff --git a/vhdlparser/ParseException.cc b/vhdlparser/ParseException.cc
index 375ff6c..9f256d9 100644
--- a/vhdlparser/ParseException.cc
+++ b/vhdlparser/ParseException.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. ParseException.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. ParseException.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "ParseException.h"
@@ -23,7 +23,7 @@ namespace parser {
*/
ParseException::ParseException(Token currentTokenVal,
int** expectedTokenSequencesVal,
- JAVACC_STRING_TYPE* tokenImageVal
+ JJString* tokenImageVal
)
{
initialise(currentTokenVal, expectedTokenSequencesVal, tokenImageVal);
@@ -46,14 +46,14 @@ namespace parser {
}
/** Constructor with message. */
- ParseException::ParseException(JAVACC_STRING_TYPE message) {
+ ParseException::ParseException(const JJString& message) {
}
/**
* This is the last token that has been consumed successfully. If
* this object has been created due to a parse error, the token
- * following this token will (therefore) be the first error token.
+ * followng this token will (therefore) be the first error token.
*/
Token currentToken;
@@ -69,7 +69,7 @@ namespace parser {
* parser within which the parse error occurred. This array is
* defined in the generated ...Constants class.
*/
- JAVACC_STRING_TYPE* tokenImage;
+ JJString* tokenImage;
/**
* It uses "currentToken" and "expectedTokenSequences" to generate a parse
@@ -78,12 +78,12 @@ namespace parser {
* from the parser) the correct error message
* gets displayed.
*/
- JAVACC_STRING_TYPE ParseException::initialise(Token currentToken,
+ JJString ParseException::initialise(Token currentToken,
int** expectedTokenSequences,
- JAVACC_STRING_TYPE* tokenImage) {
+ JJString* tokenImage) {
#if 0
- //JAVACC_STRING_TYPE eol = System.getProperty("line.separator", "\n");
- expected = new JAVACC_STRING_TYPE();
+ //JJString eol = System.getProperty("line.separator", "\n");
+ expected = new JJString();
int maxSize = 0;
for (int i = 0; i < expectedTokenSequences.length; i++) {
if (maxSize < expectedTokenSequences[i].length) {
@@ -93,39 +93,39 @@ namespace parser {
expected.append(tokenImage[expectedTokenSequences[i][j]]).append(' ');
}
if (expectedTokenSequences[i][expectedTokenSequences[i].length - 1] != 0) {
- expected.append((JAVACC_CHAR_TYPE*)"...");
+ expected.append((JJChar*)"...");
}
expected.append(eol).append(" ");
}
- JAVACC_STRING_TYPE retval = (JAVACC_CHAR_TYPE*)"Encountered \"";
+ JJString retval = (JJChar*)"Encountered \"";
Token tok = currentToken.next;
for (int i = 0; i < maxSize; i++) {
- if (i != 0) retval += (JAVACC_CHAR_TYPE*)" ";
+ if (i != 0) retval += (JJChar*)" ";
if (tok.kind == 0) {
retval += tokenImage[0];
break;
}
- retval += (JAVACC_CHAR_TYPE*)" " + tokenImage[tok.kind];
- retval += (JAVACC_CHAR_TYPE*)" \"";
+ retval += (JJChar*)" " + tokenImage[tok.kind];
+ retval += (JJChar*)" \"";
retval += add_escapes(tok.image);
- retval += (JAVACC_CHAR_TYPE*)" \"";
+ retval += (JJChar*)" \"";
tok = tok.next;
}
- retval += (JAVACC_CHAR_TYPE*)"\" at line " + currentToken.next.beginLine + (JAVACC_CHAR_TYPE*)", column " + currentToken.next.beginColumn;
- retval += (JAVACC_CHAR_TYPE*)"." + eol;
+ retval += (JJChar*)"\" at line " + currentToken.next.beginLine + (JJChar*)", column " + currentToken.next.beginColumn;
+ retval += (JJChar*)"." + eol;
if (expectedTokenSequences.length == 1) {
- retval += (JAVACC_CHAR_TYPE*)"Was expecting:" + eol + (JAVACC_CHAR_TYPE*)" ";
+ retval += (JJChar*)"Was expecting:" + eol + (JJChar*)" ";
} else {
- retval += (JAVACC_CHAR_TYPE*)"Was expecting one of:" + eol + (JAVACC_CHAR_TYPE*)" ";
+ retval += (JJChar*)"Was expecting one of:" + eol + (JJChar*)" ";
}
retval += expected.toString();
return retval;
#endif
- return (JAVACC_CHAR_TYPE*)"Parse exception";
+ return (JJChar*)"Parse exception";
}
/**
- * The end of line JAVACC_STRING_TYPE for this machine.
+ * The end of line JJString for this machine.
*/
#define eol "\n"
@@ -134,10 +134,10 @@ namespace parser {
* when these raw version cannot be used as part of an ASCII
* string literal.
*/
- JAVACC_STRING_TYPE ParseException::add_escapes(JAVACC_STRING_TYPE str) {
+ JJString ParseException::add_escapes(const JJString& str) {
/*
- JAVACC_STRING_TYPE *retval = new JAVACC_STRING_TYPE();
- JAVACC_CHAR_TYPE ch;
+ JJString *retval = new JJString();
+ JJChar ch;
for (int i = 0; i < str.length(); i++) {
switch (str.charAt(i))
{
@@ -167,7 +167,7 @@ namespace parser {
continue;
default:
if ((ch = str.charAt(i)) < 0x20 || ch > 0x7e) {
- JAVACC_STRING_TYPE s = "0000" + Integer.toString(ch, 16);
+ JJString s = "0000" + Integer.toString(ch, 16);
retval.append("\\u" + s.substring(s.length() - 4, s.length()));
} else {
retval.append(ch);
@@ -181,4 +181,4 @@ namespace parser {
}
}
-/* JavaCC - OriginalChecksum=9f6af8fd72f5fe3e4210cf02acbd8387 (do not edit this line) */
+/* JavaCC - OriginalChecksum=7a72405661a136830ccf7f3cab0fffdc (do not edit this line) */
diff --git a/vhdlparser/ParseException.h b/vhdlparser/ParseException.h
index 4a22772..c4afc27 100644
--- a/vhdlparser/ParseException.h
+++ b/vhdlparser/ParseException.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. ParseException.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. ParseException.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef _PARSE_EXCEPTION_H
-#define _PARSE_EXCEPTION_H
+#ifndef JAVACC_PARSE_EXCEPTION_H
+#define JAVACC_PARSE_EXCEPTION_H
+
#include "JavaCC.h"
#include "Token.h"
@@ -28,7 +29,7 @@ class ParseException {
*/
ParseException(Token currentTokenVal,
int** expectedTokenSequencesVal,
- JAVACC_STRING_TYPE* tokenImageVal
+ JJString* tokenImageVal
);
/**
@@ -44,13 +45,13 @@ class ParseException {
ParseException();
/** Constructor with message. */
- ParseException(JAVACC_STRING_TYPE message);
+ ParseException(const JJString& message);
/**
* This is the last token that has been consumed successfully. If
* this object has been created due to a parse error, the token
- * following this token will (therefore) be the first error token.
+ * followng this token will (therefore) be the first error token.
*/
Token currentToken;
@@ -66,7 +67,7 @@ class ParseException {
* parser within which the parse error occurred. This array is
* defined in the generated ...Constants class.
*/
- JAVACC_STRING_TYPE* tokenImage;
+ JJString* tokenImage;
/**
* It uses "currentToken" and "expectedTokenSequences" to generate a parse
@@ -75,9 +76,9 @@ class ParseException {
* from the parser) the correct error message
* gets displayed.
*/
- private: JAVACC_STRING_TYPE initialise(Token currentToken,
+ private: JJString initialise(Token currentToken,
int** expectedTokenSequences,
- JAVACC_STRING_TYPE* tokenImage);
+ JJString* tokenImage);
/**
* The end of line string for this machine.
@@ -89,11 +90,12 @@ class ParseException {
* when these raw version cannot be used as part of an ASCII
* string literal.
*/
- JAVACC_STRING_TYPE add_escapes(JAVACC_STRING_TYPE str);
+ JJString add_escapes(const JJString& str);
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=bd87c2fc11a4306bd2c2482fd1025b7c (do not edit this line) */
+/* JavaCC - OriginalChecksum=6705523c0ea4e83f3c38da57253c0aea (do not edit this line) */
diff --git a/vhdlparser/Token.cc b/vhdlparser/Token.cc
index 735091d..d47dc68 100644
--- a/vhdlparser/Token.cc
+++ b/vhdlparser/Token.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. Token.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. Token.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,TOKEN_INCLUDES=,TOKEN_EXTENDS= */
#include "Token.h"
@@ -18,42 +18,46 @@ namespace parser {
* override this method as appropriate.
*/
void * Token::getValue() {
- return NULL;
+ return nullptr;
}
/**
* No-argument constructor
*/
- Token::Token() {
- this->next = NULL;
- this->specialToken = NULL;
+ Token::Token() :
+ beginLine(0), beginColumn(0), endLine(0), endColumn(0)
+ {
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
/**
* Constructs a new token for the specified Image.
*/
- Token::Token(int kind)
+ Token::Token(int kind) :
+ beginLine(0), beginColumn(0), endLine(0), endColumn(0)
{
this->kind = kind;
- this->next = NULL;
- this->specialToken = NULL;
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
/**
* Constructs a new token for the specified Image and Kind.
*/
- Token::Token(int kind, JAVACC_STRING_TYPE image)
+ Token::Token(int kind, const JJString& image)
+ : beginLine(0), beginColumn(0), endLine(0), endColumn(0)
{
this->kind = kind;
this->image = image;
- this->next = NULL;
- this->specialToken = NULL;
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
/**
* Returns the image.
*/
- JAVACC_STRING_TYPE Token::toString()
+ const JJString& Token::toString()
{
return image;
}
@@ -70,7 +74,7 @@ namespace parser {
* to the following switch statement. Then you can cast matchedToken
* variable to the appropriate type and use sit in your lexical actions.
*/
- Token *Token::newToken(int ofKind, JAVACC_STRING_TYPE image)
+ Token *Token::newToken(int ofKind, const JJString& image)
{
switch(ofKind)
{
@@ -80,13 +84,16 @@ namespace parser {
Token *Token::newToken(int ofKind)
{
- return newToken(ofKind, JAVACC_STRING_TYPE((JAVACC_CHAR_TYPE*)""));
+ return newToken(ofKind, JJString());
}
Token::~Token() {
if (specialToken) delete specialToken;
+ this->kind = 0;
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
}
}
-/* JavaCC - OriginalChecksum=dcb0f64486aa6455ae5af05d6bb539ec (do not edit this line) */
+/* JavaCC - OriginalChecksum=01131f3b3906a670aa8fee6224233701 (do not edit this line) */
diff --git a/vhdlparser/Token.h b/vhdlparser/Token.h
index 040899e..3575005 100644
--- a/vhdlparser/Token.h
+++ b/vhdlparser/Token.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. Token.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. Token.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,TOKEN_INCLUDES=,TOKEN_EXTENDS= */
-#ifndef TOKEN_H
-#define TOKEN_H
+#ifndef JAVACC_TOKEN_H
+#define JAVACC_TOKEN_H
+
#include "JavaCC.h"
@@ -35,7 +36,7 @@ class Token
/**
* The string image of the token.
*/
- JAVACC_STRING_TYPE image;
+ JJString image;
/**
* A reference to the next regular (non-special) token from the input
@@ -84,14 +85,14 @@ class Token
/**
* Constructs a new token for the specified Image and Kind.
*/
- Token(int kind, JAVACC_STRING_TYPE image);
+ Token(int kind, const JJString& image);
virtual ~Token();
/**
* Returns the image.
*/
- JAVACC_STRING_TYPE toString();
+ const JJString& toString();
/**
@@ -106,12 +107,13 @@ class Token
* to the following switch statement. Then you can cast matchedToken
* variable to the appropriate type and use sit in your lexical actions.
*/
- static Token *newToken(int ofKind, JAVACC_STRING_TYPE image);
+ static Token *newToken(int ofKind, const JJString& image);
static Token *newToken(int ofKind);
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=4748c3d6443aa3445d3c95ab54f14c2a (do not edit this line) */
+/* JavaCC - OriginalChecksum=8c237423e3d9695c3bfcf539bcf92375 (do not edit this line) */
diff --git a/vhdlparser/TokenManager.h b/vhdlparser/TokenManager.h
index 0c2caa6..6b158ae 100644
--- a/vhdlparser/TokenManager.h
+++ b/vhdlparser/TokenManager.h
@@ -1,13 +1,12 @@
-/* Generated By:JavaCC: Do not edit this line. TokenManager.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. TokenManager.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef TOKENMANAGER_H
-#define TOKENMANAGER_H
+#ifndef JAVACC_TOKENMANAGER_H
+#define JAVACC_TOKENMANAGER_H
+
#include <iostream>
#include "JavaCC.h"
#include "Token.h"
-using namespace std;
-
namespace vhdl {
namespace parser {
/**
@@ -23,13 +22,15 @@ public:
*/
virtual ~TokenManager() { }
virtual Token *getNextToken() = 0;
+ virtual void setParser(void* parser) {};
virtual void lexicalError() {
- cerr << "Lexical error encountered." << endl;
+ std::cerr << "Lexical error encountered." << std::endl;
}
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=918e2eba53e028d6c4142283ce3f498f (do not edit this line) */
+/* JavaCC - OriginalChecksum=c5e389e7ee25f48da34e517ae429ac2a (do not edit this line) */
diff --git a/vhdlparser/TokenMgrError.cc b/vhdlparser/TokenMgrError.cc
index 74908f0..3560805 100644
--- a/vhdlparser/TokenMgrError.cc
+++ b/vhdlparser/TokenMgrError.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. TokenMgrError.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. TokenMgrError.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "TokenMgrError.h"
@@ -14,17 +14,17 @@ namespace parser {
* errorLine : line number when the error occurred
* errorColumn : column number when the error occurred
* errorAfter : prefix that was seen before this error occurred
- * curJAVACC_CHAR_TYPE : the offending character
+ * curJJChar : the offending character
* Note: You can customize the lexical error message by modifying this method.
*/
- JAVACC_STRING_TYPE TokenMgrError::LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar) {
+ JJString TokenMgrError::LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar) {
#if 0
- JAVACC_STRING_TYPE s;
- stringstream<JAVACC_STRING_TYPE> ss;
+ JJString s;
+ stringstream<JJString> ss;
ss << "Lexical error at line " << errorLine << " column " << errorColumn
<< ". Encountered: " << curChar << "(" << (int)curChar
<< ") after : \"" << errorAfter.c_str() << "\"";
- return (JAVACC_STRING_TYPE)ss.rdbuf()->str();
+ return (JJString)ss.rdbuf()->str();
#endif
return EMPTY;
}
@@ -38,7 +38,7 @@ namespace parser {
*
* from this method for such cases in the release version of your parser.
*/
- JAVACC_STRING_TYPE TokenMgrError::getMessage() {
+ JJString TokenMgrError::getMessage() {
return message;
}
@@ -51,12 +51,12 @@ namespace parser {
}
/** Constructor with message and reason. */
- TokenMgrError::TokenMgrError(JAVACC_STRING_TYPE message, int reason) {
+ TokenMgrError::TokenMgrError(const JJString& message, int reason) {
errorCode = reason;
}
/** Full Constructor. */
- TokenMgrError::TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, int reason) {
+ TokenMgrError::TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, int reason) {
message = LexicalError(EOFSeen, lexState, errorLine, errorColumn, errorAfter, curChar);
errorCode = reason;
}
@@ -64,7 +64,7 @@ namespace parser {
// i < 16 - guaranteed
char hexChar(int i) {
if (i < 10) {
- return i + '0';
+ return i - '0';
}
return 'a' + (i - 10);
}
@@ -73,10 +73,10 @@ char hexChar(int i) {
* Replaces unprintable characters by their escaped (or unicode escaped)
* equivalents in the given string
*/
-JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str) {
- JAVACC_SIMPLE_STRING retval;
+JJSimpleString addUnicodeEscapes(const JJString& str) {
+ JJSimpleString retval;
for (size_t i = 0; i < str.size(); i++) {
- JAVACC_CHAR_TYPE ch = str[i];
+ JJChar ch = str[i];
switch (ch)
{
case '\b':
@@ -115,4 +115,4 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str) {
}
}
-/* JavaCC - OriginalChecksum=72f292bc267bd0602e63291bf864f942 (do not edit this line) */
+/* JavaCC - OriginalChecksum=ed66d80b94c73435ce2644e44f7b4d49 (do not edit this line) */
diff --git a/vhdlparser/TokenMgrError.h b/vhdlparser/TokenMgrError.h
index abb305d..90c7530 100644
--- a/vhdlparser/TokenMgrError.h
+++ b/vhdlparser/TokenMgrError.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. TokenMgrError.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. TokenMgrError.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef _TOKENMGRERROR_H
-#define _TOKENMGRERROR_H
+#ifndef JAVACC_TOKENMGRERROR_H
+#define JAVACC_TOKENMGRERROR_H
+
#include "JavaCC.h"
@@ -54,10 +55,10 @@ public:
* curchar : the offending character
* Note: You can customize the lexical error message by modifying this method.
*/
- JAVACC_STRING_TYPE LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar);
+ JJString LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar);
private:
- JAVACC_STRING_TYPE message;
+ JJString message;
/**
* You can also modify the body of this method to customize your error messages.
@@ -69,7 +70,7 @@ private:
* from this method for such cases in the release version of your parser.
*/
public:
- JAVACC_STRING_TYPE getMessage() ;
+ JJString getMessage() ;
/*
* Constructors of various flavors follow.
@@ -79,13 +80,14 @@ public:
TokenMgrError() ;
/** Constructor with message and reason. */
- TokenMgrError(JAVACC_STRING_TYPE message, int reason) ;
+ TokenMgrError(const JJString& message, int reason) ;
/** Full Constructor. */
- TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, int reason) ;
+ TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, int reason) ;
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=c22a1b25630ec91deb47dcba22b6b39d (do not edit this line) */
+/* JavaCC - OriginalChecksum=4ab4cdcdef6a3feec22fd9c00ba86e07 (do not edit this line) */
diff --git a/vhdlparser/VhdlParser.cc b/vhdlparser/VhdlParser.cc
index 49e9bd9..c92c852 100644
--- a/vhdlparser/VhdlParser.cc
+++ b/vhdlparser/VhdlParser.cc
@@ -4,17 +4,17 @@
namespace vhdl {
namespace parser {
unsigned int jj_la1_0[] = {
-0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x90404000,0x20080000,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x90404000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x40000000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x40020000,0x40020001,0x0,0x0,0x0,0x40000000,0xd0020000,0x0,0x0,0x800000,0x0,0x0,0x80004000,0x400000,0x0,0x0,0x80404000,0x0,0x0,0x0,0x0,0x8000,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x10000,0x0,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x10000000,0x0,0x50000000,0x8000,0x0,0x80000000,0x0,0x80000000,0x80000000,0x4000000,0x8000000,0x0,0x0,0x20000,0x0,0x0,0x0,0x0,0x800,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x80004000,0x0,0x80004000,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x0,0x90404000,0x0,0x0,0x0,0x40000000,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x0,0x20000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x8000000,0x0,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x8000,0x0,0x0,0x41000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x2000,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90c04000,0x20080000,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,};
+0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x90404000,0x20080000,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x90404000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x40000000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x40020000,0x40020001,0x0,0x0,0x0,0x40000000,0xd0020000,0x0,0x0,0x800000,0x0,0x0,0x80004000,0x400000,0x0,0x0,0x80404000,0x0,0x0,0x0,0x0,0x8000,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x10000,0x0,0x10800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x10000000,0x0,0x50000000,0x8000,0x80000000,0x0,0x80000000,0x80000000,0x4000000,0x8000000,0x0,0x0,0x20000,0x0,0x0,0x0,0x0,0x800,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x80004000,0x0,0x80004000,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x0,0x90404000,0x0,0x0,0x0,0x40000000,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x0,0x20000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x8000000,0x0,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x8000,0x0,0x0,0x41000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x2000,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90c04000,0x20080000,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,};
unsigned int jj_la1_1[] = {
-0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x125808,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x0,0x0,0x1000,0x104800,0x1008,0x20000,0x125808,0x10000,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x1000,0x1000000,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x20000,0x1000,0x0,0x4000000,0x4000000,0x0,0x400000,0x4000101,0x4000101,0x0,0x10,0x0,0x100,0x12024900,0x0,0x0,0x0,0x100,0x0,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x0,0x0,0x81000,0x0,0x0,0x0,0x0,0x0,0x40,0x20,0x0,0x0,0x0,0x0,0x0,0x100,0x0,0x0,0x800,0x0,0x0,0x0,0x8a00000,0x0,0x0,0x1000,0x1,0x0,0x0,0x1000,0x0,0x0,0x8a00000,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x40000,0x400000,0x0,0x0,0x104800,0x20000,0x124800,0x0,0x0,0x10000,0x10000,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x0,0x0,0x100,0x0,0x0,0x104800,0x0,0x20000,0x124800,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x20081200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x104000,0x1000000,0x1104800,0x0,0x20000,0x1124800,0x4000,0x0,0x100000,0x100000,0x0,0x104000,0x20081200,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000,0x0,0x0,0x0,0x0,0x1104800,0x0,0x20000,0x1124800,0x0,0x104000,0x104000,0x4000001,0x1,0x0,0x4000001,0x10000,0x10000,0x10000,0x0,0x0,0x125808,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x20,0x0,0x200000,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x200000,0x0,0x0,0x0,0x200000,0x0,0x20,0x104000,0x100000,0x100000,0x0,0x1000000,0x0,0x0,0x104000,};
+0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x125808,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x0,0x0,0x1000,0x104800,0x1008,0x20000,0x125808,0x10000,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x1000,0x1000000,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x20000,0x1000,0x0,0x4000001,0x0,0x400000,0x4000101,0x4000101,0x0,0x10,0x0,0x100,0x12024900,0x0,0x0,0x0,0x100,0x0,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x200000,0x0,0x0,0x0,0x81000,0x0,0x0,0x0,0x0,0x0,0x40,0x20,0x0,0x0,0x0,0x0,0x0,0x100,0x0,0x800,0x0,0x0,0x0,0x8a00000,0x0,0x0,0x1000,0x0,0x0,0x0,0x1000,0x0,0x0,0x8a00000,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x40000,0x400000,0x0,0x0,0x104800,0x20000,0x124800,0x10000,0x10000,0x0,0x0,0x10000,0x10000,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x0,0x0,0x100,0x1,0x0,0x104800,0x0,0x20000,0x124800,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x20081200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x104000,0x1000000,0x1104800,0x0,0x20000,0x1124800,0x4000,0x0,0x100000,0x100000,0x0,0x104000,0x20081200,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000,0x0,0x0,0x0,0x0,0x1104800,0x0,0x20000,0x1124800,0x0,0x104000,0x104000,0x4000001,0x1,0x0,0x4000001,0x10000,0x10000,0x10000,0x0,0x0,0x125808,0x0,0x20,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x20,0x0,0x200000,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x200000,0x0,0x0,0x0,0x200000,0x0,0x20,0x104000,0x100000,0x100000,0x0,0x1000000,0x0,0x0,0x104000,};
unsigned int jj_la1_2[] = {
-0x0,0x100,0x0,0x0,0x0,0x0,0x0,0x0,0x2,0x0,0x0,0x110000,0x28000,0x0,0x20000000,0x0,0x0,0x0,0x200000,0x0,0x0,0x0,0x4000,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x4000,0x4000,0x0,0x0,0x0,0x0,0x28000,0x0,0x0,0x0,0x400,0x0,0x4000,0x0,0x0,0x0,0x4000,0x0,0x4000,0x400000,0x8000,0x8000,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000000,0x1000,0x1000,0x0,0x0,0x0,0x100,0x11000,0x0,0x0,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x4000,0x0,0x400,0x8000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x209,0x209,0x0,0x32,0x100,0x100,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x32,0x0,0x0,0x0,0x400,0x1000,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x1000,0x20,0x0,0x0,0x0,0x10,0x800,0x10000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000,0x0,0x110000,0x0,0x110000,0x1000,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x2,0x0,0x1000,0x0,0x110000,0x0,0x0,0x110000,0x0,0x8000,0x0,0x0,0x0,0x8000,0x0,0x20000024,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x32,0x200000,0x1000,0x0,0x0,0x20,0xc0000000,0xc0000000,0x0,0x1000000,0x0,0x800000,0x0,0x800000,0x0,0x400,0x0,0x0,0x0,0x0,0x10000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x110000,0x10000,0x0,0x100000,0x100000,0x0,0x110000,0x20000024,0x0,0x0,0x0,0x600000,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x32,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x110000,0x110000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x110000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x800,0x32,0x0,0x0,0x0,0x0,0x1000000,0x0,0x800,0x0,0x0,0x0,0x800,0x0,0x0,0x110000,0x100000,0x100000,0x0,0x0,0x2000,0x0,0x138000,};
+0x0,0x100,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2,0x0,0x0,0x110000,0x28000,0x0,0x20000000,0x0,0x0,0x0,0x200000,0x200000,0x0,0x0,0x0,0x4000,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x4000,0x4000,0x0,0x0,0x0,0x0,0x28000,0x0,0x0,0x0,0x0,0x400,0x0,0x4000,0x0,0x0,0x0,0x4000,0x0,0x4000,0x400000,0x8000,0x8000,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000000,0x1000,0x1000,0x0,0x0,0x0,0x100,0x11000,0x0,0x0,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x4000,0x0,0x400,0x8000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x209,0x209,0x0,0x23b,0x100,0x100,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x23b,0x0,0x0,0x0,0x400,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x1000,0x20,0x0,0x0,0x0,0x10,0x800,0x10000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x1000,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x0,0x0,0x1000,0x0,0x110000,0x0,0x0,0x110000,0x0,0x8000,0x0,0x0,0x0,0x8000,0x0,0x20000024,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x23b,0x200000,0x1000,0x0,0x0,0x20,0xc0000000,0xc0000000,0x0,0x1000000,0x0,0x800000,0x0,0x800000,0x0,0x400,0x0,0x0,0x0,0x0,0x10000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x110000,0x10000,0x0,0x100000,0x100000,0x0,0x110000,0x20000024,0x0,0x0,0x0,0x0,0x600000,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x23b,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x110000,0x110000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x110000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x800,0x23b,0x0,0x0,0x0,0x0,0x1000000,0x0,0x800,0x0,0x0,0x0,0x800,0x0,0x0,0x110000,0x100000,0x100000,0x0,0x0,0x2000,0x0,0x138000,};
unsigned int jj_la1_3[] = {
-0x0,0x0,0x0,0x40000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x188830,0x8000000,0x0,0x0,0x8,0x0,0x0,0x0,0x0,0x80000,0x0,0x0,0x80000,0x0,0x108830,0x80000,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x2000000,0x0,0x0,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x80000,0x80000,0x0,0x0,0x80000,0x80000,0x0,0x4000,0x80000,0x80000,0x0,0x2000,0x0,0x0,0x128810,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x30000000,0x30000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x108030,0x0,0x100030,0x100030,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x108030,0x0,0x4000,0x0,0x0,0x188820,0x0,0x188820,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x0,0x0,0x0,0x8,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x3c0,0x3c0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x8000000,0x20,0x0,0x0,0x0,0x40000,0x0,0x0,0x10000,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x80000,0x80000,0x80000,0x0,0x0,0x80000,0x0,0x0,0x0,0x2000000,0x0,0x188830,0x8000000,0x100010,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x2000000,0x0,0x0,0x8000000,0x0,0x0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,};
+0x0,0x0,0x0,0x0,0x40000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x188830,0x8000000,0x0,0x0,0x8,0x0,0x0,0x0,0x0,0x0,0x80000,0x0,0x0,0x80000,0x0,0x108830,0x80000,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x80000,0x80000,0x0,0x0,0x80000,0x0,0x4000,0x80000,0x80000,0x0,0x2000,0x0,0x0,0x128810,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x30000000,0x30000000,0x0,0x30000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x30000000,0x0,0x0,0x0,0x0,0x108030,0x0,0x100030,0x100030,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x108030,0x0,0x4000,0x0,0x0,0x188820,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x0,0x0,0x0,0x8,0x0,0x30000000,0x0,0x0,0x0,0x0,0x0,0x3c0,0x3c0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x8000000,0x20,0x0,0x0,0x0,0x40000,0x0,0x0,0x30010000,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x80000,0x80000,0x80000,0x0,0x0,0x80000,0x0,0x0,0x0,0x2000000,0x0,0x188830,0x8000000,0x0,0x0,0x100010,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x2000000,0x0,0x30000000,0x8000000,0x0,0x0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,};
unsigned int jj_la1_4[] = {
-0x0,0x0,0x60000,0x30,0x40,0x100,0x0,0x0,0x0,0x0,0x40000,0x0,0x40001,0x0,0x0,0x0,0x0,0x40,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x0,0x0,0x40001,0x0,0x0,0x0,0x0,0x10000000,0x200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x20000,0x40,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x40000,0x40000,0x40000,0x40000,0x0,0x40,0x0,0x0,0x0,0x0,0x0,0x4,0x40001,0x0,0x0,0x40000,0x1,0x0,0x0,0x40000,0x40,0x0,0x40,0x0,0x0,0x0,0x0,0x40,0x40031,0x0,0x1,0x0,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x4,0x0,0x40000008,0x40000,0x40,0x1,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x0,0x40001,0x1,0x0,0x40000,0xfc00,0xfc00,0x0,0x0,0x0,0x40031,0x1,0x0,0x40,0x40,0x0,0x0,0x0,0x30,0x0,0x40001,0x0,0x80,0x0,0x40,0x40000,0x40,0x40000,0x0,0x30,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x1,0x0,0x40001,0x0,0x40001,0x0,0x1,0x0,0x40,0x40,0x40001,0x0,0x80,0x0,0x0,0x0,0x0,0x40,0x40031,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40001,0x0,0xa0000000,0x20000000,0x0,0x1,0x40001,0x0,0x0,0x0,0x0,0x40031,0x0,0x8000000,0x40000,0x8000000,0x0,0x8000000,0x0,0x240,0x240,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x0,0x1,0x0,};
+0x0,0x0,0x0,0x20000,0x30,0x40,0x100,0x0,0x0,0x0,0x0,0x40000,0x0,0x40001,0x0,0x0,0x0,0x0,0x40,0x0,0x40000,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x0,0x0,0x40001,0x8000000,0x0,0x0,0x0,0x0,0x10000000,0x200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x20000,0x40,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x40000,0x40000,0x40000,0x40000,0x0,0x40,0x0,0x0,0x0,0x0,0x0,0x4,0x140001,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x40000,0x40,0x0,0x40,0x0,0x0,0x0,0x0,0x40,0x140031,0x0,0x1,0x0,0x40000,0x0,0x200,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x4,0x0,0x40000008,0x40000,0x40,0x1,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x0,0x40001,0x1,0x40000,0x40000,0x7e0fc00,0x7e0fc00,0x0,0x0,0x0,0x140031,0x0,0x0,0x40,0x40,0x0,0x0,0x0,0x30,0x0,0x40001,0x0,0x80,0x0,0x40,0x40000,0x40,0x40000,0x0,0x30,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x1,0x0,0x40001,0x40000,0x0,0x40001,0x0,0x1,0x0,0x40,0x40,0x40001,0x0,0x80,0x0,0x0,0x0,0x0,0x40,0x140031,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40001,0x0,0x0,0x0,0xa0000000,0x20000000,0x0,0x1,0x40001,0x0,0x0,0x0,0x0,0x140031,0x0,0x8000000,0x40000,0x8000000,0x0,0x8000000,0x0,0x240,0x240,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x0,0x1,0x0,};
unsigned int jj_la1_5[] = {
-0x1420,0x0,0x1c0,0x0,0x0,0x0,0x2,0x3c0,0x0,0x0,0x1c0,0x0,0x200001c0,0x0,0x0,0x0,0x180,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x200001c0,0x180,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x20000000,0x20000000,0x2,0x0,0x0,0x3c0,0x3c0,0x1c0,0x1c0,0x3c0,0x380,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1e0,0x0,0x180,0x0,0x3c0,0x0,0x180,0x0,0x180,0x0,0x0,0x180,0x0,0x1017e0,0x0,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x180,0x0,0x180,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x180,0x180,0x0,0x180,0x1420,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x1c0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x180,0x1c0,0x0,0x0,0x180,0x0,0x180,0x1017e0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x1c0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x240,0x1c0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x200001c0,0x0,0x1,0x0,0x1,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x180,};
+0x1420,0x0,0x180,0x0,0x0,0x0,0x0,0x2,0x3c0,0x0,0x0,0x1c0,0x0,0x200001c0,0x0,0x0,0x0,0x180,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x200001c0,0x0,0x180,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x20000000,0x20000000,0x2,0x0,0x0,0x0,0x3c0,0x1c0,0x1c0,0x3c0,0x380,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x0,0x1e0,0x0,0x180,0x0,0x3c0,0x0,0x180,0x0,0x180,0x0,0x0,0x180,0x0,0x1017e0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x180,0x0,0x180,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x180,0x180,0x0,0x180,0x1420,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x1c0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x1c0,0x1c0,0x0,0x0,0x180,0x0,0x180,0x1017e0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x1c0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x1c0,0x240,0x1c0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x200001c0,0x0,0x0,0x0,0x1,0x0,0x1,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x180,};
/** Constructor with user supplied TokenManager. */
@@ -23,37 +23,31 @@ namespace parser {
QCString VhdlParser::abstract_literal() {Token *tok;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DECIMAL_LITERAL:{if (!hasError) {
-
+ case DECIMAL_LITERAL:{
+ if (!hasError) {
tok = jj_consume_token(DECIMAL_LITERAL);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case INTEGER:{if (!hasError) {
-
+ case INTEGER:{
+ if (!hasError) {
tok = jj_consume_token(INTEGER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case BASED_LITERAL:{if (!hasError) {
-
+ case BASED_LITERAL:{
+ if (!hasError) {
tok = jj_consume_token(BASED_LITERAL);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -65,15 +59,13 @@ assert(false);
}
-QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;if (!hasError) {
-
+QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;
+ if (!hasError) {
tok = jj_consume_token(ACCESS_T);
}
if (!hasError) {
-
str1 = subtype_indication();
}
-
str=tok->image.c_str(); return str+str1;
assert(false);
}
@@ -81,109 +73,97 @@ assert(false);
QCString VhdlParser::actual_designator() {QCString str;Token *t=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
t = jj_consume_token(OPEN_T);
}
if (!hasError) {
-
return t->image.c_str();
}
-
break;
}
default:
jj_la1[1] = jj_gen;
- if (jj_2_1(2147483647)) {if (!hasError) {
-
+ if (jj_2_1(2147483647)) {
+ if (!hasError) {
str = expression();
}
if (!hasError) {
-
return str;
}
-
- } else if (jj_2_2(2147483647)) {if (!hasError) {
-
- str = name();
- }
- if (!hasError) {
-
+ } else {
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case BASIC_IDENTIFIER:
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ str = identifier();
+ }
+ if (!hasError) {
return str;
+ }
+ break;
+ }
+ default:
+ jj_la1[2] = jj_gen;
+ jj_consume_token(-1);
+ errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-
- } else {
- jj_consume_token(-1);
- errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
assert(false);
}
-QCString VhdlParser::actual_parameter_part() {QCString s;if (!hasError) {
-
+QCString VhdlParser::actual_parameter_part() {QCString s;
+ if (!hasError) {
s = association_list();
}
-
return s;
assert(false);
}
QCString VhdlParser::actual_part() {QCString s,s1;
- if (jj_2_3(2147483647)) {if (!hasError) {
-
+ if (jj_2_2(2147483647)) {
+ if (!hasError) {
s = actual_designator();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BOX_T:{if (!hasError) {
-
+ case BOX_T:{
+ if (!hasError) {
jj_consume_token(BOX_T);
}
if (!hasError) {
-
return "<>";
}
-
break;
}
- case SLSL_T:
- case STRINGLITERAL:
- case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
- s = name();
- }
- if (!hasError) {
-
- jj_consume_token(LPAREN_T);
- }
- if (!hasError) {
-
- s1 = actual_designator();
- }
- if (!hasError) {
-
- jj_consume_token(RPAREN_T);
- }
- if (!hasError) {
-
+ default:
+ jj_la1[3] = jj_gen;
+ if (jj_2_3(2147483647)) {
+ if (!hasError) {
+ s = name();
+ }
+ if (!hasError) {
+ jj_consume_token(LPAREN_T);
+ }
+ if (!hasError) {
+ s1 = actual_designator();
+ }
+ if (!hasError) {
+ jj_consume_token(RPAREN_T);
+ }
+ if (!hasError) {
s+="(";s+=s1+")";return s;
+ }
+ } else {
+ jj_consume_token(-1);
+ errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-
- break;
- }
- default:
- jj_la1[2] = jj_gen;
- jj_consume_token(-1);
- errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
assert(false);
@@ -192,41 +172,35 @@ assert(false);
QCString VhdlParser::adding_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PLUS_T:{if (!hasError) {
-
+ case PLUS_T:{
+ if (!hasError) {
jj_consume_token(PLUS_T);
}
if (!hasError) {
-
return "+";
}
-
break;
}
- case MINUS_T:{if (!hasError) {
-
+ case MINUS_T:{
+ if (!hasError) {
jj_consume_token(MINUS_T);
}
if (!hasError) {
-
return "-";
}
-
break;
}
- case AMPERSAND_T:{if (!hasError) {
-
+ case AMPERSAND_T:{
+ if (!hasError) {
jj_consume_token(AMPERSAND_T);
}
if (!hasError) {
-
return "&";
}
-
break;
}
default:
- jj_la1[3] = jj_gen;
+ jj_la1[4] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -234,16 +208,14 @@ assert(false);
}
-QCString VhdlParser::aggregate() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::aggregate() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s = element_association();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -251,109 +223,89 @@ QCString VhdlParser::aggregate() {QCString s,s1,s2;if (!hasError) {
break;
}
default:
- jj_la1[4] = jj_gen;
+ jj_la1[5] = jj_gen;
goto end_label_1;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = element_association();
}
if (!hasError) {
-
s+=","+s1;
}
-
}
end_label_1: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return "("+s+")";
assert(false);
}
-QCString VhdlParser::alias_declaration() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::alias_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(ALIAS_T);
}
if (!hasError) {
-
s2 = alias_designator();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COLON_T:{if (!hasError) {
-
+ case COLON_T:{
+ if (!hasError) {
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
s+=s1;
}
-
break;
}
default:
- jj_la1[5] = jj_gen;
+ jj_la1[6] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s+=" is ";
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=s1;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LBRACKET_T:{if (!hasError) {
-
+ case LBRACKET_T:{
+ if (!hasError) {
s1 = signature();
}
if (!hasError) {
-
s+=s1;
}
-
break;
}
default:
- jj_la1[6] = jj_gen;
+ jj_la1[7] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
outlineParser()->addVhdlType(s2.data(),outlineParser()->getLine(ALIAS_T),Entry::VARIABLE_SEC,VhdlDocGen::ALIAS,0,s.data(),Public);
return s2+" "+s+";";
@@ -364,41 +316,35 @@ assert(false);
QCString VhdlParser::alias_designator() {Token *tok=0;QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
tok = jj_consume_token(CHARACTER_LITERAL);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[7] = jj_gen;
+ jj_la1[8] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -407,30 +353,26 @@ assert(false);
void VhdlParser::allocator() {
- if (jj_2_4(3)) {if (!hasError) {
-
+ if (jj_2_4(3)) {
+ if (!hasError) {
jj_consume_token(NEW_T);
}
if (!hasError) {
-
qualified_expression();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case NEW_T:{if (!hasError) {
-
+ case NEW_T:{
+ if (!hasError) {
jj_consume_token(NEW_T);
}
if (!hasError) {
-
subtype_indication();
}
-
break;
}
default:
- jj_la1[8] = jj_gen;
+ jj_la1[9] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -438,28 +380,23 @@ void VhdlParser::allocator() {
}
-void VhdlParser::architecture_body() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::architecture_body() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(ARCHITECTURE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
QCString t=s1+"::"+s;
m_sharedState->genLabels.resize(0);
outlineParser()->pushLabel(m_sharedState->genLabels,s1);
@@ -467,72 +404,61 @@ QCString t=s1+"::"+s;
outlineParser()->addVhdlType(t,outlineParser()->getLine(ARCHITECTURE_T),Entry::CLASS_SEC,VhdlDocGen::ARCHITECTURE,0,0,Private);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
architecture_declarative_part();
}
-
} catch ( ...) {
outlineParser()->error_skipto(BEGIN_T);
}
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
architecture_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARCHITECTURE_T:{if (!hasError) {
-
+ case ARCHITECTURE_T:{
+ if (!hasError) {
jj_consume_token(ARCHITECTURE_T);
}
-
break;
}
default:
- jj_la1[9] = jj_gen;
+ jj_la1[10] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[10] = jj_gen;
+ jj_la1[11] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->lastEntity=0;m_sharedState->lastCompound=0; m_sharedState->genLabels.resize(0);
}
-void VhdlParser::architecture_declarative_part() {if (!hasError) {
-
+void VhdlParser::architecture_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -557,22 +483,20 @@ void VhdlParser::architecture_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[11] = jj_gen;
+ jj_la1[12] = jj_gen;
goto end_label_2;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
block_declarative_item();
}
-
}
end_label_2: ;
}
-
}
-void VhdlParser::architecture_statement_part() {if (!hasError) {
-
+void VhdlParser::architecture_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -590,45 +514,39 @@ void VhdlParser::architecture_statement_part() {if (!hasError) {
break;
}
default:
- jj_la1[12] = jj_gen;
+ jj_la1[13] = jj_gen;
goto end_label_3;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
concurrent_statement();
}
-
}
end_label_3: ;
}
-
}
QCString VhdlParser::array_type_definition() {QCString s;
- if (jj_2_5(2147483647)) {if (!hasError) {
-
- s = unconstraint_array_definition();
+ if (jj_2_5(2147483647)) {
+ if (!hasError) {
+ s = constraint_array_definition();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARRAY_T:{if (!hasError) {
-
- s = constraint_array_definition();
+ case ARRAY_T:{
+ if (!hasError) {
+ s = unconstraint_array_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[13] = jj_gen;
+ jj_la1[14] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -637,53 +555,45 @@ assert(false);
}
-QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
-
+QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;
+ if (!hasError) {
jj_consume_token(ASSERT_T);
}
if (!hasError) {
-
s = condition();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REPORT_T:{if (!hasError) {
-
+ case REPORT_T:{
+ if (!hasError) {
t = jj_consume_token(REPORT_T);
}
if (!hasError) {
-
s1 = expression();
}
-
break;
}
default:
- jj_la1[14] = jj_gen;
+ jj_la1[15] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SEVERITY_T:{if (!hasError) {
-
+ case SEVERITY_T:{
+ if (!hasError) {
t1 = jj_consume_token(SEVERITY_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
- jj_la1[15] = jj_gen;
+ jj_la1[16] = jj_gen;
;
}
}
-
s.prepend("assert ");
if(t) s1.prepend(" report ");
if(t1) s2.prepend(" report ");
@@ -692,72 +602,62 @@ assert(false);
}
-QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;if (!hasError) {
-
+QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = label();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[16] = jj_gen;
+ jj_la1[17] = jj_gen;
;
}
}
if (!hasError) {
-
s1 = assertion();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s+=":";
return s+s1+";";
assert(false);
}
-QCString VhdlParser::association_element() {QCString s,s1;if (!hasError) {
-
- if (jj_2_6(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::association_element() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_6(2147483647)) {
+ if (!hasError) {
s = formal_part();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = actual_part();
}
-
return s+" => "+s1;
assert(false);
}
-QCString VhdlParser::association_list() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::association_list() {QCString s,s1;
+ if (!hasError) {
s = association_element();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -765,51 +665,42 @@ QCString VhdlParser::association_list() {QCString s,s1;if (!hasError) {
break;
}
default:
- jj_la1[17] = jj_gen;
+ jj_la1[18] = jj_gen;
goto end_label_4;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = association_element();
}
if (!hasError) {
-
s+=","+s1;
}
-
}
end_label_4: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::attribute_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::attribute_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(ATTRIBUTE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = type_mark();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,s1.data(),Public);
return " attribute "+s+":"+s1+";";
assert(false);
@@ -819,30 +710,26 @@ assert(false);
QCString VhdlParser::attribute_designator() {QCString s;Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case RANGE_T:{if (!hasError) {
-
+ case RANGE_T:{
+ if (!hasError) {
tok = jj_consume_token(RANGE_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[18] = jj_gen;
+ jj_la1[19] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -850,84 +737,88 @@ assert(false);
}
-QCString VhdlParser::attribute_name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::attribute_name() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
- s1 = name();
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case RANGE_T:{
+ if (!hasError) {
+ jj_consume_token(RANGE_T);
+ }
+ break;
+ }
+ case SLSL_T:
+ case STRINGLITERAL:
+ case BASIC_IDENTIFIER:
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ s1 = name();
+ }
+ break;
+ }
+ default:
+ jj_la1[20] = jj_gen;
+ jj_consume_token(-1);
+ errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
+ }
}
if (!hasError) {
-
-s+="'"+s1;
+s+=s1;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
-s+"("+s1+")";
+s+=s1;
}
-
break;
}
default:
- jj_la1[19] = jj_gen;
+ jj_la1[21] = jj_gen;
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::attribute_specification() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::attribute_specification() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(ATTRIBUTE_T);
}
if (!hasError) {
-
s = attribute_designator();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = entity_specification();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s2 = expression();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString t= s1+" is "+s2;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,t.data(),Public);
return " attribute "+s+" of "+s1+ " is "+s2+";";
@@ -935,149 +826,132 @@ assert(false);
}
-QCString VhdlParser::base() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::base() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(INTEGER);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::base_specifier() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::base_specifier() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::base_unit_declaration() {QCString s;if (!hasError) {
-
+QCString VhdlParser::base_unit_declaration() {QCString s;
+ if (!hasError) {
s = identifier();
}
-
return s;
assert(false);
}
-QCString VhdlParser::based_integer() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::based_integer() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::based_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::based_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASED_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::basic_identifier() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::basic_identifier() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::binding_indication() {if (!hasError) {
-
+void VhdlParser::binding_indication() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
jj_consume_token(USE_T);
}
if (!hasError) {
-
entity_aspect();
}
-
break;
}
default:
- jj_la1[20] = jj_gen;
+ jj_la1[22] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
generic_map_aspect();
}
-
break;
}
default:
- jj_la1[21] = jj_gen;
+ jj_la1[23] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_map_aspect();
}
-
break;
}
default:
- jj_la1[22] = jj_gen;
+ jj_la1[24] = jj_gen;
;
}
}
-
}
-QCString VhdlParser::bit_string_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::bit_string_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BIT_STRING_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::bit_value() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::bit_value() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::block_configuration() {if (!hasError) {
-
+void VhdlParser::block_configuration() {
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
block_specification();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case USE_T:{
@@ -1085,18 +959,16 @@ void VhdlParser::block_configuration() {if (!hasError) {
break;
}
default:
- jj_la1[23] = jj_gen;
+ jj_la1[25] = jj_gen;
goto end_label_5;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
use_clause();
}
-
}
end_label_5: ;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FOR_T:{
@@ -1104,29 +976,24 @@ void VhdlParser::block_configuration() {if (!hasError) {
break;
}
default:
- jj_la1[24] = jj_gen;
+ jj_la1[26] = jj_gen;
goto end_label_6;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
configuration_item();
}
-
}
end_label_6: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(FOR_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
@@ -1135,125 +1002,109 @@ void VhdlParser::block_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
signal_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
component_declaration();
}
-
break;
}
default:
- jj_la1[25] = jj_gen;
- if (jj_2_7(2147483647)) {if (!hasError) {
-
+ jj_la1[27] = jj_gen;
+ if (jj_2_7(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
configuration_specification();
}
-
break;
}
- case DISCONNECT_T:{if (!hasError) {
-
+ case DISCONNECT_T:{
+ if (!hasError) {
disconnection_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
- jj_la1[26] = jj_gen;
- if (jj_2_8(3)) {if (!hasError) {
-
+ jj_la1[28] = jj_gen;
+ if (jj_2_8(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
- jj_la1[27] = jj_gen;
+ jj_la1[29] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -1264,8 +1115,8 @@ void VhdlParser::block_declarative_item() {
}
-void VhdlParser::block_declarative_part() {if (!hasError) {
-
+void VhdlParser::block_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -1290,224 +1141,188 @@ void VhdlParser::block_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[28] = jj_gen;
+ jj_la1[30] = jj_gen;
goto end_label_7;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
block_declarative_item();
}
-
}
end_label_7: ;
}
-
}
-void VhdlParser::block_header() {if (!hasError) {
-
- if (jj_2_9(2147483647)) {if (!hasError) {
-
+void VhdlParser::block_header() {
+ if (!hasError) {
+ if (jj_2_9(2147483647)) {
+ if (!hasError) {
generic_clause();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
generic_map_aspect();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[29] = jj_gen;
+ jj_la1[31] = jj_gen;
;
}
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_clause();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_map_aspect();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[30] = jj_gen;
+ jj_la1[32] = jj_gen;
;
}
}
-
break;
}
default:
- jj_la1[31] = jj_gen;
+ jj_la1[33] = jj_gen;
;
}
}
-
}
-void VhdlParser::block_specification() {if (!hasError) {
-
+void VhdlParser::block_specification() {
+ if (!hasError) {
name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
index_specification();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
- jj_la1[32] = jj_gen;
+ jj_la1[34] = jj_gen;
;
}
}
-
}
-void VhdlParser::block_statement() {QCString s;if (!hasError) {
-
+void VhdlParser::block_statement() {QCString s;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
jj_consume_token(BLOCK_T);
}
if (!hasError) {
-
outlineParser()->pushLabel(m_sharedState->genLabels,s);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
- jj_la1[33] = jj_gen;
+ jj_la1[35] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
jj_consume_token(IS_T);
}
-
break;
}
default:
- jj_la1[34] = jj_gen;
+ jj_la1[36] = jj_gen;
;
}
}
if (!hasError) {
-
block_header();
}
if (!hasError) {
-
block_declarative_part();
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
block_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(BLOCK_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[35] = jj_gen;
+ jj_la1[37] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->genLabels=outlineParser()->popLabel(m_sharedState->genLabels);
}
-void VhdlParser::block_statement_part() {if (!hasError) {
-
+void VhdlParser::block_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -1525,63 +1340,66 @@ void VhdlParser::block_statement_part() {if (!hasError) {
break;
}
default:
- jj_la1[36] = jj_gen;
+ jj_la1[38] = jj_gen;
goto end_label_8;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
concurrent_statement();
}
-
}
end_label_8: ;
}
-
}
-void VhdlParser::case_statement() {QCString s;if (!hasError) {
-
+void VhdlParser::case_statement() {QCString s;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case Q_T:{
+ if (!hasError) {
+ jj_consume_token(Q_T);
+ }
+ break;
+ }
+ default:
+ jj_la1[39] = jj_gen;
+ ;
+ }
+ }
+ if (!hasError) {
jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[37] = jj_gen;
+ jj_la1[40] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(CASE_T);
}
if (!hasError) {
-
s = expression();
}
if (!hasError) {
-
QCString ca="case "+s;
FlowChart::addFlowChart(FlowChart::CASE_NO,0,ca);
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
case_statement_alternative();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case WHEN_T:{
@@ -1589,130 +1407,119 @@ QCString ca="case "+s;
break;
}
default:
- jj_la1[38] = jj_gen;
+ jj_la1[41] = jj_gen;
goto end_label_9;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
case_statement_alternative();
}
-
}
end_label_9: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(CASE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[39] = jj_gen;
+ jj_la1[42] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::END_CASE,"end case",0);
}
-void VhdlParser::case_statement_alternative() {QCString s;if (!hasError) {
-
+void VhdlParser::case_statement_alternative() {QCString s;
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
s = choices();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
if (!hasError) {
-
QCString t="when ";
t+=s+"=> ";
FlowChart::addFlowChart(FlowChart::WHEN_NO,s.data(),t);
}
if (!hasError) {
-
sequence_of_statement();
}
-
FlowChart::moveToPrevLevel();
}
-QCString VhdlParser::character_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::character_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(CHARACTER_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
QCString VhdlParser::choice() {QCString s;
- if (jj_2_10(2147483647)) {if (!hasError) {
-
- s = discrete_range();
+ if (jj_2_10(2147483647)) {
+ if (!hasError) {
+ s = range();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_11(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_11(2147483647)) {
+ if (!hasError) {
s = simple_expression();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_12(2147483647)) {if (!hasError) {
-
- s = identifier();
+ } else if (jj_2_12(2147483647)) {
+ if (!hasError) {
+ s = discrete_range();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OTHER_T:{if (!hasError) {
-
+ case BASIC_IDENTIFIER:
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ s = identifier();
+ }
+ if (!hasError) {
+return s;
+ }
+ break;
+ }
+ case OTHER_T:{
+ if (!hasError) {
jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return " others ";
}
-
break;
}
default:
- jj_la1[40] = jj_gen;
+ jj_la1[43] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -1721,12 +1528,11 @@ assert(false);
}
-QCString VhdlParser::choices() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::choices() {QCString s,s1;
+ if (!hasError) {
s = choice();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BAR_T:{
@@ -1734,199 +1540,167 @@ QCString VhdlParser::choices() {QCString s,s1;if (!hasError) {
break;
}
default:
- jj_la1[41] = jj_gen;
+ jj_la1[44] = jj_gen;
goto end_label_10;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(BAR_T);
}
if (!hasError) {
-
s1 = choice();
}
if (!hasError) {
-
s+="|";s+=s1;
}
-
}
end_label_10: ;
}
-
return s;
assert(false);
}
-void VhdlParser::component_configuration() {if (!hasError) {
-
+void VhdlParser::component_configuration() {
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
component_specification();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case GENERIC_T:
case PORT_T:
case USE_T:
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
binding_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[42] = jj_gen;
+ jj_la1[45] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
block_configuration();
}
-
break;
}
default:
- jj_la1[43] = jj_gen;
+ jj_la1[46] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(FOR_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::component_declaration() {QCString s;if (!hasError) {
-
+void VhdlParser::component_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
jj_consume_token(IS_T);
}
-
break;
}
default:
- jj_la1[44] = jj_gen;
+ jj_la1[47] = jj_gen;
;
}
}
if (!hasError) {
-
m_sharedState->currP=VhdlDocGen::COMPONENT;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
generic_clause();
}
-
break;
}
default:
- jj_la1[45] = jj_gen;
+ jj_la1[48] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_clause();
}
-
break;
}
default:
- jj_la1[46] = jj_gen;
+ jj_la1[49] = jj_gen;
;
}
}
if (!hasError) {
-
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(COMPONENT_T),Entry::VARIABLE_SEC,VhdlDocGen::COMPONENT,0,0,Public);
m_sharedState->currP=0;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[47] = jj_gen;
+ jj_la1[50] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::component_instantiation_statement() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::component_instantiation_statement() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = instantiation_unit();
}
if (!hasError) {
-
QCString s3;
if (s1.contains("|")) {
s3=VhdlDocGen::getIndexWord(s1.data(),0);
@@ -1936,81 +1710,68 @@ QCString s3;
outlineParser()->addCompInst(s.lower().data(),s1.lower().data(),s3.data(),outlineParser()->getLine());
}
if (!hasError) {
-
- if (jj_2_13(2147483647)) {if (!hasError) {
-
+ if (jj_2_13(2147483647)) {
+ if (!hasError) {
generic_map_aspect();
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_map_aspect();
}
-
break;
}
default:
- jj_la1[48] = jj_gen;
+ jj_la1[51] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::component_specification() {if (!hasError) {
-
+void VhdlParser::component_specification() {
+ if (!hasError) {
instantiation_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
name();
}
-
}
QCString VhdlParser::composite_type_definition() {QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARRAY_T:{if (!hasError) {
-
+ case ARRAY_T:{
+ if (!hasError) {
s = array_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case RECORD_T:{if (!hasError) {
-
+ case RECORD_T:{
+ if (!hasError) {
record_type_definition();
}
if (!hasError) {
-
return s+"#";
}
-
break;
}
default:
- jj_la1[49] = jj_gen;
+ jj_la1[52] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -2018,205 +1779,174 @@ assert(false);
}
-void VhdlParser::concurrent_assertion_statement() {if (!hasError) {
-
- if (jj_2_14(2)) {if (!hasError) {
-
+void VhdlParser::concurrent_assertion_statement() {
+ if (!hasError) {
+ if (jj_2_14(2)) {
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
- jj_la1[50] = jj_gen;
+ jj_la1[53] = jj_gen;
;
}
}
if (!hasError) {
-
assertion();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::concurrent_procedure_call_statement() {if (!hasError) {
-
- if (jj_2_15(2)) {if (!hasError) {
-
+void VhdlParser::concurrent_procedure_call_statement() {
+ if (!hasError) {
+ if (jj_2_15(2)) {
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
- jj_la1[51] = jj_gen;
+ jj_la1[54] = jj_gen;
;
}
}
if (!hasError) {
-
procedure_call();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
-
- if (jj_2_16(2)) {if (!hasError) {
-
+void VhdlParser::concurrent_signal_assignment_statement() {
+ if (!hasError) {
+ if (jj_2_16(2)) {
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
- jj_la1[52] = jj_gen;
+ jj_la1[55] = jj_gen;
;
}
}
if (!hasError) {
-
- if (jj_2_17(2147483647)) {if (!hasError) {
-
+ if (jj_2_17(2147483647)) {
+ if (!hasError) {
conditional_signal_assignment();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WITH_T:{if (!hasError) {
-
+ case WITH_T:{
+ if (!hasError) {
selected_signal_assignment();
}
-
break;
}
default:
- jj_la1[53] = jj_gen;
+ jj_la1[56] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
}
-
}
void VhdlParser::concurrent_statement() {
- if (jj_2_18(2147483647)) {if (!hasError) {
-
+ if (jj_2_18(2147483647)) {
+ if (!hasError) {
block_statement();
}
-
- } else if (jj_2_19(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_19(2147483647)) {
+ if (!hasError) {
process_statement();
}
-
- } else if (jj_2_20(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_20(2147483647)) {
+ if (!hasError) {
generate_statement();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CASE_T:{if (!hasError) {
-
+ case CASE_T:{
+ if (!hasError) {
case_scheme();
}
-
break;
}
default:
- jj_la1[54] = jj_gen;
- if (jj_2_21(2147483647)) {if (!hasError) {
-
+ jj_la1[57] = jj_gen;
+ if (jj_2_21(2147483647)) {
+ if (!hasError) {
concurrent_assertion_statement();
}
-
- } else if (jj_2_22(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_22(2147483647)) {
+ if (!hasError) {
concurrent_signal_assignment_statement();
}
-
- } else if (jj_2_23(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_23(2147483647)) {
+ if (!hasError) {
component_instantiation_statement();
}
-
- } else if (jj_2_24(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_24(2147483647)) {
+ if (!hasError) {
concurrent_procedure_call_statement();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VHDL2008TOOLDIR:{if (!hasError) {
-
+ case VHDL2008TOOLDIR:{
+ if (!hasError) {
jj_consume_token(VHDL2008TOOLDIR);
}
-
break;
}
default:
- jj_la1[55] = jj_gen;
+ jj_la1[58] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -2226,220 +1956,186 @@ void VhdlParser::concurrent_statement() {
}
-QCString VhdlParser::condition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::condition() {QCString s;
+ if (!hasError) {
s = expression();
}
-
return s;
assert(false);
}
-QCString VhdlParser::condition_clause() {QCString s;if (!hasError) {
-
+QCString VhdlParser::condition_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(UNTIL_T);
}
if (!hasError) {
-
s = condition();
}
-
return " until "+s;
assert(false);
}
-void VhdlParser::conditional_signal_assignment() {if (!hasError) {
-
+void VhdlParser::conditional_signal_assignment() {
+ if (!hasError) {
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
options();
}
if (!hasError) {
-
conditional_waveforms();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::conditional_waveforms() {if (!hasError) {
-
+void VhdlParser::conditional_waveforms() {
+ if (!hasError) {
waveform();
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_25(2147483647)) {
;
} else {
goto end_label_11;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
condition();
}
if (!hasError) {
-
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
waveform();
}
-
}
end_label_11: ;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
condition();
}
-
break;
}
default:
- jj_la1[56] = jj_gen;
+ jj_la1[59] = jj_gen;
;
}
}
-
}
-void VhdlParser::configuration_declaration() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::configuration_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
m_sharedState->confName=s+"::"+s1;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(CONFIGURATION_T),Entry::VARIABLE_SEC,VhdlDocGen::CONFIG,"configuration",s1.data(),Public);
}
if (!hasError) {
-
configuration_declarative_part();
}
if (!hasError) {
-
block_configuration();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
-
break;
}
default:
- jj_la1[57] = jj_gen;
+ jj_la1[60] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[58] = jj_gen;
+ jj_la1[61] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->genLabels.resize(0); m_sharedState->confName="";
}
void VhdlParser::configuration_declarative_item() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
- jj_la1[59] = jj_gen;
+ jj_la1[62] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-void VhdlParser::configuration_declarative_part() {if (!hasError) {
-
+void VhdlParser::configuration_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ATTRIBUTE_T:
@@ -2449,37 +2145,33 @@ void VhdlParser::configuration_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[60] = jj_gen;
+ jj_la1[63] = jj_gen;
goto end_label_12;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
configuration_declarative_item();
}
-
}
end_label_12: ;
}
-
}
void VhdlParser::configuration_item() {
- if (jj_2_26(2147483647)) {if (!hasError) {
-
+ if (jj_2_26(2147483647)) {
+ if (!hasError) {
component_configuration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
block_configuration();
}
-
break;
}
default:
- jj_la1[61] = jj_gen;
+ jj_la1[64] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -2487,66 +2179,54 @@ void VhdlParser::configuration_item() {
}
-void VhdlParser::configuration_specification() {if (!hasError) {
-
+void VhdlParser::configuration_specification() {
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
component_specification();
}
if (!hasError) {
-
binding_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;if (!hasError) {
-
+QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;
+ if (!hasError) {
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
t = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
- jj_la1[62] = jj_gen;
+ jj_la1[65] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t)
s2.prepend(":=");
QCString it=s1+s2;
@@ -2557,71 +2237,56 @@ assert(false);
}
-QCString VhdlParser::constraint_array_definition() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::constraint_array_definition() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(ARRAY_T);
}
if (!hasError) {
-
s = index_constraint();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
-
return s+" "+s1;
assert(false);
}
-void VhdlParser::context_clause() {if (!hasError) {
-
+void VhdlParser::context_clause() {
+ if (!hasError) {
while (!hasError) {
- switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LIBRARY_T:
- case USE_T:{
+ if (jj_2_27(3)) {
;
- break;
- }
- default:
- jj_la1[63] = jj_gen;
+ } else {
goto end_label_13;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
context_item();
}
-
}
end_label_13: ;
}
-
}
QCString VhdlParser::constraint() {QCString s;
- if (jj_2_27(2147483647)) {if (!hasError) {
-
+ if (jj_2_28(2147483647)) {
+ if (!hasError) {
s = range_constraint();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_28(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_29(2147483647)) {
+ if (!hasError) {
s = index_constraint();
}
if (!hasError) {
-
return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -2632,33 +2297,36 @@ assert(false);
void VhdlParser::context_item() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LIBRARY_T:{if (!hasError) {
-
+ case LIBRARY_T:{
+ if (!hasError) {
library_clause();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
+ break;
+ }
+ case CONTEXT_T:{
+ if (!hasError) {
+ context_ref();
+ }
break;
}
default:
- jj_la1[64] = jj_gen;
+ jj_la1[66] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-QCString VhdlParser::decimal_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::decimal_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(DECIMAL_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
@@ -2666,54 +2334,46 @@ assert(false);
QCString VhdlParser::delay_mechanism() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
jj_consume_token(TRANSPORT_T);
}
if (!hasError) {
-
return " transport ";
}
-
break;
}
case INERTIAL_T:
- case REJECT_T:{if (!hasError) {
-
+ case REJECT_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REJECT_T:{if (!hasError) {
-
+ case REJECT_T:{
+ if (!hasError) {
jj_consume_token(REJECT_T);
}
if (!hasError) {
-
s = expression();
}
if (!hasError) {
-
s.prepend(" reject ");
}
-
break;
}
default:
- jj_la1[65] = jj_gen;
+ jj_la1[67] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(INERTIAL_T);
}
if (!hasError) {
-
return s+" inertial ";
}
-
break;
}
default:
- jj_la1[66] = jj_gen;
+ jj_la1[68] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -2729,13 +2389,12 @@ void VhdlParser::design_file() {
case ENTITY_T:
case LIBRARY_T:
case PACKAGE_T:
- case USE_T:{if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
design_unit();
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ARCHITECTURE_T:
case CONFIGURATION_T:
@@ -2748,73 +2407,64 @@ void VhdlParser::design_file() {
break;
}
default:
- jj_la1[67] = jj_gen;
+ jj_la1[69] = jj_gen;
goto end_label_14;
}
}
end_label_14: ;
}
if (!hasError) {
-
}
-
break;
}
- case 0:{if (!hasError) {
-
+ case 0:{
+ if (!hasError) {
jj_consume_token(0);
}
-
break;
}
default:
- jj_la1[68] = jj_gen;
+ jj_la1[70] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-void VhdlParser::design_unit() {if (!hasError) {
-
+void VhdlParser::design_unit() {
+ if (!hasError) {
context_clause();
}
if (!hasError) {
-
library_unit();
}
-
}
QCString VhdlParser::designator() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[69] = jj_gen;
+ jj_la1[71] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -2824,30 +2474,26 @@ assert(false);
QCString VhdlParser::direction() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TO_T:{if (!hasError) {
-
+ case TO_T:{
+ if (!hasError) {
tok = jj_consume_token(TO_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case DOWNTO_T:{if (!hasError) {
-
+ case DOWNTO_T:{
+ if (!hasError) {
tok = jj_consume_token(DOWNTO_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[70] = jj_gen;
+ jj_la1[72] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -2855,65 +2501,53 @@ assert(false);
}
-void VhdlParser::disconnection_specification() {if (!hasError) {
-
+void VhdlParser::disconnection_specification() {
+ if (!hasError) {
jj_consume_token(DISCONNECT_T);
}
if (!hasError) {
-
guarded_signal_specificatio();
}
if (!hasError) {
-
jj_consume_token(AFTER_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::guarded_signal_specificatio() {if (!hasError) {
-
+void VhdlParser::guarded_signal_specificatio() {
+ if (!hasError) {
signal_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
name();
}
-
}
QCString VhdlParser::discrete_range() {QCString s;
- if (jj_2_29(2147483647)) {if (!hasError) {
-
+ if (jj_2_30(2147483647)) {
+ if (!hasError) {
s = range();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_30(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_31(2147483647)) {
+ if (!hasError) {
s = subtype_indication();
}
if (!hasError) {
-
return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -2922,26 +2556,22 @@ assert(false);
}
-QCString VhdlParser::element_association() {QCString s,s1;if (!hasError) {
-
- if (jj_2_31(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::element_association() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_32(2147483647)) {
+ if (!hasError) {
s = choices();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = expression();
}
-
if(!s.isEmpty())
return s+"=>"+s1;
return s1;
@@ -2949,23 +2579,19 @@ assert(false);
}
-QCString VhdlParser::element_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::element_declaration() {QCString s,s1;
+ if (!hasError) {
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,s1.data(),Public);
//outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return s+":"+s1;
@@ -2975,76 +2601,63 @@ assert(false);
QCString VhdlParser::entity_aspect() {Token *tok=0;QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
tok = jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="("+s1+")";
}
-
break;
}
default:
- jj_la1[71] = jj_gen;
+ jj_la1[73] = jj_gen;
;
}
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
tok = jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
return tok->image.c_str()+s;
}
-
break;
}
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
tok = jj_consume_token(OPEN_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[72] = jj_gen;
+ jj_la1[74] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3054,195 +2667,161 @@ assert(false);
QCString VhdlParser::entity_class() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
return "entity";
}
-
break;
}
- case ARCHITECTURE_T:{if (!hasError) {
-
+ case ARCHITECTURE_T:{
+ if (!hasError) {
jj_consume_token(ARCHITECTURE_T);
}
if (!hasError) {
-
return "architecture";
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
return "configuration";
}
-
break;
}
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
-
return "procedure";
}
-
break;
}
- case FUNCTION_T:{if (!hasError) {
-
+ case FUNCTION_T:{
+ if (!hasError) {
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
return "function";
}
-
break;
}
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
return "package";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
return "type";
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
-
return "subtype";
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
return "constant";
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
return "signal";
}
-
break;
}
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return "variable";
}
-
break;
}
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
-
return "component";
}
-
break;
}
- case LABEL_T:{if (!hasError) {
-
+ case LABEL_T:{
+ if (!hasError) {
jj_consume_token(LABEL_T);
}
if (!hasError) {
-
return "label";
}
-
break;
}
- case LITERAL_T:{if (!hasError) {
-
+ case LITERAL_T:{
+ if (!hasError) {
jj_consume_token(LITERAL_T);
}
if (!hasError) {
-
return "literal";
}
-
break;
}
- case UNITS_T:{if (!hasError) {
-
+ case UNITS_T:{
+ if (!hasError) {
jj_consume_token(UNITS_T);
}
if (!hasError) {
-
return "units";
}
-
break;
}
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
jj_consume_token(GROUP_T);
}
if (!hasError) {
-
return "group";
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
return "file";
}
-
break;
}
default:
- jj_la1[73] = jj_gen;
+ jj_la1[75] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3250,48 +2829,41 @@ assert(false);
}
-QCString VhdlParser::entity_class_entry() {QCString s;if (!hasError) {
-
+QCString VhdlParser::entity_class_entry() {QCString s;
+ if (!hasError) {
s = entity_class();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BOX_T:{if (!hasError) {
-
+ case BOX_T:{
+ if (!hasError) {
jj_consume_token(BOX_T);
}
if (!hasError) {
-
s+="<>";
}
-
break;
}
default:
- jj_la1[74] = jj_gen;
+ jj_la1[76] = jj_gen;
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;if (!hasError) {
+QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;
+ if (!hasError) {
if (!hasError) {
-
s1 = entity_class_entry();
}
if (!hasError) {
-
s+=s1;
}
-
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -3299,117 +2871,98 @@ s+=s1;
break;
}
default:
- jj_la1[75] = jj_gen;
+ jj_la1[77] = jj_gen;
goto end_label_15;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s = entity_class_entry();
}
if (!hasError) {
-
s2+=",";s2+=s;
}
-
}
end_label_15: ;
}
-
return s1+s2;
assert(false);
}
-void VhdlParser::entity_declaration() {QCString s;if (!hasError) {
-
+void VhdlParser::entity_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
m_sharedState->lastEntity=m_sharedState->current;
m_sharedState->lastCompound=0;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(ENTITY_T),Entry::CLASS_SEC,VhdlDocGen::ENTITY,0,0,Public);
}
if (!hasError) {
-
entity_header();
}
if (!hasError) {
-
entity_declarative_part();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BEGIN_T:{if (!hasError) {
-
+ case BEGIN_T:{
+ if (!hasError) {
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
entity_statement_part();
}
-
break;
}
default:
- jj_la1[76] = jj_gen;
+ jj_la1[78] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
-
break;
}
default:
- jj_la1[77] = jj_gen;
+ jj_la1[79] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[78] = jj_gen;
+ jj_la1[80] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->lastEntity=0;m_sharedState->lastCompound=0; m_sharedState->genLabels.resize(0);
}
@@ -3419,134 +2972,117 @@ void VhdlParser::entity_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
signal_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
default:
- jj_la1[79] = jj_gen;
- if (jj_2_32(2147483647)) {if (!hasError) {
-
+ jj_la1[81] = jj_gen;
+ if (jj_2_33(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case DISCONNECT_T:{if (!hasError) {
-
+ case DISCONNECT_T:{
+ if (!hasError) {
disconnection_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
- jj_la1[80] = jj_gen;
- if (jj_2_33(3)) {if (!hasError) {
-
+ jj_la1[82] = jj_gen;
+ if (jj_2_34(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
- jj_la1[81] = jj_gen;
- if (jj_2_34(5)) {if (!hasError) {
-
+ jj_la1[83] = jj_gen;
+ if (jj_2_35(5)) {
+ if (!hasError) {
package_instantiation_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_declaration();
}
-
break;
}
- case VHDL2008TOOLDIR:{if (!hasError) {
-
+ case VHDL2008TOOLDIR:{
+ if (!hasError) {
jj_consume_token(VHDL2008TOOLDIR);
}
-
break;
}
default:
- jj_la1[82] = jj_gen;
+ jj_la1[84] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3559,8 +3095,8 @@ void VhdlParser::entity_declarative_item() {
}
-void VhdlParser::entity_declarative_part() {if (!hasError) {
-
+void VhdlParser::entity_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -3585,151 +3121,136 @@ void VhdlParser::entity_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[83] = jj_gen;
+ jj_la1[85] = jj_gen;
goto end_label_16;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
entity_declarative_item();
}
-
}
end_label_16: ;
}
-
}
-QCString VhdlParser::entity_designator() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::entity_designator() {QCString s,s1;
+ if (!hasError) {
s = entity_tag();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LBRACKET_T:{if (!hasError) {
-
+ case LBRACKET_T:{
+ if (!hasError) {
s1 = signature();
}
-
break;
}
default:
- jj_la1[84] = jj_gen;
+ jj_la1[86] = jj_gen;
;
}
}
-
return s+s1;
assert(false);
}
-void VhdlParser::entity_header() {if (!hasError) {
-
+void VhdlParser::entity_header() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
m_sharedState->currP=VhdlDocGen::GENERIC;m_sharedState->parse_sec=GEN_SEC;
}
if (!hasError) {
-
generic_clause();
}
-
break;
}
default:
- jj_la1[85] = jj_gen;
+ jj_la1[87] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
m_sharedState->currP=VhdlDocGen::PORT;
}
if (!hasError) {
-
port_clause();
}
-
break;
}
default:
- jj_la1[86] = jj_gen;
+ jj_la1[88] = jj_gen;
;
}
}
-
}
-QCString VhdlParser::entity_name_list() {QCString s,s1;
+QCString VhdlParser::entity_name_list() {QCString s,s1,s2;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
case EXTENDED_CHARACTER:
- case CHARACTER_LITERAL:{if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
- s1 = entity_designator();
- }
- if (!hasError) {
-
-s+=s1;
- }
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
+ if (!hasError) {
+ s1 = entity_designator();
+ }
+ }
+ if (!hasError) {
+ while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SLSL_T:
- case STRINGLITERAL:
- case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:
- case CHARACTER_LITERAL:{
+ case COMMA_T:{
;
break;
}
default:
- jj_la1[87] = jj_gen;
+ jj_la1[89] = jj_gen;
goto end_label_17;
}
+ if (!hasError) {
+ jj_consume_token(COMMA_T);
+ }
+ if (!hasError) {
+ s = entity_designator();
+ }
+ if (!hasError) {
+s2+=s;
+ }
}
end_label_17: ;
}
if (!hasError) {
-
-return s;
+return s2;
}
-
break;
}
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return "other";
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
jj_consume_token(ALL_T);
}
if (!hasError) {
-
return "all";
}
-
break;
}
default:
- jj_la1[88] = jj_gen;
+ jj_la1[90] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3737,50 +3258,44 @@ assert(false);
}
-QCString VhdlParser::entity_specification() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::entity_specification() {QCString s,s1;
+ if (!hasError) {
s = entity_name_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = entity_class();
}
-
return s+":"+s1;
assert(false);
}
void VhdlParser::entity_statement() {
- if (jj_2_35(2147483647)) {if (!hasError) {
-
+ if (jj_2_36(2147483647)) {
+ if (!hasError) {
concurrent_assertion_statement();
}
-
- } else if (jj_2_36(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_37(2147483647)) {
+ if (!hasError) {
process_statement();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case POSTPONED_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
concurrent_procedure_call_statement();
}
-
break;
}
default:
- jj_la1[89] = jj_gen;
+ jj_la1[91] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3788,8 +3303,8 @@ void VhdlParser::entity_statement() {
}
-void VhdlParser::entity_statement_part() {if (!hasError) {
-
+void VhdlParser::entity_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -3803,17 +3318,15 @@ void VhdlParser::entity_statement_part() {if (!hasError) {
break;
}
default:
- jj_la1[90] = jj_gen;
+ jj_la1[92] = jj_gen;
goto end_label_18;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
entity_statement();
}
-
}
end_label_18: ;
}
-
}
@@ -3822,30 +3335,26 @@ QCString VhdlParser::entity_tag() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[91] = jj_gen;
+ jj_la1[93] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3856,30 +3365,26 @@ assert(false);
QCString VhdlParser::enumeration_literal() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[92] = jj_gen;
+ jj_la1[94] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -3887,16 +3392,14 @@ assert(false);
}
-QCString VhdlParser::enumeration_type_definition() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::enumeration_type_definition() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s = enumeration_literal();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -3904,98 +3407,83 @@ QCString VhdlParser::enumeration_type_definition() {QCString s,s1;if (!hasError)
break;
}
default:
- jj_la1[93] = jj_gen;
+ jj_la1[95] = jj_gen;
goto end_label_19;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = enumeration_literal();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_19: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return "("+s+")";
assert(false);
}
-QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
-
+QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[94] = jj_gen;
+ jj_la1[96] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(EXIT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s1 = identifier();
}
-
break;
}
default:
- jj_la1[95] = jj_gen;
+ jj_la1[97] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
t1 = jj_consume_token(WHEN_T);
}
if (!hasError) {
-
s2 = condition();
}
-
break;
}
default:
- jj_la1[96] = jj_gen;
+ jj_la1[98] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->lab.resize(0);
if(t) s+=":";
if(t1) s2.prepend(" when ");
@@ -4006,12 +3494,11 @@ assert(false);
}
-QCString VhdlParser::expression() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::expression() {QCString s,s1,s2;
+ if (!hasError) {
s = relation();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case AND_T:
@@ -4024,25 +3511,21 @@ QCString VhdlParser::expression() {QCString s,s1,s2;if (!hasError) {
break;
}
default:
- jj_la1[97] = jj_gen;
+ jj_la1[99] = jj_gen;
goto end_label_20;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = logop();
}
if (!hasError) {
-
s2 = relation();
}
if (!hasError) {
-
s+=s1;s+=s2;
}
-
}
end_label_20: ;
}
-
return s;
assert(false);
}
@@ -4050,74 +3533,62 @@ assert(false);
QCString VhdlParser::logop() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case AND_T:{if (!hasError) {
-
+ case AND_T:{
+ if (!hasError) {
jj_consume_token(AND_T);
}
if (!hasError) {
-
return "and" ;
}
-
break;
}
- case NAND_T:{if (!hasError) {
-
+ case NAND_T:{
+ if (!hasError) {
jj_consume_token(NAND_T);
}
if (!hasError) {
-
return "nand" ;
}
-
break;
}
- case NOR_T:{if (!hasError) {
-
+ case NOR_T:{
+ if (!hasError) {
jj_consume_token(NOR_T);
}
if (!hasError) {
-
return "nor" ;
}
-
break;
}
- case XNOR_T:{if (!hasError) {
-
+ case XNOR_T:{
+ if (!hasError) {
jj_consume_token(XNOR_T);
}
if (!hasError) {
-
return "xnor" ;
}
-
break;
}
- case XOR_T:{if (!hasError) {
-
+ case XOR_T:{
+ if (!hasError) {
jj_consume_token(XOR_T);
}
if (!hasError) {
-
return "xor" ;
}
-
break;
}
- case OR_T:{if (!hasError) {
-
+ case OR_T:{
+ if (!hasError) {
jj_consume_token(OR_T);
}
if (!hasError) {
-
return "or" ;
}
-
break;
}
default:
- jj_la1[98] = jj_gen;
+ jj_la1[100] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -4125,11 +3596,10 @@ assert(false);
}
-QCString VhdlParser::extended_identifier() {Token *t;if (!hasError) {
-
+QCString VhdlParser::extended_identifier() {Token *t;
+ if (!hasError) {
t = jj_consume_token(EXTENDED_CHARACTER);
}
-
return t->image.c_str();
assert(false);
}
@@ -4148,72 +3618,89 @@ QCString VhdlParser::factor() {QCString s,s1;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s = primary();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOUBLEMULT_T:{if (!hasError) {
-
+ case DOUBLEMULT_T:{
+ if (!hasError) {
jj_consume_token(DOUBLEMULT_T);
}
if (!hasError) {
-
s1 = primary();
}
if (!hasError) {
-
s+="**";s+=s1;
}
-
break;
}
default:
- jj_la1[99] = jj_gen;
+ jj_la1[101] = jj_gen;
;
}
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ABS_T:{if (!hasError) {
-
+ case ABS_T:{
+ if (!hasError) {
jj_consume_token(ABS_T);
}
if (!hasError) {
-
s = primary();
}
if (!hasError) {
-
s1 = "abs "; return s1+s;
}
-
break;
}
- case NOT_T:{if (!hasError) {
-
+ case NOT_T:{
+ if (!hasError) {
jj_consume_token(NOT_T);
}
if (!hasError) {
-
s = primary();
}
if (!hasError) {
-
s1="not ";return s1+s;
}
-
+ break;
+ }
+ case QQ_T:{
+ if (!hasError) {
+ jj_consume_token(QQ_T);
+ }
+ if (!hasError) {
+ s = primary();
+ }
+ if (!hasError) {
+s1="?? ";return s1;
+ }
+ break;
+ }
+ case AND_T:
+ case NAND_T:
+ case NOR_T:
+ case OR_T:
+ case XOR_T:
+ case XNOR_T:{
+ if (!hasError) {
+ s = logop();
+ }
+ if (!hasError) {
+ s1 = primary();
+ }
+ if (!hasError) {
+return s;
+ }
break;
}
default:
- jj_la1[100] = jj_gen;
+ jj_la1[102] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -4221,43 +3708,36 @@ assert(false);
}
-QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;if (!hasError) {
-
+QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s2 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IS_T:
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
s3 = file_open_information();
}
-
break;
}
default:
- jj_la1[101] = jj_gen;
+ jj_la1[103] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString t1=s2+" "+s3;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,t1.data(),Public);
return " file "+s+":"+s2+" "+s3+";";
@@ -4265,72 +3745,76 @@ assert(false);
}
-QCString VhdlParser::file_logical_name() {QCString s;if (!hasError) {
-
+QCString VhdlParser::file_logical_name() {QCString s;
+ if (!hasError) {
s = expression();
}
-
return s;
assert(false);
}
-QCString VhdlParser::file_open_information() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::file_open_information() {QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
jj_consume_token(OPEN_T);
}
if (!hasError) {
-
s = expression();
}
-
break;
}
default:
- jj_la1[102] = jj_gen;
+ jj_la1[104] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case IN_T:
+ case OUT_T:{
+ if (!hasError) {
+ inout_stat();
+ }
+ break;
+ }
+ default:
+ jj_la1[105] = jj_gen;
+ ;
+ }
+ }
+ if (!hasError) {
s1 = file_logical_name();
}
-
s2="open "+s+" is "+s1; return s2;
assert(false);
}
-QCString VhdlParser::file_type_definition() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::file_type_definition() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s = type_mark();
}
-
s1=" file of "+s; return s1;
assert(false);
}
-QCString VhdlParser::floating_type_definition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::floating_type_definition() {QCString s;
+ if (!hasError) {
s = range_constraint();
}
-
return s;
assert(false);
}
@@ -4341,30 +3825,26 @@ QCString VhdlParser::formal_designator() {QCString s;Token *tok=0;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case INTEGER:{if (!hasError) {
-
+ case INTEGER:{
+ if (!hasError) {
tok = jj_consume_token(INTEGER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[103] = jj_gen;
+ jj_la1[106] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -4372,86 +3852,72 @@ assert(false);
}
-QCString VhdlParser::formal_parameter_list() {QCString s;if (!hasError) {
-
+QCString VhdlParser::formal_parameter_list() {QCString s;
+ if (!hasError) {
s = interface_list();
}
-
return s;
assert(false);
}
-QCString VhdlParser::formal_part() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::formal_part() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
formal_designator();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+"("+s1+")";
}
-
break;
}
default:
- jj_la1[104] = jj_gen;
+ jj_la1[107] = jj_gen;
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::full_type_declaration() {std::shared_ptr<Entry> tmpEntry;QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::full_type_declaration() {std::shared_ptr<Entry> tmpEntry;QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
tmpEntry=m_sharedState->current;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,0,Public);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
s2 = type_definition();
}
-
} catch ( ...) {
outlineParser()->error_skipto(SEMI_T);
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if (s2.contains("#")) {
VhdlDocGen::deleteAllChars(s2,'#');
tmpEntry->spec=VhdlDocGen::RECORD;
@@ -4475,184 +3941,164 @@ assert(false);
}
-QCString VhdlParser::function_call() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::function_call() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = actual_parameter_part();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+"("+s1+")";
assert(false);
}
-void VhdlParser::generate_statement() {QCString s;if (!hasError) {
-
+void VhdlParser::generate_statement() {QCString s;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
generate_scheme();
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
outlineParser()->pushLabel(m_sharedState->genLabels,s);
}
if (!hasError) {
-
generate_statement_body1();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
-
} catch ( ...) {
outlineParser()->error_skipto(GENERATE_T);
}
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[105] = jj_gen;
+ jj_la1[108] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->genLabels=outlineParser()->popLabel(m_sharedState->genLabels);
}
void VhdlParser::generate_scheme() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
parameter_specification();
}
-
break;
}
- case IF_T:{if (!hasError) {
-
+ case IF_T:{
+ if (!hasError) {
jj_consume_token(IF_T);
}
if (!hasError) {
-
+ if (jj_2_38(2)) {
+ if (!hasError) {
+ identifier();
+ }
+ if (!hasError) {
+ jj_consume_token(COLON_T);
+ }
+ } else {
+ ;
+ }
+ }
+ if (!hasError) {
condition();
}
-
break;
}
default:
- jj_la1[106] = jj_gen;
+ jj_la1[109] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-void VhdlParser::generic_clause() {QCString s;if (!hasError) {
-
+void VhdlParser::generic_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
m_sharedState->parse_sec=GEN_SEC;
}
if (!hasError) {
-
s = generic_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->parse_sec=0;
}
-QCString VhdlParser::generic_list() {QCString s;if (!hasError) {
-
+QCString VhdlParser::generic_list() {QCString s;
+ if (!hasError) {
s = interface_list();
}
-
return s;
assert(false);
}
-void VhdlParser::generic_map_aspect() {if (!hasError) {
-
+void VhdlParser::generic_map_aspect() {
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(MAP_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
association_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
@@ -4661,30 +4107,26 @@ QCString VhdlParser::group_constituent() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[107] = jj_gen;
+ jj_la1[110] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -4692,15 +4134,13 @@ assert(false);
}
-QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;if (!hasError) {
+QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;
+ if (!hasError) {
if (!hasError) {
-
s1 = group_constituent();
}
-
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4708,144 +4148,118 @@ QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;if (!hasError) {
break;
}
default:
- jj_la1[108] = jj_gen;
+ jj_la1[111] = jj_gen;
goto end_label_21;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s = group_constituent();
}
if (!hasError) {
-
s2+=",";s2+=s1;
}
-
}
end_label_21: ;
}
-
return s+s2;
assert(false);
}
-QCString VhdlParser::group_declaration() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::group_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(GROUP_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s2 = group_constituent_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "group "+s+":"+s1+"("+s2+");";
assert(false);
}
-QCString VhdlParser::group_template_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::group_template_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(GROUP_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = entity_class_entry_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "group "+s+ "is ("+s1+");";
assert(false);
}
-void VhdlParser::guarded_signal_specification() {if (!hasError) {
-
+void VhdlParser::guarded_signal_specification() {
+ if (!hasError) {
signal_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
type_mark();
}
-
}
QCString VhdlParser::identifier() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
tok = jj_consume_token(EXTENDED_CHARACTER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case BASIC_IDENTIFIER:{if (!hasError) {
-
+ case BASIC_IDENTIFIER:{
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[109] = jj_gen;
+ jj_la1[112] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -4853,12 +4267,11 @@ assert(false);
}
-QCString VhdlParser::identifier_list() {QCString str,str1;if (!hasError) {
-
- str = identifier();
+QCString VhdlParser::identifier_list() {QCString str,str1;
+ if (!hasError) {
+ str = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4866,73 +4279,61 @@ QCString VhdlParser::identifier_list() {QCString str,str1;if (!hasError) {
break;
}
default:
- jj_la1[110] = jj_gen;
+ jj_la1[113] = jj_gen;
goto end_label_22;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
- str1 = identifier();
+ str1 = name();
}
if (!hasError) {
-
str+=",";str+=str1;
}
-
}
end_label_22: ;
}
-
return str;
assert(false);
}
-void VhdlParser::if_statement() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::if_statement() {QCString s,s1;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[111] = jj_gen;
+ jj_la1[114] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(IF_T);
}
if (!hasError) {
-
s = condition();
}
if (!hasError) {
-
jj_consume_token(THEN_T);
}
if (!hasError) {
-
s.prepend("if ");
FlowChart::addFlowChart(FlowChart::IF_NO,0,s);
}
if (!hasError) {
-
sequence_of_statement();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ELSIF_T:{
@@ -4940,122 +4341,101 @@ s.prepend("if ");
break;
}
default:
- jj_la1[112] = jj_gen;
+ jj_la1[115] = jj_gen;
goto end_label_23;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(ELSIF_T);
}
if (!hasError) {
-
s1 = condition();
}
if (!hasError) {
-
jj_consume_token(THEN_T);
}
if (!hasError) {
-
s1.prepend("elsif ");
FlowChart::addFlowChart(FlowChart::ELSIF_NO,0,s1.data());
}
if (!hasError) {
-
sequence_of_statement();
}
-
}
end_label_23: ;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ELSE_T:{if (!hasError) {
-
+ case ELSE_T:{
+ if (!hasError) {
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
if (!hasError) {
-
sequence_of_statement();
}
-
break;
}
default:
- jj_la1[113] = jj_gen;
+ jj_la1[116] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(IF_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[114] = jj_gen;
+ jj_la1[117] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::ENDIF_NO,0,0);
}
-QCString VhdlParser::incomplete_type_declaration() {QCString s;if (!hasError) {
-
+QCString VhdlParser::incomplete_type_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "type "+s+";";
assert(false);
}
-QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;if (!hasError) {
-
+QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s2 = discrete_range();
}
if (!hasError) {
-
s+=s2;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -5063,54 +4443,54 @@ s+=s2;
break;
}
default:
- jj_la1[115] = jj_gen;
+ jj_la1[118] = jj_gen;
goto end_label_24;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_24: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+")";
assert(false);
}
QCString VhdlParser::index_specification() {QCString s;
- if (jj_2_37(2147483647)) {if (!hasError) {
-
+ if (jj_2_39(2147483647)) {
+ if (!hasError) {
s = discrete_range();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
+ case AND_T:
+ case NAND_T:
case NEW_T:
+ case NOR_T:
case NOT_T:
case NULL_T:
+ case OR_T:
+ case XOR_T:
+ case XNOR_T:
case LPAREN_T:
case PLUS_T:
case MINUS_T:
case SLSL_T:
+ case QQ_T:
case INTEGER:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
@@ -5118,19 +4498,17 @@ return s;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s = expression();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[116] = jj_gen;
+ jj_la1[119] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5139,19 +4517,16 @@ assert(false);
}
-QCString VhdlParser::index_subtype_definition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::index_subtype_definition() {QCString s;
+ if (!hasError) {
s = type_mark();
}
if (!hasError) {
-
jj_consume_token(RANGE_T);
}
if (!hasError) {
-
jj_consume_token(BOX_T);
}
-
return s+" range <> ";
assert(false);
}
@@ -5161,110 +4536,91 @@ QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMPONENT_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
jj_consume_token(COMPONENT_T);
}
-
break;
}
default:
- jj_la1[117] = jj_gen;
+ jj_la1[120] = jj_gen;
;
}
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
s1="component "; return s;
}
-
break;
}
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
- if (jj_2_38(2)) {if (!hasError) {
-
+ if (jj_2_40(2)) {
+ if (!hasError) {
jj_consume_token(BASIC_IDENTIFIER);
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
-
} else {
;
}
}
if (!hasError) {
-
s2 = name();
}
if (!hasError) {
-
s="entity|"+s2;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")" ;
}
-
break;
}
default:
- jj_la1[118] = jj_gen;
+ jj_la1[121] = jj_gen;
;
}
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
s1="configuration ";return s;
}
-
break;
}
default:
- jj_la1[119] = jj_gen;
+ jj_la1[122] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5274,42 +4630,38 @@ assert(false);
QCString VhdlParser::instantiation_list() {QCString s;Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case SLSL_T:
+ case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier_list();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
tok = jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
tok = jj_consume_token(ALL_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[120] = jj_gen;
+ jj_la1[123] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5317,153 +4669,124 @@ assert(false);
}
-QCString VhdlParser::integer() {Token *t;if (!hasError) {
-
+QCString VhdlParser::integer() {Token *t;
+ if (!hasError) {
t = jj_consume_token(INTEGER);
}
-
return t->image.c_str();
assert(false);
}
-QCString VhdlParser::integer_type_definition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::integer_type_definition() {QCString s;
+ if (!hasError) {
s = range_constraint();
}
-
return s;
assert(false);
}
QCString VhdlParser::interface_declaration() {QCString s,s1;
- if (jj_2_39(5)) {if (!hasError) {
-
+ if (jj_2_41(5)) {
+ if (!hasError) {
s = interface_subprogram_declaration();
}
if (!hasError) {
-
return s;
}
-
+ } else if (jj_2_42(5)) {
+ if (!hasError) {
+ interface_package_declaration();
+ }
+ if (!hasError) {
+return s;
+ }
+ } else if (jj_2_43(5)) {
+ if (!hasError) {
+ s = interface_variable_declaration();
+ }
+ if (!hasError) {
+return s;
+ }
+ } else if (jj_2_44(5)) {
+ if (!hasError) {
+ interface_file_declaration();
+ }
+ if (!hasError) {
+return s;
+ }
+ } else if (jj_2_45(2147483647)) {
+ if (!hasError) {
+ subprogram_declaration();
+ }
+ if (!hasError) {
+return s;
+ }
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
- interface_package_declaration();
- }
+ case CONSTANT_T:
+ case FILE_T:
+ case SIGNAL_T:
+ case SHARED_T:
+ case TYPE_T:
+ case VARIABLE_T:{
if (!hasError) {
-
-return s;
+ s = object_class();
}
-
- break;
+ if (!hasError) {
+ s1 = identifier();
}
- default:
- jj_la1[121] = jj_gen;
- if (jj_2_40(5)) {if (!hasError) {
-
- s = interface_variable_declaration();
- }
- if (!hasError) {
-
-return s;
- }
-
- } else if (jj_2_41(5)) {if (!hasError) {
-
- interface_file_declaration();
- }
- if (!hasError) {
-
-return s;
- }
-
- } else if (jj_2_42(2147483647)) {if (!hasError) {
-
- subprogram_declaration();
- }
- if (!hasError) {
-
-return s;
- }
-
- } else {
- switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:
- case FILE_T:
- case SIGNAL_T:
- case SHARED_T:
- case TYPE_T:
- case VARIABLE_T:{if (!hasError) {
-
- s = object_class();
- }
- if (!hasError) {
-
- s1 = identifier();
- }
- if (!hasError) {
-
+ if (!hasError) {
if (m_sharedState->parse_sec==GEN_SEC)
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,m_sharedState->currP,s1.data(),0,Public);
return s;
- }
-
- break;
- }
- default:
- jj_la1[122] = jj_gen;
- jj_consume_token(-1);
- errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
- }
}
+ break;
+ }
+ default:
+ jj_la1[124] = jj_gen;
+ jj_consume_token(-1);
+ errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
assert(false);
}
-QCString VhdlParser::interface_element() {QCString s;if (!hasError) {
-
+QCString VhdlParser::interface_element() {QCString s;
+ if (!hasError) {
s = interface_declaration();
}
-
return s;
assert(false);
}
-QCString VhdlParser::interface_file_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::interface_file_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
-
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return " file "+s+":"+s1;
assert(false);
}
-QCString VhdlParser::interface_list() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::interface_list() {QCString s,s1,s2;
+ if (!hasError) {
s = interface_element();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SEMI_T:{
@@ -5471,147 +4794,127 @@ QCString VhdlParser::interface_list() {QCString s,s1,s2;if (!hasError) {
break;
}
default:
- jj_la1[123] = jj_gen;
+ jj_la1[125] = jj_gen;
goto end_label_25;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
s1 = interface_element();
}
if (!hasError) {
-
s2+=";";s2+=s1;
}
-
}
end_label_25: ;
}
-
return s+s2;
assert(false);
}
-QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;if (!hasError) {
-
+QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONSTANT_T:
case SIGNAL_T:
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
tok = jj_consume_token(VARIABLE_T);
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
tok = jj_consume_token(SIGNAL_T);
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
tok = jj_consume_token(CONSTANT_T);
}
-
break;
}
- case SHARED_T:{if (!hasError) {
-
+ case SHARED_T:{
+ if (!hasError) {
tok = jj_consume_token(SHARED_T);
}
-
break;
}
default:
- jj_la1[124] = jj_gen;
+ jj_la1[126] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
break;
}
default:
- jj_la1[125] = jj_gen;
+ jj_la1[127] = jj_gen;
;
}
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BUFFER_T:
case IN_T:
case INOUT_T:
case LINKAGE_T:
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
s1 = mode();
}
-
break;
}
default:
- jj_la1[126] = jj_gen;
+ jj_la1[128] = jj_gen;
;
}
}
if (!hasError) {
-
s2 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BUS_T:{if (!hasError) {
-
+ case BUS_T:{
+ if (!hasError) {
tok1 = jj_consume_token(BUS_T);
}
-
break;
}
default:
- jj_la1[127] = jj_gen;
+ jj_la1[129] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
tok2 = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s4 = expression();
}
-
break;
}
default:
- jj_la1[128] = jj_gen;
+ jj_la1[130] = jj_gen;
;
}
}
-
if(tok)
s5=tok->image.c_str();
@@ -5645,44 +4948,38 @@ assert(false);
QCString VhdlParser::iteration_scheme() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHILE_T:{if (!hasError) {
-
+ case WHILE_T:{
+ if (!hasError) {
jj_consume_token(WHILE_T);
}
if (!hasError) {
-
s = condition();
}
if (!hasError) {
-
s.prepend("while ");
FlowChart::addFlowChart(FlowChart::WHILE_NO,0,s.data(),m_sharedState->lab.data());
m_sharedState->lab="";
return s;
}
-
break;
}
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
s = parameter_specification();
}
if (!hasError) {
-
QCString q=m_sharedState->lab+" for "+s;
FlowChart::addFlowChart(FlowChart::FOR_NO,0,q.data(),m_sharedState->lab.data());
m_sharedState->lab="";
return q;
}
-
break;
}
default:
- jj_la1[129] = jj_gen;
+ jj_la1[131] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5690,32 +4987,27 @@ assert(false);
}
-QCString VhdlParser::label() {QCString s;if (!hasError) {
-
+QCString VhdlParser::label() {QCString s;
+ if (!hasError) {
s = identifier();
}
-
return s;
assert(false);
}
-QCString VhdlParser::library_clause() {QCString s;if (!hasError) {
+QCString VhdlParser::library_clause() {QCString s;
+ if (!hasError) {
if (!hasError) {
-
jj_consume_token(LIBRARY_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-
if ( m_sharedState->parse_sec==0 && Config_getBool(SHOW_INCLUDE_FILES) )
{
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,s.data(),"_library_",Public);
@@ -5727,38 +5019,27 @@ assert(false);
QCString VhdlParser::library_unit() {QCString s;
- if (jj_2_43(2)) {if (!hasError) {
-
+ if (jj_2_46(2)) {
+ if (!hasError) {
primary_unit();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ARCHITECTURE_T:
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
secondary_unit();
}
if (!hasError) {
-
return s;
}
-
- break;
- }
- case CONTEXT_T:{if (!hasError) {
-
- context_declaration();
- }
-
break;
}
default:
- jj_la1[130] = jj_gen;
+ jj_la1[132] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5768,59 +5049,49 @@ assert(false);
QCString VhdlParser::literal() {QCString s;
- if (jj_2_44(2147483647)) {if (!hasError) {
-
+ if (jj_2_47(2147483647)) {
+ if (!hasError) {
s = bit_string_literal();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_45(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_48(2147483647)) {
+ if (!hasError) {
s = numeric_literal();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_46(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_49(2147483647)) {
+ if (!hasError) {
s = enumeration_literal();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = string_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case NULL_T:{if (!hasError) {
-
+ case NULL_T:{
+ if (!hasError) {
jj_consume_token(NULL_T);
}
if (!hasError) {
-
return "null";
}
-
break;
}
default:
- jj_la1[131] = jj_gen;
+ jj_la1[133] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5829,98 +5100,83 @@ assert(false);
}
-QCString VhdlParser::logical_operator() {QCString s;if (!hasError) {
-
+QCString VhdlParser::logical_operator() {QCString s;
+ if (!hasError) {
s = logop();
}
-
return s;
assert(false);
}
-QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;if (!hasError) {
-
+QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
break;
}
default:
- jj_la1[132] = jj_gen;
+ jj_la1[134] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FOR_T:
- case WHILE_T:{if (!hasError) {
-
+ case WHILE_T:{
+ if (!hasError) {
s1 = iteration_scheme();
}
-
break;
}
default:
- jj_la1[133] = jj_gen;
+ jj_la1[135] = jj_gen;
;
}
}
if (!hasError) {
-
if(s1.isEmpty())
FlowChart::addFlowChart(FlowChart::LOOP_NO,0,"infinite");
}
if (!hasError) {
-
jj_consume_token(LOOP_T);
}
if (!hasError) {
-
s2 = sequence_of_statement();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(LOOP_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s3 = identifier();
}
-
break;
}
default:
- jj_la1[134] = jj_gen;
+ jj_la1[136] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString q = s+" loop "+s2+" end loop" +s3;
QCString endLoop="end loop" + s3;
FlowChart::moveToPrevLevel();
@@ -5932,41 +5188,35 @@ assert(false);
QCString VhdlParser::miscellaneous_operator() {Token *t=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOUBLEMULT_T:{if (!hasError) {
-
+ case DOUBLEMULT_T:{
+ if (!hasError) {
jj_consume_token(DOUBLEMULT_T);
}
if (!hasError) {
-
return "**";
}
-
break;
}
- case ABS_T:{if (!hasError) {
-
+ case ABS_T:{
+ if (!hasError) {
jj_consume_token(ABS_T);
}
if (!hasError) {
-
return "abs";
}
-
break;
}
- case NOT_T:{if (!hasError) {
-
+ case NOT_T:{
+ if (!hasError) {
jj_consume_token(NOT_T);
}
if (!hasError) {
-
return "not";
}
-
break;
}
default:
- jj_la1[135] = jj_gen;
+ jj_la1[137] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -5976,63 +5226,53 @@ assert(false);
QCString VhdlParser::mode() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IN_T:{if (!hasError) {
-
+ case IN_T:{
+ if (!hasError) {
tok = jj_consume_token(IN_T);
}
if (!hasError) {
-
return "in";
}
-
break;
}
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
tok = jj_consume_token(OUT_T);
}
if (!hasError) {
-
return "out";
}
-
break;
}
- case INOUT_T:{if (!hasError) {
-
+ case INOUT_T:{
+ if (!hasError) {
tok = jj_consume_token(INOUT_T);
}
if (!hasError) {
-
return "inout";
}
-
break;
}
- case BUFFER_T:{if (!hasError) {
-
+ case BUFFER_T:{
+ if (!hasError) {
tok = jj_consume_token(BUFFER_T);
}
if (!hasError) {
-
return "buffer";
}
-
break;
}
- case LINKAGE_T:{if (!hasError) {
-
+ case LINKAGE_T:{
+ if (!hasError) {
tok = jj_consume_token(LINKAGE_T);
}
if (!hasError) {
-
return "linkage";
}
-
break;
}
default:
- jj_la1[136] = jj_gen;
+ jj_la1[138] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -6042,52 +5282,44 @@ assert(false);
QCString VhdlParser::multiplying_operation() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case MULT_T:{if (!hasError) {
-
+ case MULT_T:{
+ if (!hasError) {
tok = jj_consume_token(MULT_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case SLASH_T:{if (!hasError) {
-
+ case SLASH_T:{
+ if (!hasError) {
tok = jj_consume_token(SLASH_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case MOD_T:{if (!hasError) {
-
+ case MOD_T:{
+ if (!hasError) {
tok = jj_consume_token(MOD_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case REM_T:{if (!hasError) {
-
+ case REM_T:{
+ if (!hasError) {
tok = jj_consume_token(REM_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
- jj_la1[137] = jj_gen;
+ jj_la1[139] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -6095,155 +5327,161 @@ assert(false);
}
-QCString VhdlParser::name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::name() {QCString s,s1;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
-
- break;
+ if (!hasError) {
+ if (jj_2_50(2147483647)) {
+ if (!hasError) {
+ s1 = name_ext1();
+ }
+ if (!hasError) {
+s+=s1;
+ }
+ } else {
+ ;
}
- case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
- s = identifier();
}
-
+ if (!hasError) {
+return s;
+ }
break;
}
- case SLSL_T:{if (!hasError) {
-
+ case SLSL_T:{
+ if (!hasError) {
s = external_name();
}
-
+ if (!hasError) {
+ if (jj_2_51(2147483647)) {
+ if (!hasError) {
+ s1 = name_ext1();
+ }
+ if (!hasError) {
+s+=s1;
+ }
+ } else {
+ ;
+ }
+ }
+ if (!hasError) {
+return s;
+ }
break;
}
- default:
- jj_la1[138] = jj_gen;
- jj_consume_token(-1);
- errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
- }
- }
- if (!hasError) {
-
- if (jj_2_47(2147483647)) {if (!hasError) {
-
- s1 = name_ext1();
+ case BASIC_IDENTIFIER:
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ s = identifier();
}
if (!hasError) {
-
+ if (jj_2_52(2147483647)) {
+ if (!hasError) {
+ s1 = name_ext1();
+ }
+ if (!hasError) {
s+=s1;
+ }
+ } else {
+ ;
}
-
- } else {
- ;
+ }
+ if (!hasError) {
+return s;
+ }
+ break;
+ }
+ default:
+ jj_la1[140] = jj_gen;
+ jj_consume_token(-1);
+ errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
-return s;
assert(false);
}
-QCString VhdlParser::name_ext1() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::name_ext1() {QCString s,s1,s2;
+ if (!hasError) {
s = name_ext();
}
if (!hasError) {
-
while (!hasError) {
- if (jj_2_48(2147483647)) {
+ if (jj_2_53(2147483647)) {
;
} else {
goto end_label_26;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = name_ext();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_26: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::name_ext() {QCString s,s1,s2;if (!hasError) {
-
- if (jj_2_49(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::name_ext() {QCString s,s1,s2;
+ if (!hasError) {
+ if (jj_2_54(2147483647)) {
+ if (!hasError) {
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
-
-s+="'subtype";
+s+="'subtype"; return s;
}
-
- } else if (jj_2_50(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_55(2147483647)) {
+ if (!hasError) {
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s1 = suffix();
}
if (!hasError) {
-
-s+=".";s+=s1;
+s+=".";s+=s1; return s;
}
-
- } else if (jj_2_51(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_56(2147483647)) {
+ if (!hasError) {
s1 = test_att_name();
}
if (!hasError) {
-
-s+=s1;
+s+=s1;return s;
}
-
- } else if (jj_2_52(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_57(2147483647)) {
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
-s+="(";s+=s1;s+=")";
+s+="(";s+=s1;s+=")";return s;
}
-
- } else if (jj_2_53(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_58(2147483647)) {
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s+="(";s+=s1;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -6251,122 +5489,99 @@ s+="(";s+=s1;
break;
}
default:
- jj_la1[139] = jj_gen;
+ jj_la1[141] = jj_gen;
goto end_label_27;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_27: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
-s+=")";
+s+=")";return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
-return s;
assert(false);
}
-QCString VhdlParser::test_att_name() {QCString s,s1;if (!hasError) {
-
- if (jj_2_54(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::test_att_name() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_59(2147483647)) {
+ if (!hasError) {
s1 = signature();
}
if (!hasError) {
-
s=s1;
}
-
} else {
;
}
}
if (!hasError) {
-
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
s1 = attribute_designator();
}
if (!hasError) {
-
s+="'";s+=s1;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")";
}
-
break;
}
default:
- jj_la1[140] = jj_gen;
+ jj_la1[142] = jj_gen;
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::indexed_name() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::indexed_name() {QCString s,s1,s2;
+ if (!hasError) {
s2 = identifier();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s=s2+"("+s1;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -6374,98 +5589,83 @@ s=s2+"("+s1;
break;
}
default:
- jj_la1[141] = jj_gen;
+ jj_la1[143] = jj_gen;
goto end_label_28;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_28: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+")";
assert(false);
}
-QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
-
+QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[142] = jj_gen;
+ jj_la1[144] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(NEXT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s1 = identifier();
}
-
break;
}
default:
- jj_la1[143] = jj_gen;
+ jj_la1[145] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
t1 = jj_consume_token(WHEN_T);
}
if (!hasError) {
-
s2 = condition();
}
-
break;
}
default:
- jj_la1[144] = jj_gen;
+ jj_la1[146] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s+=":";
FlowChart::addFlowChart(FlowChart::NEXT_NO,"next ",s2.data(),s1.data());
m_sharedState->lab.resize(0);
@@ -6475,71 +5675,61 @@ assert(false);
}
-QCString VhdlParser::null_statement() {QCString s;if (!hasError) {
-
+QCString VhdlParser::null_statement() {QCString s;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
break;
}
default:
- jj_la1[145] = jj_gen;
+ jj_la1[147] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(NULL_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return s+="null";
assert(false);
}
QCString VhdlParser::numeric_literal() {QCString s;
- if (jj_2_55(2147483647)) {if (!hasError) {
-
+ if (jj_2_60(2147483647)) {
+ if (!hasError) {
s = physical_literal();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INTEGER:
case DECIMAL_LITERAL:
- case BASED_LITERAL:{if (!hasError) {
-
+ case BASED_LITERAL:{
+ if (!hasError) {
s = abstract_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[146] = jj_gen;
+ jj_la1[148] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -6550,78 +5740,65 @@ assert(false);
QCString VhdlParser::object_class() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
return "constant";
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
return "signal";
}
-
break;
}
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return "variable";
}
-
break;
}
- case SHARED_T:{if (!hasError) {
-
+ case SHARED_T:{
+ if (!hasError) {
jj_consume_token(SHARED_T);
}
if (!hasError) {
-
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return "shared variable";
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
return "file";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
return "type";
}
-
break;
}
default:
- jj_la1[147] = jj_gen;
+ jj_la1[149] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -6629,124 +5806,106 @@ assert(false);
}
-QCString VhdlParser::operator_symbol() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::operator_symbol() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(STRINGLITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::options() {if (!hasError) {
-
+void VhdlParser::options() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GUARDED_T:{if (!hasError) {
-
+ case GUARDED_T:{
+ if (!hasError) {
jj_consume_token(GUARDED_T);
}
-
break;
}
default:
- jj_la1[148] = jj_gen;
+ jj_la1[150] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
delay_mechanism();
}
-
break;
}
default:
- jj_la1[149] = jj_gen;
+ jj_la1[151] = jj_gen;
;
}
}
-
}
-void VhdlParser::package_body() {QCString s;if (!hasError) {
-
+void VhdlParser::package_body() {QCString s;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
m_sharedState->lastCompound=m_sharedState->current;
s.prepend("_");
outlineParser()->addVhdlType(s,outlineParser()->getLine(),Entry::CLASS_SEC,VhdlDocGen::PACKAGE_BODY,0,0,Protected);
}
if (!hasError) {
-
package_body_declarative_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
-
break;
}
default:
- jj_la1[150] = jj_gen;
+ jj_la1[152] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[151] = jj_gen;
+ jj_la1[153] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->lastCompound=0; m_sharedState->genLabels.resize(0);
}
@@ -6756,81 +5915,71 @@ void VhdlParser::package_body_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
- jj_la1[152] = jj_gen;
- if (jj_2_56(3)) {if (!hasError) {
-
+ jj_la1[154] = jj_gen;
+ if (jj_2_61(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
- jj_la1[153] = jj_gen;
+ jj_la1[155] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -6839,8 +5988,8 @@ void VhdlParser::package_body_declarative_item() {
}
-void VhdlParser::package_body_declarative_part() {if (!hasError) {
-
+void VhdlParser::package_body_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -6860,34 +6009,62 @@ void VhdlParser::package_body_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[154] = jj_gen;
+ jj_la1[156] = jj_gen;
goto end_label_29;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
package_body_declarative_item();
}
-
}
end_label_29: ;
}
-
}
-void VhdlParser::package_declaration() {QCString s;if (!hasError) {
-
+void VhdlParser::package_header() {QCString s;
+ if (!hasError) {
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case GENERIC_T:{
+ if (!hasError) {
+ generic_clause();
+ }
+ if (!hasError) {
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case GENERIC_T:{
+ if (!hasError) {
+ generic_map_aspect();
+ }
+ if (!hasError) {
+ jj_consume_token(SEMI_T);
+ }
+ break;
+ }
+ default:
+ jj_la1[157] = jj_gen;
+ ;
+ }
+ }
+ break;
+ }
+ default:
+ jj_la1[158] = jj_gen;
+ ;
+ }
+ }
+}
+
+
+void VhdlParser::package_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
m_sharedState->lastCompound=m_sharedState->current;
std::shared_ptr<Entry> clone=std::make_shared<Entry>(*m_sharedState->current);
clone->section=Entry::NAMESPACE_SEC;
@@ -6900,94 +6077,83 @@ m_sharedState->lastCompound=m_sharedState->current;
outlineParser()->addVhdlType(s,outlineParser()->getLine(PACKAGE_T),Entry::CLASS_SEC,VhdlDocGen::PACKAGE,0,0,Package);
}
if (!hasError) {
-
+ package_header();
+ }
+ if (!hasError) {
package_declarative_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
-
break;
}
default:
- jj_la1[155] = jj_gen;
+ jj_la1[159] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[156] = jj_gen;
+ jj_la1[160] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->lastEntity=0;m_sharedState->lastCompound=0; m_sharedState->genLabels.resize(0);
}
-void VhdlParser::geninter() {if (!hasError) {
-
+void VhdlParser::geninter() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_interface_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[157] = jj_gen;
+ jj_la1[161] = jj_gen;
;
}
}
-
break;
}
default:
- jj_la1[158] = jj_gen;
+ jj_la1[162] = jj_gen;
;
}
}
-
}
@@ -6996,134 +6162,117 @@ void VhdlParser::package_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
signal_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
component_declaration();
}
-
break;
}
default:
- jj_la1[159] = jj_gen;
- if (jj_2_57(2147483647)) {if (!hasError) {
-
+ jj_la1[163] = jj_gen;
+ if (jj_2_62(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case DISCONNECT_T:{if (!hasError) {
-
+ case DISCONNECT_T:{
+ if (!hasError) {
disconnection_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
- jj_la1[160] = jj_gen;
- if (jj_2_58(3)) {if (!hasError) {
-
+ jj_la1[164] = jj_gen;
+ if (jj_2_63(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
- jj_la1[161] = jj_gen;
- if (jj_2_59(5)) {if (!hasError) {
-
+ jj_la1[165] = jj_gen;
+ if (jj_2_64(5)) {
+ if (!hasError) {
package_instantiation_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_declaration();
}
-
break;
}
default:
- jj_la1[162] = jj_gen;
+ jj_la1[166] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -7136,8 +6285,8 @@ void VhdlParser::package_declarative_item() {
}
-void VhdlParser::package_declarative_part() {if (!hasError) {
-
+void VhdlParser::package_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -7162,77 +6311,65 @@ void VhdlParser::package_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[163] = jj_gen;
+ jj_la1[167] = jj_gen;
goto end_label_30;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
package_declarative_item();
}
-
}
end_label_30: ;
}
-
}
-QCString VhdlParser::parameter_specification() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::parameter_specification() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IN_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
-
return s+" in "+s1;
assert(false);
}
-QCString VhdlParser::physical_literal() {QCString s,s1;if (!hasError) {
-
- if (jj_2_60(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::physical_literal() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_65(2147483647)) {
+ if (!hasError) {
s = abstract_literal();
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = name();
}
-
s+=" ";s+=s1;s.prepend(" "); return s;
assert(false);
}
-QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(UNITS_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public);
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
@@ -7241,196 +6378,159 @@ outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE
break;
}
default:
- jj_la1[164] = jj_gen;
+ jj_la1[168] = jj_gen;
goto end_label_31;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = secondary_unit_declaration();
}
-
}
end_label_31: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(UNITS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[165] = jj_gen;
+ jj_la1[169] = jj_gen;
;
}
}
-
return s+"%";
assert(false);
}
-void VhdlParser::port_clause() {if (!hasError) {
-
+void VhdlParser::port_clause() {
+ if (!hasError) {
jj_consume_token(PORT_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
port_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->currP=0;
}
-QCString VhdlParser::port_list() {QCString s;if (!hasError) {
-
+QCString VhdlParser::port_list() {QCString s;
+ if (!hasError) {
s = interface_list();
}
-
return s;
assert(false);
}
-void VhdlParser::port_map_aspect() {if (!hasError) {
-
+void VhdlParser::port_map_aspect() {
+ if (!hasError) {
jj_consume_token(PORT_T);
}
if (!hasError) {
-
jj_consume_token(MAP_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
association_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
QCString VhdlParser::primary() {QCString s,s1;
- if (jj_2_61(2147483647)) {if (!hasError) {
-
+ if (jj_2_66(2147483647)) {
+ if (!hasError) {
s = function_call();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_62(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_67(2147483647)) {
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s="("+s1+")"; return s;
}
-
- } else if (jj_2_63(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_68(2147483647)) {
+ if (!hasError) {
s = qualified_expression();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_64(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_69(2147483647)) {
+ if (!hasError) {
s = type_conversion();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_65(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_70(2147483647)) {
+ if (!hasError) {
s = literal();
}
if (!hasError) {
-
s.prepend(" ");return s;
}
-
- } else if (jj_2_66(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_71(2147483647)) {
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
+ } else if (jj_2_72(2147483647)) {
+ if (!hasError) {
+ allocator();
+ }
+ if (!hasError) {
+return "";
+ }
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case NEW_T:{if (!hasError) {
-
- allocator();
- }
+ case LPAREN_T:{
if (!hasError) {
-
-return "";
- }
-
- break;
- }
- case LPAREN_T:{if (!hasError) {
-
s = aggregate();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[166] = jj_gen;
+ jj_la1[170] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -7441,43 +6541,44 @@ assert(false);
void VhdlParser::primary_unit() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
entity_declaration();
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
configuration_declaration();
}
-
break;
}
default:
- jj_la1[167] = jj_gen;
- if (jj_2_67(2147483647)) {if (!hasError) {
-
+ jj_la1[171] = jj_gen;
+ if (jj_2_73(2147483647)) {
+ if (!hasError) {
package_instantiation_declaration();
}
-
- } else if (jj_2_68(4)) {if (!hasError) {
-
+ } else if (jj_2_74(4)) {
+ if (!hasError) {
interface_package_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_declaration();
}
-
+ break;
+ }
+ case CONTEXT_T:{
+ if (!hasError) {
+ context_declaration();
+ }
break;
}
default:
- jj_la1[168] = jj_gen;
+ jj_la1[172] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -7486,71 +6587,59 @@ void VhdlParser::primary_unit() {
}
-QCString VhdlParser::procedure_call() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::procedure_call() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = actual_parameter_part();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s1.prepend("("); s1.append(")");
}
-
break;
}
default:
- jj_la1[169] = jj_gen;
+ jj_la1[173] = jj_gen;
;
}
}
-
return s+s1;
assert(false);
}
-QCString VhdlParser::procedure_call_statement() {QCString s,s1;if (!hasError) {
-
- if (jj_2_69(2)) {if (!hasError) {
-
+QCString VhdlParser::procedure_call_statement() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_75(2)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = procedure_call();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return s+s1+";";
assert(false);
}
@@ -7561,145 +6650,121 @@ QCString VhdlParser::process_declarative_item() {QCString s;
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
s = type_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
s = subtype_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
s = constant_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
s = variable_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
s = file_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
s = alias_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[170] = jj_gen;
- if (jj_2_70(3)) {if (!hasError) {
-
+ jj_la1[174] = jj_gen;
+ if (jj_2_76(3)) {
+ if (!hasError) {
s = attribute_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
s = attribute_specification();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
s = use_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[171] = jj_gen;
- if (jj_2_71(3)) {if (!hasError) {
-
+ jj_la1[175] = jj_gen;
+ if (jj_2_77(3)) {
+ if (!hasError) {
s = group_template_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
s = group_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[172] = jj_gen;
+ jj_la1[176] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -7711,8 +6776,8 @@ assert(false);
}
-QCString VhdlParser::process_declarative_part() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::process_declarative_part() {QCString s,s1;
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -7733,193 +6798,164 @@ QCString VhdlParser::process_declarative_part() {QCString s,s1;if (!hasError) {
break;
}
default:
- jj_la1[173] = jj_gen;
+ jj_la1[177] = jj_gen;
goto end_label_32;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = process_declarative_item();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_32: ;
}
-
return s;
assert(false);
}
-void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;if (!hasError) {
-
+void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[174] = jj_gen;
+ jj_la1[178] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
- jj_la1[175] = jj_gen;
+ jj_la1[179] = jj_gen;
;
}
}
if (!hasError) {
-
m_sharedState->currP=VhdlDocGen::PROCESS;
m_sharedState->current->startLine=outlineParser()->getLine();
m_sharedState->current->bodyLine=outlineParser()->getLine();
}
if (!hasError) {
-
jj_consume_token(PROCESS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
tok = jj_consume_token(ALL_T);
}
-
break;
}
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s1 = sensitivity_list();
}
-
break;
}
default:
- jj_la1[176] = jj_gen;
+ jj_la1[180] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
- jj_la1[177] = jj_gen;
+ jj_la1[181] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
jj_consume_token(IS_T);
}
-
break;
}
default:
- jj_la1[178] = jj_gen;
+ jj_la1[182] = jj_gen;
;
}
}
if (!hasError) {
-
s2 = process_declarative_part();
}
if (!hasError) {
-
if (s2.data())
FlowChart::addFlowChart(FlowChart::VARIABLE_NO,s2.data(),0);
FlowChart::addFlowChart(FlowChart::BEGIN_NO,"BEGIN",0);
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
process_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
- jj_la1[179] = jj_gen;
+ jj_la1[183] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(PROCESS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[180] = jj_gen;
+ jj_la1[184] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(s.isEmpty())
m_sharedState->currName=VhdlDocGen::getProcessNumber();
else
@@ -7938,8 +6974,8 @@ if(s.isEmpty())
}
-void VhdlParser::process_statement_part() {if (!hasError) {
-
+void VhdlParser::process_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -7964,104 +7000,86 @@ void VhdlParser::process_statement_part() {if (!hasError) {
break;
}
default:
- jj_la1[181] = jj_gen;
+ jj_la1[185] = jj_gen;
goto end_label_33;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
sequential_statement();
}
-
}
end_label_33: ;
}
-
}
-QCString VhdlParser::qualified_expression() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::qualified_expression() {QCString s,s1;
+ if (!hasError) {
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
s=s1+"'";
}
if (!hasError) {
-
- if (jj_2_72(2147483647)) {if (!hasError) {
-
+ if (jj_2_78(2147483647)) {
+ if (!hasError) {
s1 = aggregate();
}
if (!hasError) {
-
s+=s1;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")";
}
-
break;
}
default:
- jj_la1[182] = jj_gen;
+ jj_la1[186] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
}
-
return s;
assert(false);
}
QCString VhdlParser::range() {QCString s,s1,s2;
- if (jj_2_73(2147483647)) {if (!hasError) {
-
+ if (jj_2_79(2147483647)) {
+ if (!hasError) {
s = simple_expression();
}
if (!hasError) {
-
s1 = direction();
}
if (!hasError) {
-
s2 = simple_expression();
}
if (!hasError) {
-
return s+" "+s1+" "+s2;
}
-
- } else if (jj_2_74(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_80(2147483647)) {
+ if (!hasError) {
s = attribute_name();
}
if (!hasError) {
-
return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -8070,103 +7088,98 @@ assert(false);
}
-QCString VhdlParser::range_constraint() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::range_constraint() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(RANGE_T);
}
if (!hasError) {
-
s = range();
}
-
return " range "+s;
assert(false);
}
-void VhdlParser::record_type_definition() {if (!hasError) {
-
+void VhdlParser::record_type_definition() {
+ if (!hasError) {
jj_consume_token(RECORD_T);
}
if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+ while (!hasError) {
+ if (!hasError) {
element_declaration();
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case SLSL_T:
+ case STRINGLITERAL:
case BASIC_IDENTIFIER:
case EXTENDED_CHARACTER:{
;
break;
}
default:
- jj_la1[183] = jj_gen;
+ jj_la1[187] = jj_gen;
goto end_label_34;
}
}
end_label_34: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(RECORD_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[184] = jj_gen;
+ jj_la1[188] = jj_gen;
;
}
}
-
}
-QCString VhdlParser::relation() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::relation() {QCString s,s1,s2;
+ if (!hasError) {
s = shift_expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LESSTHAN_T:
case GREATERTHAN_T:
case LT_T:
case GT_T:
case EQU_T:
- case NOTEQU_T:{if (!hasError) {
-
+ case NOTEQU_T:
+ case QGT_T:
+ case QLT_T:
+ case QG_T:
+ case QL_T:
+ case QEQU_T:
+ case QNEQU_T:{
+ if (!hasError) {
s1 = relation_operator();
}
if (!hasError) {
-
s2 = shift_expression();
}
-
break;
}
default:
- jj_la1[185] = jj_gen;
+ jj_la1[189] = jj_gen;
;
}
}
-
return s+s1+s2;
assert(false);
}
@@ -8174,74 +7187,116 @@ assert(false);
QCString VhdlParser::relation_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LT_T:{if (!hasError) {
-
+ case LT_T:{
+ if (!hasError) {
jj_consume_token(LT_T);
}
if (!hasError) {
-
return "<";
}
-
break;
}
- case GT_T:{if (!hasError) {
-
+ case GT_T:{
+ if (!hasError) {
jj_consume_token(GT_T);
}
if (!hasError) {
-
return ">";
}
-
break;
}
- case EQU_T:{if (!hasError) {
-
+ case EQU_T:{
+ if (!hasError) {
jj_consume_token(EQU_T);
}
if (!hasError) {
-
return "=";
}
-
break;
}
- case GREATERTHAN_T:{if (!hasError) {
-
+ case GREATERTHAN_T:{
+ if (!hasError) {
jj_consume_token(GREATERTHAN_T);
}
if (!hasError) {
-
return ">=";
}
-
break;
}
- case LESSTHAN_T:{if (!hasError) {
-
+ case LESSTHAN_T:{
+ if (!hasError) {
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
return "<=";
}
-
break;
}
- case NOTEQU_T:{if (!hasError) {
-
+ case NOTEQU_T:{
+ if (!hasError) {
jj_consume_token(NOTEQU_T);
}
if (!hasError) {
-
return "/=";
}
-
+ break;
+ }
+ case QGT_T:{
+ if (!hasError) {
+ jj_consume_token(QGT_T);
+ }
+ if (!hasError) {
+return "?>=";
+ }
+ break;
+ }
+ case QLT_T:{
+ if (!hasError) {
+ jj_consume_token(QLT_T);
+ }
+ if (!hasError) {
+return "?<=";
+ }
+ break;
+ }
+ case QG_T:{
+ if (!hasError) {
+ jj_consume_token(QG_T);
+ }
+ if (!hasError) {
+return "?>";
+ }
+ break;
+ }
+ case QL_T:{
+ if (!hasError) {
+ jj_consume_token(QL_T);
+ }
+ if (!hasError) {
+return "?<";
+ }
+ break;
+ }
+ case QEQU_T:{
+ if (!hasError) {
+ jj_consume_token(QEQU_T);
+ }
+ if (!hasError) {
+return "?=";
+ }
+ break;
+ }
+ case QNEQU_T:{
+ if (!hasError) {
+ jj_consume_token(QNEQU_T);
+ }
+ if (!hasError) {
+return "?/=";
+ }
break;
}
default:
- jj_la1[186] = jj_gen;
+ jj_la1[190] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -8249,58 +7304,49 @@ assert(false);
}
-QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[187] = jj_gen;
+ jj_la1[191] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(REPORT_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SEVERITY_T:{if (!hasError) {
-
+ case SEVERITY_T:{
+ if (!hasError) {
t1 = jj_consume_token(SEVERITY_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
- jj_la1[188] = jj_gen;
+ jj_la1[192] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s.append(":");
s1.prepend(" report ");
if(t1) s2.prepend(" severity ");
@@ -8309,45 +7355,47 @@ assert(false);
}
-QCString VhdlParser::return_statement() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::return_statement() {QCString s,s1;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
break;
}
default:
- jj_la1[189] = jj_gen;
+ jj_la1[193] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
+ case AND_T:
+ case NAND_T:
case NEW_T:
+ case NOR_T:
case NOT_T:
case NULL_T:
+ case OR_T:
+ case XOR_T:
+ case XNOR_T:
case LPAREN_T:
case PLUS_T:
case MINUS_T:
case SLSL_T:
+ case QQ_T:
case INTEGER:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
@@ -8355,67 +7403,58 @@ s+=":";
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s1 = expression();
}
-
break;
}
default:
- jj_la1[190] = jj_gen;
+ jj_la1[194] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return s+" return "+s1+";";
assert(false);
}
QCString VhdlParser::scalar_type_definition() {QCString s,s1;
- switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ if (jj_2_82(2147483647)) {
+ if (!hasError) {
s = enumeration_type_definition();
}
if (!hasError) {
-
return s;
}
-
- break;
- }
- case RANGE_T:{if (!hasError) {
-
- s = range_constraint();
- }
- if (!hasError) {
-
- if (jj_2_75(2147483647)) {if (!hasError) {
-
- s1 = physical_type_definition();
+ } else {
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case RANGE_T:{
+ if (!hasError) {
+ s = range_constraint();
}
-
- } else {
- ;
- }
- }
- if (!hasError) {
-
+ if (!hasError) {
+ if (jj_2_81(2147483647)) {
+ if (!hasError) {
+ s1 = physical_type_definition();
+ }
+ } else {
+ ;
+ }
+ }
+ if (!hasError) {
return s+" "+s1+"%";
+ }
+ break;
+ }
+ default:
+ jj_la1[195] = jj_gen;
+ jj_consume_token(-1);
+ errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-
- break;
- }
- default:
- jj_la1[191] = jj_gen;
- jj_consume_token(-1);
- errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
}
@@ -8423,45 +7462,39 @@ assert(false);
void VhdlParser::secondary_unit() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARCHITECTURE_T:{if (!hasError) {
-
+ case ARCHITECTURE_T:{
+ if (!hasError) {
architecture_body();
}
-
break;
}
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_body();
}
-
break;
}
default:
- jj_la1[192] = jj_gen;
+ jj_la1[196] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(EQU_T);
}
if (!hasError) {
-
s1 = physical_literal();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
//printf("\n %s %s [%d]",s.data(),s1.data(),outlineParser()->getLine());
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,s1.data(),Public);
@@ -8470,74 +7503,60 @@ assert(false);
}
-QCString VhdlParser::selected_name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::selected_name() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s1 = suffix();
}
-
return s+"."+s1;
assert(false);
}
-void VhdlParser::selected_signal_assignment() {if (!hasError) {
-
+void VhdlParser::selected_signal_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
options();
}
if (!hasError) {
-
selected_waveforms();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::selected_waveforms() {if (!hasError) {
-
+void VhdlParser::selected_waveforms() {
+ if (!hasError) {
waveform();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -8545,53 +7564,45 @@ void VhdlParser::selected_waveforms() {if (!hasError) {
break;
}
default:
- jj_la1[193] = jj_gen;
+ jj_la1[197] = jj_gen;
goto end_label_35;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
waveform();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
-
}
end_label_35: ;
}
-
}
-QCString VhdlParser::sensitivity_clause() {QCString s;if (!hasError) {
-
+QCString VhdlParser::sensitivity_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(ON_T);
}
if (!hasError) {
-
s = sensitivity_list();
}
-
s.prepend(" on ");
return s;
assert(false);
}
-QCString VhdlParser::sensitivity_list() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::sensitivity_list() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -8599,181 +7610,148 @@ QCString VhdlParser::sensitivity_list() {QCString s,s1;if (!hasError) {
break;
}
default:
- jj_la1[194] = jj_gen;
+ jj_la1[198] = jj_gen;
goto end_label_36;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_36: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::sequence_of_statement() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::sequence_of_statement() {QCString s,s1;
+ if (!hasError) {
while (!hasError) {
- if (jj_2_76(3)) {
+ if (jj_2_83(3)) {
;
} else {
goto end_label_37;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = sequential_statement();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_37: ;
}
-
return s;
assert(false);
}
QCString VhdlParser::sequential_statement() {QCString s;
- if (jj_2_77(2147483647)) {if (!hasError) {
-
+ if (jj_2_84(2147483647)) {
+ if (!hasError) {
s = signal_assignment_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_78(3)) {if (!hasError) {
-
+ } else if (jj_2_85(3)) {
+ if (!hasError) {
s = assertion_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_79(3)) {if (!hasError) {
-
+ } else if (jj_2_86(3)) {
+ if (!hasError) {
s = report_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_80(3)) {if (!hasError) {
-
+ } else if (jj_2_87(3)) {
+ if (!hasError) {
s = wait_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_81(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_88(2147483647)) {
+ if (!hasError) {
s = variable_assignment_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_82(3)) {if (!hasError) {
-
+ } else if (jj_2_89(3)) {
+ if (!hasError) {
s = procedure_call_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_83(3)) {if (!hasError) {
-
+ } else if (jj_2_90(3)) {
+ if (!hasError) {
if_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_84(3)) {if (!hasError) {
-
+ } else if (jj_2_91(3)) {
+ if (!hasError) {
case_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_85(3)) {if (!hasError) {
-
+ } else if (jj_2_92(3)) {
+ if (!hasError) {
loop_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_86(3)) {if (!hasError) {
-
+ } else if (jj_2_93(3)) {
+ if (!hasError) {
s = next_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_87(3)) {if (!hasError) {
-
+ } else if (jj_2_94(3)) {
+ if (!hasError) {
s = exit_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_88(3)) {if (!hasError) {
-
+ } else if (jj_2_95(3)) {
+ if (!hasError) {
s = return_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::RETURN_NO,s.data(),0);return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case NULL_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = null_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
break;
}
default:
- jj_la1[195] = jj_gen;
+ jj_la1[199] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -8782,35 +7760,31 @@ assert(false);
}
-QCString VhdlParser::shift_expression() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::shift_expression() {QCString s,s1,s2;
+ if (!hasError) {
s = simple_expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ROL_T:
case ROR_T:
case SLA_T:
case SLL_T:
case SRA_T:
- case SRL_T:{if (!hasError) {
-
+ case SRL_T:{
+ if (!hasError) {
s1 = shift_operator();
}
if (!hasError) {
-
s2 = simple_expression();
}
-
break;
}
default:
- jj_la1[196] = jj_gen;
+ jj_la1[200] = jj_gen;
;
}
}
-
return s+s1+s2;
assert(false);
}
@@ -8818,74 +7792,62 @@ assert(false);
QCString VhdlParser::shift_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SLL_T:{if (!hasError) {
-
+ case SLL_T:{
+ if (!hasError) {
jj_consume_token(SLL_T);
}
if (!hasError) {
-
return "sll";
}
-
break;
}
- case SRL_T:{if (!hasError) {
-
+ case SRL_T:{
+ if (!hasError) {
jj_consume_token(SRL_T);
}
if (!hasError) {
-
return "srl";
}
-
break;
}
- case SLA_T:{if (!hasError) {
-
+ case SLA_T:{
+ if (!hasError) {
jj_consume_token(SLA_T);
}
if (!hasError) {
-
return "sla";
}
-
break;
}
- case SRA_T:{if (!hasError) {
-
+ case SRA_T:{
+ if (!hasError) {
jj_consume_token(SRA_T);
}
if (!hasError) {
-
return "sra";
}
-
break;
}
- case ROL_T:{if (!hasError) {
-
+ case ROL_T:{
+ if (!hasError) {
jj_consume_token(ROL_T);
}
if (!hasError) {
-
return "rol";
}
-
break;
}
- case ROR_T:{if (!hasError) {
-
+ case ROR_T:{
+ if (!hasError) {
jj_consume_token(ROR_T);
}
if (!hasError) {
-
return "ror";
}
-
break;
}
default:
- jj_la1[197] = jj_gen;
+ jj_la1[201] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -8895,30 +7857,26 @@ assert(false);
QCString VhdlParser::sign() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PLUS_T:{if (!hasError) {
-
+ case PLUS_T:{
+ if (!hasError) {
jj_consume_token(PLUS_T);
}
if (!hasError) {
-
return "+";
}
-
break;
}
- case MINUS_T:{if (!hasError) {
-
+ case MINUS_T:{
+ if (!hasError) {
jj_consume_token(MINUS_T);
}
if (!hasError) {
-
return "-";
}
-
break;
}
default:
- jj_la1[198] = jj_gen;
+ jj_la1[202] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -8927,91 +7885,76 @@ assert(false);
QCString VhdlParser::signal_assignment_statement() {QCString s,s1,s2,s3;
- if (jj_2_90(2147483647)) {if (!hasError) {
-
+ if (jj_2_97(2147483647)) {
+ if (!hasError) {
conditional_signal_assignment_wave();
}
if (!hasError) {
-
return "";
}
-
- } else if (jj_2_91(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_98(2147483647)) {
+ if (!hasError) {
selected_signal_assignment_wave();
}
if (!hasError) {
-
return "";
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LPAREN_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
- if (jj_2_89(2)) {if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ if (jj_2_96(2)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
s2 = delay_mechanism();
}
-
break;
}
default:
- jj_la1[199] = jj_gen;
+ jj_la1[203] = jj_gen;
;
}
}
if (!hasError) {
-
s3 = waveform();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
return s+s1+"<="+s2+s3+";";
}
-
break;
}
default:
- jj_la1[200] = jj_gen;
+ jj_la1[204] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9020,70 +7963,59 @@ assert(false);
}
-void VhdlParser::semi() {if (!hasError) {
-
+void VhdlParser::semi() {
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;if (!hasError) {
-
+void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;
+ if (!hasError) {
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BUS_T:
- case REGISTER_T:{if (!hasError) {
-
+ case REGISTER_T:{
+ if (!hasError) {
s2 = signal_kind();
}
-
break;
}
default:
- jj_la1[201] = jj_gen;
+ jj_la1[205] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
tok = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s3 = expression();
}
-
break;
}
default:
- jj_la1[202] = jj_gen;
+ jj_la1[206] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(tok)
s3.prepend(":=");
s4=s1+s2+s3;
@@ -9093,30 +8025,26 @@ if(tok)
QCString VhdlParser::signal_kind() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REGISTER_T:{if (!hasError) {
-
+ case REGISTER_T:{
+ if (!hasError) {
jj_consume_token(REGISTER_T);
}
if (!hasError) {
-
return "register";
}
-
break;
}
- case BUS_T:{if (!hasError) {
-
+ case BUS_T:{
+ if (!hasError) {
jj_consume_token(BUS_T);
}
if (!hasError) {
-
return "bus";
}
-
break;
}
default:
- jj_la1[203] = jj_gen;
+ jj_la1[207] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9129,12 +8057,11 @@ QCString VhdlParser::signal_list() {QCString s,s1;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -9142,51 +8069,43 @@ QCString VhdlParser::signal_list() {QCString s,s1;
break;
}
default:
- jj_la1[204] = jj_gen;
+ jj_la1[208] = jj_gen;
goto end_label_38;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_38: ;
}
-
break;
}
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return "other";
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
jj_consume_token(ALL_T);
}
if (!hasError) {
-
return "all";
}
-
break;
}
default:
- jj_la1[205] = jj_gen;
+ jj_la1[209] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9194,22 +8113,20 @@ assert(false);
}
-QCString VhdlParser::signature() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::signature() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(LBRACKET_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -9217,169 +8134,143 @@ QCString VhdlParser::signature() {QCString s,s1,s2;if (!hasError) {
break;
}
default:
- jj_la1[206] = jj_gen;
+ jj_la1[210] = jj_gen;
goto end_label_39;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_39: ;
}
-
break;
}
default:
- jj_la1[207] = jj_gen;
+ jj_la1[211] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case RETURN_T:{if (!hasError) {
-
+ case RETURN_T:{
+ if (!hasError) {
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+="return ";s+=s1;
}
-
break;
}
default:
- jj_la1[208] = jj_gen;
+ jj_la1[212] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(RBRACKET_T);
}
-
s1="["+s+"]";return s1;
assert(false);
}
-QCString VhdlParser::simple_expression() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::simple_expression() {QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case PLUS_T:
- case MINUS_T:{if (!hasError) {
-
+ case MINUS_T:{
+ if (!hasError) {
s = sign();
}
-
break;
}
default:
- jj_la1[209] = jj_gen;
+ jj_la1[213] = jj_gen;
;
}
}
if (!hasError) {
-
s1 = term();
}
if (!hasError) {
-
s+=s1;
}
if (!hasError) {
-
while (!hasError) {
- if (jj_2_92(2147483647)) {
+ if (jj_2_99(2147483647)) {
;
} else {
goto end_label_40;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = adding_operator();
}
if (!hasError) {
-
s2 = term();
}
if (!hasError) {
-
s+=s1;s+=s2;
}
-
}
end_label_40: ;
}
-
return s;
assert(false);
}
-void VhdlParser::simple_name() {if (!hasError) {
-
+void VhdlParser::simple_name() {
+ if (!hasError) {
name();
}
-
}
-QCString VhdlParser::slice_name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::slice_name() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+"("+s1+")";
assert(false);
}
-QCString VhdlParser::string_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::string_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(STRINGLITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::subprogram_body() {QCString s;if (!hasError) {
-
+void VhdlParser::subprogram_body() {QCString s;
+ if (!hasError) {
jj_consume_token(IS_T);
}
if (!hasError) {
-
//try{
s = subprogram_declarative_part();
}
if (!hasError) {
-
if (s.data())
{
FlowChart::addFlowChart(FlowChart::VARIABLE_NO,s,0);
@@ -9387,55 +8278,46 @@ if (s.data())
FlowChart::addFlowChart(FlowChart::BEGIN_NO,"BEGIN",0);
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
subprogram_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FUNCTION_T:
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
subprogram_kind();
}
-
break;
}
default:
- jj_la1[210] = jj_gen;
+ jj_la1[214] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
designator();
}
-
break;
}
default:
- jj_la1[211] = jj_gen;
+ jj_la1[215] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->tempEntry->endBodyLine=outlineParser()->getLine(END_T);
outlineParser()->createFlow();
m_sharedState->currP=0;
@@ -9443,33 +8325,29 @@ m_sharedState->tempEntry->endBodyLine=outlineParser()->getLine(END_T);
void VhdlParser::subprogram_declaration() {
- if (jj_2_93(2147483647)) {if (!hasError) {
-
+ if (jj_2_100(2147483647)) {
+ if (!hasError) {
subprogram_instantiation_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_specification();
}
if (!hasError) {
-
subprogram_1();
}
if (!hasError) {
-
m_sharedState->currP=0;
}
-
break;
}
default:
- jj_la1[212] = jj_gen;
+ jj_la1[216] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9479,22 +8357,20 @@ m_sharedState->currP=0;
void VhdlParser::subprogram_1() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
subprogram_body();
}
-
break;
}
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[213] = jj_gen;
+ jj_la1[217] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9506,156 +8382,130 @@ QCString VhdlParser::subprogram_declarative_item() {QCString s;
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
s = type_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
subprogram_body();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
s = subtype_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
s = constant_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
s = variable_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
s = file_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
s = alias_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[214] = jj_gen;
- if (jj_2_94(2147483647)) {if (!hasError) {
-
+ jj_la1[218] = jj_gen;
+ if (jj_2_101(2147483647)) {
+ if (!hasError) {
s = attribute_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
s = attribute_specification();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
s = use_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[215] = jj_gen;
- if (jj_2_95(3)) {if (!hasError) {
-
+ jj_la1[219] = jj_gen;
+ if (jj_2_102(3)) {
+ if (!hasError) {
s = group_template_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
s = group_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[216] = jj_gen;
+ jj_la1[220] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9667,8 +8517,8 @@ assert(false);
}
-QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -9690,21 +8540,18 @@ QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;if (!hasError)
break;
}
default:
- jj_la1[217] = jj_gen;
+ jj_la1[221] = jj_gen;
goto end_label_41;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = subprogram_declarative_item();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_41: ;
}
-
return s;
assert(false);
}
@@ -9712,22 +8559,20 @@ assert(false);
void VhdlParser::subprogram_kind() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FUNCTION_T:{if (!hasError) {
-
+ case FUNCTION_T:{
+ if (!hasError) {
jj_consume_token(FUNCTION_T);
}
-
break;
}
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
-
break;
}
default:
- jj_la1[218] = jj_gen;
+ jj_la1[222] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -9736,16 +8581,14 @@ void VhdlParser::subprogram_kind() {
void VhdlParser::subprogram_specification() {QCString s;Token *tok=0;Token *t;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
-
s = designator();
}
if (!hasError) {
-
m_sharedState->currP=VhdlDocGen::PROCEDURE;
outlineParser()->createFunction(s.data(),m_sharedState->currP,0);
m_sharedState->tempEntry=m_sharedState->current;
@@ -9753,116 +8596,97 @@ m_sharedState->currP=VhdlDocGen::PROCEDURE;
m_sharedState->current->bodyLine=outlineParser()->getLine(PROCEDURE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
m_sharedState->param_sec=PARAM_SEC;
}
if (!hasError) {
-
interface_list();
}
if (!hasError) {
-
m_sharedState->param_sec=0;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
- jj_la1[219] = jj_gen;
+ jj_la1[223] = jj_gen;
;
}
}
if (!hasError) {
-
- if (jj_2_96(2)) {if (!hasError) {
-
+ if (jj_2_103(2)) {
+ if (!hasError) {
gen_interface_list();
}
-
} else {
;
}
}
if (!hasError) {
-
- if (jj_2_97(2)) {if (!hasError) {
-
+ if (jj_2_104(2)) {
+ if (!hasError) {
gen_assoc_list();
}
-
} else {
;
}
}
if (!hasError) {
-
param();
}
if (!hasError) {
-
outlineParser()->newEntry();
}
-
break;
}
case FUNCTION_T:
case IMPURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IMPURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
tok = jj_consume_token(PURE_T);
}
-
break;
}
- case IMPURE_T:{if (!hasError) {
-
+ case IMPURE_T:{
+ if (!hasError) {
tok = jj_consume_token(IMPURE_T);
}
-
break;
}
default:
- jj_la1[220] = jj_gen;
+ jj_la1[224] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
break;
}
default:
- jj_la1[221] = jj_gen;
+ jj_la1[225] = jj_gen;
;
}
}
if (!hasError) {
-
t = jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
s = designator();
}
if (!hasError) {
-
m_sharedState->currP=VhdlDocGen::FUNCTION;
if(tok)
outlineParser()->createFunction(tok->image.c_str(),m_sharedState->currP,s.data());
@@ -9873,63 +8697,53 @@ m_sharedState->currP=VhdlDocGen::FUNCTION;
m_sharedState->current->bodyLine=outlineParser()->getLine(FUNCTION_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
m_sharedState->param_sec=PARAM_SEC;
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
formal_parameter_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
m_sharedState->param_sec=0;
}
-
break;
}
default:
- jj_la1[222] = jj_gen;
+ jj_la1[226] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
s = type_mark();
}
if (!hasError) {
-
m_sharedState->tempEntry=m_sharedState->current;
m_sharedState->current->type=s;
outlineParser()->newEntry();
}
-
break;
}
default:
- jj_la1[223] = jj_gen;
+ jj_la1[227] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-void VhdlParser::subprogram_statement_part() {if (!hasError) {
-
+void VhdlParser::subprogram_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -9954,126 +8768,138 @@ void VhdlParser::subprogram_statement_part() {if (!hasError) {
break;
}
default:
- jj_la1[224] = jj_gen;
+ jj_la1[228] = jj_gen;
goto end_label_42;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
sequential_statement();
}
-
}
end_label_42: ;
}
-
}
-QCString VhdlParser::subtype_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::subtype_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(),Entry::VARIABLE_SEC,VhdlDocGen::SUBTYPE,0,s1.data(),Public);
return " subtype "+s+" is "+s1+";";
assert(false);
}
-QCString VhdlParser::subtype_indication() {QCString s,s1,s2;if (!hasError) {
-
- s = name();
+QCString VhdlParser::reslution_indication() {QCString s;
+ if (!hasError) {
+ jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
- if (jj_2_98(2147483647)) {if (!hasError) {
-
- s1 = name();
+ s = expression();
+ }
+ if (!hasError) {
+ jj_consume_token(RPAREN_T);
+ }
+return "("+s+")";
+assert(false);
+}
+
+
+QCString VhdlParser::subtype_indication() {QCString s,s1,s2;
+ if (!hasError) {
+ if (jj_2_105(5)) {
+ if (!hasError) {
+ s = reslution_indication();
}
-
} else {
;
}
}
if (!hasError) {
-
- if (jj_2_99(2147483647)) {if (!hasError) {
-
+ while (!hasError) {
+ if (!hasError) {
+ s1 = name();
+ }
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case SLSL_T:
+ case STRINGLITERAL:
+ case BASIC_IDENTIFIER:
+ case EXTENDED_CHARACTER:{
+ ;
+ break;
+ }
+ default:
+ jj_la1[229] = jj_gen;
+ goto end_label_43;
+ }
+ }
+ end_label_43: ;
+ }
+ if (!hasError) {
+ if (jj_2_106(2147483647)) {
+ if (!hasError) {
s2 = constraint();
}
-
} else {
;
}
}
-
return s+" "+s1+" "+s2;
assert(false);
}
QCString VhdlParser::suffix() {QCString s;
- if (jj_2_100(2147483647)) {if (!hasError) {
-
+ if (jj_2_107(2147483647)) {
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
jj_consume_token(ALL_T);
}
if (!hasError) {
-
return " all ";
}
-
break;
}
default:
- jj_la1[225] = jj_gen;
+ jj_la1[230] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10087,30 +8913,26 @@ QCString VhdlParser::target() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
s = aggregate();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[226] = jj_gen;
+ jj_la1[231] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10118,100 +8940,85 @@ assert(false);
}
-QCString VhdlParser::term() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::term() {QCString s,s1,s2;
+ if (!hasError) {
s = factor();
}
if (!hasError) {
-
while (!hasError) {
- if (jj_2_101(2)) {
+ if (jj_2_108(2)) {
;
} else {
- goto end_label_43;
- }if (!hasError) {
-
+ goto end_label_44;
+ }
+ if (!hasError) {
s1 = multiplying_operation();
}
if (!hasError) {
-
s2 = factor();
}
if (!hasError) {
-
s+=s1;s+=s2;
}
-
}
- end_label_43: ;
+ end_label_44: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::timeout_clause() {QCString s;if (!hasError) {
-
+QCString VhdlParser::timeout_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
s = expression();
}
-
return " for "+s;
assert(false);
}
-QCString VhdlParser::type_conversion() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::type_conversion() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+"("+s1+")";
assert(false);
}
QCString VhdlParser::type_declaration() {QCString s;
- if (jj_2_102(3)) {if (!hasError) {
-
+ if (jj_2_109(3)) {
+ if (!hasError) {
s = full_type_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
s = incomplete_type_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[227] = jj_gen;
+ jj_la1[232] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10223,78 +9030,66 @@ assert(false);
QCString VhdlParser::type_definition() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case RANGE_T:
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
//try{
s = scalar_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
case ARRAY_T:
- case RECORD_T:{if (!hasError) {
-
+ case RECORD_T:{
+ if (!hasError) {
s = composite_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ACCESS_T:{if (!hasError) {
-
+ case ACCESS_T:{
+ if (!hasError) {
s = access_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
s = file_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[228] = jj_gen;
- if (jj_2_103(2)) {if (!hasError) {
-
+ jj_la1[233] = jj_gen;
+ if (jj_2_110(2)) {
+ if (!hasError) {
protected_type_body();
}
if (!hasError) {
-
return "";
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROTECTED_T:{if (!hasError) {
-
+ case PROTECTED_T:{
+ if (!hasError) {
protected_type_declaration();
}
if (!hasError) {
-
return "";
}
-
break;
}
default:
- jj_la1[229] = jj_gen;
+ jj_la1[234] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10304,30 +9099,26 @@ assert(false);
}
-QCString VhdlParser::type_mark() {QCString s;if (!hasError) {
-
+QCString VhdlParser::type_mark() {QCString s;
+ if (!hasError) {
s = name();
}
-
return s;
assert(false);
}
-QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;if (!hasError) {
-
+QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;
+ if (!hasError) {
jj_consume_token(ARRAY_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s = index_subtype_definition();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10335,52 +9126,43 @@ QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;if (!h
break;
}
default:
- jj_la1[230] = jj_gen;
- goto end_label_44;
- }if (!hasError) {
-
+ jj_la1[235] = jj_gen;
+ goto end_label_45;
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = index_subtype_definition();
}
if (!hasError) {
-
s3+=",";s3+=s1;
}
-
}
- end_label_44: ;
+ end_label_45: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s2 = subtype_indication();
}
-
return "array("+s+s3+") of "+s2;
assert(false);
}
-QCString VhdlParser::use_clause() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::use_clause() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(USE_T);
}
if (!hasError) {
-
s = selected_name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10388,29 +9170,24 @@ QCString VhdlParser::use_clause() {QCString s,s1;if (!hasError) {
break;
}
default:
- jj_la1[231] = jj_gen;
- goto end_label_45;
- }if (!hasError) {
-
+ jj_la1[236] = jj_gen;
+ goto end_label_46;
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = selected_name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
- end_label_45: ;
+ end_label_46: ;
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCStringList ql1=QCStringList::split(",",s);
for (uint j=0;j<ql1.count();j++)
{
@@ -10433,61 +9210,50 @@ QCString VhdlParser::variable_assignment_statement() {QCString s,s1,s2;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
- if (jj_2_104(2)) {if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ if (jj_2_111(2)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = target();
}
if (!hasError) {
-
jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s2 = expression();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
return s+s1+":="+s2+";";
}
-
break;
}
- case WITH_T:{if (!hasError) {
-
+ case WITH_T:{
+ if (!hasError) {
selected_variable_assignment();
}
if (!hasError) {
-
return "";
}
-
break;
}
default:
- jj_la1[232] = jj_gen;
+ jj_la1[237] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10495,61 +9261,51 @@ assert(false);
}
-QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SHARED_T:{if (!hasError) {
-
+ case SHARED_T:{
+ if (!hasError) {
tok = jj_consume_token(SHARED_T);
}
-
break;
}
default:
- jj_la1[233] = jj_gen;
+ jj_la1[238] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
t1 = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
- jj_la1[234] = jj_gen;
+ jj_la1[239] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
int spec;
if(t1)
s2.prepend(":=");
@@ -10575,80 +9331,69 @@ assert(false);
}
-QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasError) {
-
+QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
- jj_la1[235] = jj_gen;
+ jj_la1[240] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(WAIT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ON_T:{if (!hasError) {
-
+ case ON_T:{
+ if (!hasError) {
s1 = sensitivity_clause();
}
-
break;
}
default:
- jj_la1[236] = jj_gen;
+ jj_la1[241] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case UNTIL_T:{if (!hasError) {
-
+ case UNTIL_T:{
+ if (!hasError) {
s2 = condition_clause();
}
-
break;
}
default:
- jj_la1[237] = jj_gen;
+ jj_la1[242] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
s3 = timeout_clause();
}
-
break;
}
default:
- jj_la1[238] = jj_gen;
+ jj_la1[243] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s.append(":");
return s+" wait "+s1+s2+s3+";";
assert(false);
@@ -10658,13 +9403,20 @@ assert(false);
QCString VhdlParser::waveform() {QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
+ case AND_T:
+ case NAND_T:
case NEW_T:
+ case NOR_T:
case NOT_T:
case NULL_T:
+ case OR_T:
+ case XOR_T:
+ case XNOR_T:
case LPAREN_T:
case PLUS_T:
case MINUS_T:
case SLSL_T:
+ case QQ_T:
case INTEGER:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
@@ -10672,12 +9424,11 @@ QCString VhdlParser::waveform() {QCString s,s1;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s = waveform_element();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10685,44 +9436,37 @@ QCString VhdlParser::waveform() {QCString s,s1;
break;
}
default:
- jj_la1[239] = jj_gen;
- goto end_label_46;
- }if (!hasError) {
-
+ jj_la1[244] = jj_gen;
+ goto end_label_47;
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = waveform_element();
}
if (!hasError) {
-
s+=","; s+=s1;
}
-
}
- end_label_46: ;
+ end_label_47: ;
}
if (!hasError) {
-
return s;
}
-
break;
}
- case UNAFFECTED_T:{if (!hasError) {
-
+ case UNAFFECTED_T:{
+ if (!hasError) {
jj_consume_token(UNAFFECTED_T);
}
if (!hasError) {
-
return " unaffected ";
}
-
break;
}
default:
- jj_la1[240] = jj_gen;
+ jj_la1[245] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10730,80 +9474,67 @@ assert(false);
}
-QCString VhdlParser::waveform_element() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::waveform_element() {QCString s,s1;
+ if (!hasError) {
s = expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case AFTER_T:{if (!hasError) {
-
+ case AFTER_T:{
+ if (!hasError) {
jj_consume_token(AFTER_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s1.prepend(" after ");
}
-
break;
}
default:
- jj_la1[241] = jj_gen;
+ jj_la1[246] = jj_gen;
;
}
}
-
return s+s1;
assert(false);
}
-QCString VhdlParser::protected_type_body() {if (!hasError) {
-
+QCString VhdlParser::protected_type_body() {
+ if (!hasError) {
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
if (!hasError) {
-
protected_type_body_declarative_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[242] = jj_gen;
+ jj_la1[247] = jj_gen;
;
}
}
-
return "";
assert(false);
}
@@ -10814,104 +9545,91 @@ void VhdlParser::protected_type_body_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
subprogram_body();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
default:
- jj_la1[243] = jj_gen;
- if (jj_2_105(2147483647)) {if (!hasError) {
-
+ jj_la1[248] = jj_gen;
+ if (jj_2_112(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
- jj_la1[244] = jj_gen;
- if (jj_2_106(3)) {if (!hasError) {
-
+ jj_la1[249] = jj_gen;
+ if (jj_2_113(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
- jj_la1[245] = jj_gen;
+ jj_la1[250] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -10922,8 +9640,8 @@ void VhdlParser::protected_type_body_declarative_item() {
}
-void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
-
+void VhdlParser::protected_type_body_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -10945,60 +9663,51 @@ void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[246] = jj_gen;
- goto end_label_47;
- }if (!hasError) {
-
+ jj_la1[251] = jj_gen;
+ goto end_label_48;
+ }
+ if (!hasError) {
protected_type_body_declarative_item();
}
-
}
- end_label_47: ;
+ end_label_48: ;
}
-
}
-QCString VhdlParser::protected_type_declaration() {if (!hasError) {
-
+QCString VhdlParser::protected_type_declaration() {
+ if (!hasError) {
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
protected_type_declarative_part();
}
-
} catch ( ...) {
outlineParser()->error_skipto(END_T);
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[247] = jj_gen;
+ jj_la1[252] = jj_gen;
;
}
}
-
return "";
assert(false);
}
@@ -11009,37 +9718,34 @@ void VhdlParser::protected_type_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_specification();
}
-
break;
}
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
- jj_la1[248] = jj_gen;
+ jj_la1[253] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-void VhdlParser::protected_type_declarative_part() {if (!hasError) {
-
+void VhdlParser::protected_type_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ATTRIBUTE_T:
@@ -11052,60 +9758,50 @@ void VhdlParser::protected_type_declarative_part() {if (!hasError) {
break;
}
default:
- jj_la1[249] = jj_gen;
- goto end_label_48;
- }if (!hasError) {
-
+ jj_la1[254] = jj_gen;
+ goto end_label_49;
+ }
+ if (!hasError) {
protected_type_declarative_item();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
- end_label_48: ;
+ end_label_49: ;
}
-
}
-QCString VhdlParser::context_ref() {QCString s;if (!hasError) {
-
+QCString VhdlParser::context_ref() {QCString s;
+ if (!hasError) {
jj_consume_token(CONTEXT_T);
}
if (!hasError) {
-
- s = identifier_list();
+ s = name();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "context "+s ;
assert(false);
}
-void VhdlParser::context_declaration() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::context_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(CONTEXT_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
m_sharedState->parse_sec=CONTEXT_SEC;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONTEXT_T:
@@ -11115,56 +9811,48 @@ m_sharedState->parse_sec=CONTEXT_SEC;
break;
}
default:
- jj_la1[250] = jj_gen;
- goto end_label_49;
- }if (!hasError) {
-
+ jj_la1[255] = jj_gen;
+ goto end_label_50;
+ }
+ if (!hasError) {
s1 = libustcont_stats();
}
-
}
- end_label_49: ;
+ end_label_50: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONTEXT_T:{if (!hasError) {
-
+ case CONTEXT_T:{
+ if (!hasError) {
jj_consume_token(CONTEXT_T);
}
-
break;
}
default:
- jj_la1[251] = jj_gen;
+ jj_la1[256] = jj_gen;
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[252] = jj_gen;
+ jj_la1[257] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
m_sharedState->parse_sec=0;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(LIBRARY_T),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,"context",s1.data(),Public);
}
@@ -11172,41 +9860,35 @@ m_sharedState->parse_sec=0;
QCString VhdlParser::libustcont_stats() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
s = use_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case LIBRARY_T:{if (!hasError) {
-
+ case LIBRARY_T:{
+ if (!hasError) {
s = library_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONTEXT_T:{if (!hasError) {
-
+ case CONTEXT_T:{
+ if (!hasError) {
s = context_ref();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[253] = jj_gen;
+ jj_la1[258] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -11214,141 +9896,116 @@ assert(false);
}
-void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
-
+void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(NEW_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s2 = signature();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
-
break;
}
default:
- jj_la1[254] = jj_gen;
+ jj_la1[259] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString q=" is new "+s1+s2;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(PACKAGE_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"package",q.data(),Public);
}
-QCString VhdlParser::interface_package_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::interface_package_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(NEW_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
-
break;
}
default:
- jj_la1[255] = jj_gen;
+ jj_la1[260] = jj_gen;
;
}
}
-
m_sharedState->current->name=s;
return "package "+s+" is new "+s1;
assert(false);
}
-QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(NEW_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s2 = signature();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
-
break;
}
default:
- jj_la1[256] = jj_gen;
+ jj_la1[261] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString q= " is new "+s1+s2;
outlineParser()->addVhdlType(s.data(),outlineParser()->getLine(FUNCTION_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"function ",q.data(),Public);
return q;
@@ -11356,199 +10013,154 @@ assert(false);
}
-void VhdlParser::gen_assoc_list() {if (!hasError) {
-
+void VhdlParser::gen_assoc_list() {
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(MAP_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
association_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
-void VhdlParser::gen_interface_list() {if (!hasError) {
-
+void VhdlParser::gen_interface_list() {
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
//int u=s_str.iLine;
m_sharedState->parse_sec=GEN_SEC;
}
if (!hasError) {
-
interface_list();
}
if (!hasError) {
-
// QCString vo=$3;
m_sharedState->parse_sec=0;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
-void VhdlParser::case_scheme() {if (!hasError) {
-
+void VhdlParser::case_scheme() {
+ if (!hasError) {
jj_consume_token(CASE_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
when_stats();
}
if (!hasError) {
-
- if (jj_2_107(3)) {if (!hasError) {
-
+ if (jj_2_114(3)) {
+ if (!hasError) {
ttend();
}
-
} else {
;
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
generate_statement_body();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::when_stats() {if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+void VhdlParser::when_stats() {
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
- if (jj_2_108(2)) {if (!hasError) {
-
+ if (jj_2_115(2)) {
+ if (!hasError) {
label();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
if (!hasError) {
-
generate_statement_body();
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case WHEN_T:{
;
break;
}
default:
- jj_la1[257] = jj_gen;
- goto end_label_50;
+ jj_la1[262] = jj_gen;
+ goto end_label_51;
}
}
- end_label_50: ;
+ end_label_51: ;
}
-
}
-void VhdlParser::ttend() {if (!hasError) {
-
+void VhdlParser::ttend() {
+ if (!hasError) {
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
- jj_la1[258] = jj_gen;
+ jj_la1[263] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::generate_statement_body() {if (!hasError) {
-
- jj_consume_token(BEGIN_T);
- }
+void VhdlParser::generate_statement_body() {
if (!hasError) {
-
- generate_statement_body();
- }
-
-}
-
-
-void VhdlParser::generate_statement_body1() {if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
case ATTRIBUTE_T:
@@ -11568,35 +10180,31 @@ void VhdlParser::generate_statement_body1() {if (!hasError) {
case SUBTYPE_T:
case TYPE_T:
case USE_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
while (!hasError) {
- if (jj_2_109(2147483647)) {
+ if (jj_2_116(2147483647)) {
;
} else {
- goto end_label_51;
- }if (!hasError) {
-
+ goto end_label_52;
+ }
+ if (!hasError) {
block_declarative_item();
}
-
}
- end_label_51: ;
+ end_label_52: ;
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
-
break;
}
default:
- jj_la1[259] = jj_gen;
+ jj_la1[264] = jj_gen;
;
}
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -11614,45 +10222,134 @@ void VhdlParser::generate_statement_body1() {if (!hasError) {
break;
}
default:
- jj_la1[260] = jj_gen;
- goto end_label_52;
- }if (!hasError) {
-
+ jj_la1[265] = jj_gen;
+ goto end_label_53;
+ }
+ if (!hasError) {
concurrent_statement();
}
-
}
- end_label_52: ;
+ end_label_53: ;
+ }
+}
+
+
+void VhdlParser::generate_statement_body1() {
+ if (!hasError) {
+ generate_statement_body();
+ }
+ if (!hasError) {
+ generate_scheme_1();
+ }
+ if (!hasError) {
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case ELSE_T:{
+ if (!hasError) {
+ generate_scheme_2();
+ }
+ break;
+ }
+ default:
+ jj_la1[266] = jj_gen;
+ ;
+ }
+ }
+}
+
+
+void VhdlParser::generate_scheme_1() {
+ if (!hasError) {
+ while (!hasError) {
+ switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
+ case ELSIF_T:{
+ ;
+ break;
+ }
+ default:
+ jj_la1[267] = jj_gen;
+ goto end_label_54;
+ }
+ if (!hasError) {
+ generate_scheme_3();
+ }
+ }
+ end_label_54: ;
+ }
+}
+
+
+void VhdlParser::generate_scheme_2() {
+ if (!hasError) {
+ jj_consume_token(ELSE_T);
+ }
+ if (!hasError) {
+ if (jj_2_117(2)) {
+ if (!hasError) {
+ identifier();
+ }
+ if (!hasError) {
+ jj_consume_token(COLON_T);
+ }
+ } else {
+ ;
+ }
+ }
+ if (!hasError) {
+ jj_consume_token(GENERATE_T);
+ }
+ if (!hasError) {
+ generate_statement_body();
}
-
}
-QCString VhdlParser::external_name() {QCString s,s1,s2;if (!hasError) {
-
+void VhdlParser::generate_scheme_3() {
+ if (!hasError) {
+ jj_consume_token(ELSIF_T);
+ }
+ if (!hasError) {
+ if (jj_2_118(2)) {
+ if (!hasError) {
+ identifier();
+ }
+ if (!hasError) {
+ jj_consume_token(COLON_T);
+ }
+ } else {
+ ;
+ }
+ }
+ if (!hasError) {
+ expression();
+ }
+ if (!hasError) {
+ jj_consume_token(GENERATE_T);
+ }
+ if (!hasError) {
+ generate_statement_body();
+ }
+}
+
+
+QCString VhdlParser::external_name() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(SLSL_T);
}
if (!hasError) {
-
s = sig_stat();
}
if (!hasError) {
-
s1 = external_pathname();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s2 = subtype_indication();
}
if (!hasError) {
-
jj_consume_token(RSRS_T);
}
-
QCString t="<<"+s;
QCString t1=s1+":"+s2+">>";
return s+s1;
@@ -11662,41 +10359,35 @@ assert(false);
QCString VhdlParser::sig_stat() {Token *t;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
t = jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
return t->image.data();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
t = jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
return t->image.data();
}
-
break;
}
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
t = jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return t->image.data();
}
-
break;
}
default:
- jj_la1[261] = jj_gen;
+ jj_la1[268] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -11706,41 +10397,35 @@ assert(false);
QCString VhdlParser::external_pathname() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOT_T:{if (!hasError) {
-
+ case DOT_T:{
+ if (!hasError) {
s = absolute_pathname();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case NEG_T:{if (!hasError) {
-
+ case NEG_T:{
+ if (!hasError) {
s = relative_pathname();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case AT_T:{if (!hasError) {
-
+ case AT_T:{
+ if (!hasError) {
s = package_path_name();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[262] = jj_gen;
+ jj_la1[269] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -11749,42 +10434,35 @@ assert(false);
QCString VhdlParser::absolute_pathname() {QCString s,s1;
- if (jj_2_110(2147483647)) {if (!hasError) {
-
+ if (jj_2_119(2147483647)) {
+ if (!hasError) {
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s = pathname_element_list();
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
return "."+s+s1;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOT_T:{if (!hasError) {
-
+ case DOT_T:{
+ if (!hasError) {
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
return "."+s;
}
-
break;
}
default:
- jj_la1[263] = jj_gen;
+ jj_la1[270] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -11793,92 +10471,79 @@ assert(false);
}
-QCString VhdlParser::relative_pathname() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::relative_pathname() {QCString s,s1,s2;
+ if (!hasError) {
s = neg_list();
}
if (!hasError) {
-
- if (jj_2_111(2147483647)) {if (!hasError) {
-
+ if (jj_2_120(2147483647)) {
+ if (!hasError) {
s1 = pathname_element_list();
}
-
} else {
;
}
}
if (!hasError) {
-
s2 = identifier();
}
-
return s+s1+s2;
assert(false);
}
-QCString VhdlParser::neg_list() {QCString s;if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+QCString VhdlParser::neg_list() {QCString s;
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
jj_consume_token(NEG_T);
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s+="^.";
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case NEG_T:{
;
break;
}
default:
- jj_la1[264] = jj_gen;
- goto end_label_53;
+ jj_la1[271] = jj_gen;
+ goto end_label_55;
}
}
- end_label_53: ;
+ end_label_55: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::pathname_element() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::pathname_element() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
- jj_la1[265] = jj_gen;
+ jj_la1[272] = jj_gen;
;
}
}
-
if(!s1.isEmpty())
return s+"("+s1+")";
@@ -11887,85 +10552,73 @@ assert(false);
}
-QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;if (!hasError) {
+QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;
+ if (!hasError) {
if (!hasError) {
-
s = pathname_element();
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
-
}
if (!hasError) {
-
s+=".";
}
if (!hasError) {
-
while (!hasError) {
- if (jj_2_112(2147483647)) {
+ if (jj_2_121(2147483647)) {
;
} else {
- goto end_label_54;
- }if (!hasError) {
-
+ goto end_label_56;
+ }
+ if (!hasError) {
s1 = pathname_element();
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s2+=s1;s2+=".";
}
-
}
- end_label_54: ;
+ end_label_56: ;
}
-
return s+s2;
assert(false);
}
-QCString VhdlParser::package_path_name() {QCString s;if (!hasError) {
-
+QCString VhdlParser::package_path_name() {QCString s;
+ if (!hasError) {
jj_consume_token(AT_T);
}
if (!hasError) {
-
s = name();
}
-
return "@"+s;
assert(false);
}
void VhdlParser::conditional_signal_assignment_wave() {
- if (jj_2_113(2147483647)) {if (!hasError) {
-
+ if (jj_2_122(2147483647)) {
+ if (!hasError) {
conditional_force_assignment();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LPAREN_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
conditional_waveform_assignment();
}
-
break;
}
default:
- jj_la1[266] = jj_gen;
+ jj_la1[273] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -11973,144 +10626,129 @@ void VhdlParser::conditional_signal_assignment_wave() {
}
-void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
-
+void VhdlParser::conditional_waveform_assignment() {
+ if (!hasError) {
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
delay_mechanism();
}
-
break;
}
default:
- jj_la1[267] = jj_gen;
+ jj_la1[274] = jj_gen;
;
}
}
if (!hasError) {
-
waveform_element();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ELSE_T:{if (!hasError) {
-
+ case ELSE_T:{
+ if (!hasError) {
else_wave_list();
}
-
break;
}
default:
- jj_la1[268] = jj_gen;
+ jj_la1[275] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::else_wave_list() {if (!hasError) {
-
+void VhdlParser::else_wave_list() {
+ if (!hasError) {
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
expression();
}
-
break;
}
default:
- jj_la1[269] = jj_gen;
+ jj_la1[276] = jj_gen;
;
}
}
-
}
-void VhdlParser::conditional_force_assignment() {if (!hasError) {
-
+void VhdlParser::conditional_force_assignment() {
+ if (!hasError) {
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
jj_consume_token(FORCE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IN_T:
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
inout_stat();
}
-
break;
}
default:
- jj_la1[270] = jj_gen;
+ jj_la1[277] = jj_gen;
;
}
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
+ case AND_T:
+ case NAND_T:
case NEW_T:
+ case NOR_T:
case NOT_T:
case NULL_T:
+ case OR_T:
+ case XOR_T:
+ case XNOR_T:
case LPAREN_T:
case PLUS_T:
case MINUS_T:
case SLSL_T:
+ case QQ_T:
case INTEGER:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
@@ -12118,47 +10756,41 @@ void VhdlParser::conditional_force_assignment() {if (!hasError) {
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
expression();
}
if (!hasError) {
-
else_stat();
}
-
break;
}
default:
- jj_la1[271] = jj_gen;
+ jj_la1[278] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
void VhdlParser::selected_signal_assignment_wave() {
- if (jj_2_114(2147483647)) {if (!hasError) {
-
+ if (jj_2_123(2147483647)) {
+ if (!hasError) {
selected_force_assignment();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WITH_T:{if (!hasError) {
-
+ case WITH_T:{
+ if (!hasError) {
selected_waveform_assignment();
}
-
break;
}
default:
- jj_la1[272] = jj_gen;
+ jj_la1[279] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -12166,69 +10798,59 @@ void VhdlParser::selected_signal_assignment_wave() {
}
-void VhdlParser::selected_variable_assignment() {if (!hasError) {
-
+void VhdlParser::selected_variable_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{if (!hasError) {
-
+ case Q_T:{
+ if (!hasError) {
jj_consume_token(Q_T);
}
-
break;
}
default:
- jj_la1[273] = jj_gen;
+ jj_la1[280] = jj_gen;
;
}
}
if (!hasError) {
-
select_name();
}
if (!hasError) {
-
jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
sel_var_list();
}
-
}
void VhdlParser::select_name() {
- if (jj_2_115(2147483647)) {if (!hasError) {
-
+ if (jj_2_124(2147483647)) {
+ if (!hasError) {
aggregate();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
- jj_la1[274] = jj_gen;
+ jj_la1[281] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -12236,231 +10858,193 @@ void VhdlParser::select_name() {
}
-void VhdlParser::selected_waveform_assignment() {if (!hasError) {
-
+void VhdlParser::selected_waveform_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{if (!hasError) {
-
+ case Q_T:{
+ if (!hasError) {
jj_consume_token(Q_T);
}
-
break;
}
default:
- jj_la1[275] = jj_gen;
+ jj_la1[282] = jj_gen;
;
}
}
if (!hasError) {
-
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
delay_mechanism();
}
-
break;
}
default:
- jj_la1[276] = jj_gen;
+ jj_la1[283] = jj_gen;
;
}
}
if (!hasError) {
-
sel_wave_list();
}
-
}
-void VhdlParser::selected_force_assignment() {if (!hasError) {
-
+void VhdlParser::selected_force_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{if (!hasError) {
-
+ case Q_T:{
+ if (!hasError) {
jj_consume_token(Q_T);
}
-
break;
}
default:
- jj_la1[277] = jj_gen;
+ jj_la1[284] = jj_gen;
;
}
}
if (!hasError) {
-
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
jj_consume_token(FORCE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IN_T:
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
inout_stat();
}
-
break;
}
default:
- jj_la1[278] = jj_gen;
+ jj_la1[285] = jj_gen;
;
}
}
if (!hasError) {
-
sel_var_list();
}
-
}
-void VhdlParser::sel_var_list() {if (!hasError) {
+void VhdlParser::sel_var_list() {
+ if (!hasError) {
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMMA_T:{if (!hasError) {
-
+ case COMMA_T:{
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
-
break;
}
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[279] = jj_gen;
+ jj_la1[286] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
}
if (!hasError) {
-
while (!hasError) {
- if (jj_2_116(2147483647)) {
+ if (jj_2_125(2147483647)) {
;
} else {
- goto end_label_55;
- }if (!hasError) {
-
+ goto end_label_57;
+ }
+ if (!hasError) {
expression();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMMA_T:{if (!hasError) {
-
+ case COMMA_T:{
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
-
break;
}
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
break;
}
default:
- jj_la1[280] = jj_gen;
+ jj_la1[287] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
}
- end_label_55: ;
+ end_label_57: ;
}
-
}
-void VhdlParser::sel_wave_list() {if (!hasError) {
-
+void VhdlParser::sel_wave_list() {
+ if (!hasError) {
waveform_element();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -12468,126 +11052,110 @@ void VhdlParser::sel_wave_list() {if (!hasError) {
break;
}
default:
- jj_la1[281] = jj_gen;
- goto end_label_56;
- }if (!hasError) {
-
+ jj_la1[288] = jj_gen;
+ goto end_label_58;
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
sel_wave_list();
}
-
}
- end_label_56: ;
+ end_label_58: ;
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
void VhdlParser::inout_stat() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IN_T:{if (!hasError) {
-
+ case IN_T:{
+ if (!hasError) {
jj_consume_token(IN_T);
}
-
break;
}
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
jj_consume_token(OUT_T);
}
-
break;
}
default:
- jj_la1[282] = jj_gen;
+ jj_la1[289] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-void VhdlParser::else_stat() {if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+void VhdlParser::else_stat() {
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
expression();
}
-
break;
}
default:
- jj_la1[283] = jj_gen;
+ jj_la1[290] = jj_gen;
;
}
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ELSE_T:{
;
break;
}
default:
- jj_la1[284] = jj_gen;
- goto end_label_57;
+ jj_la1[291] = jj_gen;
+ goto end_label_59;
}
}
- end_label_57: ;
+ end_label_59: ;
}
-
}
QCString VhdlParser::interface_subprogram_declaration() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
s = iproc();
}
if (!hasError) {
-
return s;
}
-
break;
}
case FUNCTION_T:
case IMPURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
s = ifunc();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
- jj_la1[285] = jj_gen;
+ jj_la1[292] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
@@ -12595,117 +11163,101 @@ assert(false);
}
-QCString VhdlParser::iproc() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::iproc() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
s1 = param();
}
-
m_sharedState->current->name=s;
return "procedure "+s+s1;
assert(false);
}
-QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;if (!hasError) {
-
+QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IMPURE_T:
case PURE_T:{
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
t = jj_consume_token(PURE_T);
}
-
break;
}
- case IMPURE_T:{if (!hasError) {
-
+ case IMPURE_T:{
+ if (!hasError) {
t = jj_consume_token(IMPURE_T);
}
-
break;
}
default:
- jj_la1[286] = jj_gen;
+ jj_la1[293] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
break;
}
default:
- jj_la1[287] = jj_gen;
+ jj_la1[294] = jj_gen;
;
}
}
if (!hasError) {
-
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
s1 = param();
}
if (!hasError) {
-
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
s2 = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
t1 = jj_consume_token(IS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s3 = identifier();
}
-
break;
}
- case BOX_T:{if (!hasError) {
-
+ case BOX_T:{
+ if (!hasError) {
t2 = jj_consume_token(BOX_T);
}
-
break;
}
default:
- jj_la1[288] = jj_gen;
+ jj_la1[295] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
break;
}
default:
- jj_la1[289] = jj_gen;
+ jj_la1[296] = jj_gen;
;
}
}
-
QCString q;
if(t) q=t->image.data();
if(t2) s3="<>";
@@ -12728,49 +11280,42 @@ assert(false);
}
-QCString VhdlParser::param() {QCString s,s1;Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::param() {QCString s,s1;Token *tok=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PARAMETER_T:{if (!hasError) {
-
+ case PARAMETER_T:{
+ if (!hasError) {
tok = jj_consume_token(PARAMETER_T);
}
-
break;
}
default:
- jj_la1[290] = jj_gen;
+ jj_la1[297] = jj_gen;
;
}
}
if (!hasError) {
-
m_sharedState->param_sec=PARAM_SEC;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = interface_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
- jj_la1[291] = jj_gen;
+ jj_la1[298] = jj_gen;
;
}
}
-
if(tok)
{
s = tok->image.data();
@@ -12786,61 +11331,57 @@ void VhdlParser::parseInline() {
case POSTPONED_T:
case PROCESS_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
process_statement();
}
-
break;
}
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
default:
- jj_la1[292] = jj_gen;
+ jj_la1[299] = jj_gen;
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
- VhdlParser::VhdlParser(TokenManager *tm){
- head = NULL;
- errorHandlerCreated = false;
- ReInit(tm);
+ VhdlParser::VhdlParser(TokenManager *tokenManager){
+ head = nullptr;
+ ReInit(tokenManager);
}
VhdlParser::~VhdlParser()
{
clear();
}
-void VhdlParser::ReInit(TokenManager *tm){
+void VhdlParser::ReInit(TokenManager* tokenManager){
clear();
errorHandler = new ErrorHandler();
- errorHandlerCreated = true;
hasError = false;
- token_source = tm;
+ token_source = tokenManager;
head = token = new Token();
token->kind = 0;
- token->next = NULL;
+ token->next = nullptr;
jj_lookingAhead = false;
jj_rescan = false;
jj_done = false;
- jj_scanpos = jj_lastpos = NULL;
+ jj_scanpos = jj_lastpos = nullptr;
jj_gc = 0;
jj_kind = -1;
- trace_indent = 0;
- trace_enabled = false;
+ indent = 0;
+ trace = false;
jj_ntk = -1;
jj_gen = 0;
- for (int i = 0; i < 293; i++) jj_la1[i] = -1;
+ for (int i = 0; i < 300; i++) jj_la1[i] = -1;
}
@@ -12856,25 +11397,25 @@ void VhdlParser::clear(){
t = next;
}
}
- if (errorHandlerCreated) {
- delete errorHandler;
+ if (errorHandler) {
+ delete errorHandler, errorHandler = nullptr;
}
}
Token * VhdlParser::jj_consume_token(int kind) {
Token *oldToken;
- if ((oldToken = token)->next != NULL) token = token->next;
+ if ((oldToken = token)->next != nullptr) token = token->next;
else token = token->next = token_source->getNextToken();
jj_ntk = -1;
if (token->kind == kind) {
jj_gen++;
if (++jj_gc > 100) {
jj_gc = 0;
- for (int i = 0; i < 116; i++) {
+ for (int i = 0; i < 125; i++) {
JJCalls *c = &jj_2_rtns[i];
- while (c != NULL) {
- if (c->gen < jj_gen) c->first = NULL;
+ while (c != nullptr) {
+ if (c->gen < jj_gen) c->first = nullptr;
c = c->next;
}
}
@@ -12883,7 +11424,7 @@ Token * VhdlParser::jj_consume_token(int kind) {
}
token = oldToken;
jj_kind = kind;
- JAVACC_STRING_TYPE image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
+ JJString image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
errorHandler->handleUnexpectedToken(kind, image.substr(1, image.size() - 2), getToken(1), this);
hasError = true;
return token;
@@ -12893,7 +11434,7 @@ Token * VhdlParser::jj_consume_token(int kind) {
bool VhdlParser::jj_scan_token(int kind){
if (jj_scanpos == jj_lastpos) {
jj_la--;
- if (jj_scanpos->next == NULL) {
+ if (jj_scanpos->next == nullptr) {
jj_lastpos = jj_scanpos = jj_scanpos->next = token_source->getNextToken();
} else {
jj_lastpos = jj_scanpos = jj_scanpos->next;
@@ -12903,8 +11444,8 @@ bool VhdlParser::jj_scan_token(int kind){
}
if (jj_rescan) {
int i = 0; Token *tok = token;
- while (tok != NULL && tok != jj_scanpos) { i++; tok = tok->next; }
- if (tok != NULL) jj_add_error_token(kind, i);
+ while (tok != nullptr && tok != jj_scanpos) { i++; tok = tok->next; }
+ if (tok != nullptr) jj_add_error_token(kind, i);
}
if (jj_scanpos->kind != kind) return true;
if (jj_la == 0 && jj_scanpos == jj_lastpos) { return jj_done = true; }
@@ -12915,7 +11456,7 @@ bool VhdlParser::jj_scan_token(int kind){
/** Get the next Token. */
Token * VhdlParser::getNextToken(){
- if (token->next != NULL) token = token->next;
+ if (token->next != nullptr) token = token->next;
else token = token->next = token_source->getNextToken();
jj_ntk = -1;
jj_gen++;
@@ -12927,7 +11468,7 @@ Token * VhdlParser::getNextToken(){
Token * VhdlParser::getToken(int index){
Token *t = token;
for (int i = 0; i < index; i++) {
- if (t->next != NULL) t = t->next;
+ if (t->next != nullptr) t = t->next;
else t = t->next = token_source->getNextToken();
}
return t;
@@ -12935,7 +11476,7 @@ Token * VhdlParser::getToken(int index){
int VhdlParser::jj_ntk_f(){
- if ((jj_nt=token->next) == NULL)
+ if ((jj_nt=token->next) == nullptr)
return (jj_ntk = (token->next=token_source->getNextToken())->kind);
else
return (jj_ntk = jj_nt->kind);
@@ -12951,6 +11492,11 @@ int VhdlParser::jj_ntk_f(){
}
+ bool VhdlParser::trace_enabled() {
+ return trace;
+ }
+
+
void VhdlParser::enable_tracing() {
}
@@ -12960,7 +11506,7 @@ int VhdlParser::jj_ntk_f(){
void VhdlParser::jj_rescan_token(){
jj_rescan = true;
- for (int i = 0; i < 116; i++) {
+ for (int i = 0; i < 125; i++) {
JJCalls *p = &jj_2_rtns[i];
do {
if (p->gen > jj_gen) {
@@ -13082,10 +11628,19 @@ int VhdlParser::jj_ntk_f(){
case 113: jj_3_114(); break;
case 114: jj_3_115(); break;
case 115: jj_3_116(); break;
+ case 116: jj_3_117(); break;
+ case 117: jj_3_118(); break;
+ case 118: jj_3_119(); break;
+ case 119: jj_3_120(); break;
+ case 120: jj_3_121(); break;
+ case 121: jj_3_122(); break;
+ case 122: jj_3_123(); break;
+ case 123: jj_3_124(); break;
+ case 124: jj_3_125(); break;
}
}
p = p->next;
- } while (p != NULL);
+ } while (p != nullptr);
}
jj_rescan = false;
}
@@ -13094,7 +11649,7 @@ int VhdlParser::jj_ntk_f(){
void VhdlParser::jj_save(int index, int xla){
JJCalls *p = &jj_2_rtns[index];
while (p->gen > jj_gen) {
- if (p->next == NULL) { p = p->next = new JJCalls(); break; }
+ if (p->next == nullptr) { p = p->next = new JJCalls(); break; }
p = p->next;
}
p->gen = jj_gen + xla - jj_la; p->first = token; p->arg = xla;
diff --git a/vhdlparser/VhdlParser.h b/vhdlparser/VhdlParser.h
index f2948a3..2e93e9b 100644
--- a/vhdlparser/VhdlParser.h
+++ b/vhdlparser/VhdlParser.h
@@ -4,9 +4,7 @@
#include "CharStream.h"
#include "Token.h"
#include "TokenManager.h"
-#include "VhdlParserTokenManager.h"
#include "vhdljjparser.h"
-
#include "VhdlParserConstants.h"
#include "ErrorHandler.h"
namespace vhdl {
@@ -17,566 +15,293 @@ namespace parser {
JJCalls* next;
Token* first;
~JJCalls() { if (next) delete next; }
- JJCalls() { next = NULL; arg = 0; gen = -1; first = NULL; }
+ JJCalls() { next = nullptr; arg = 0; gen = -1; first = nullptr; }
};
class VhdlParser {
- public:
-
+public:
QCString abstract_literal();
-
QCString access_type_definition();
-
QCString actual_designator();
-
QCString actual_parameter_part();
-
QCString actual_part();
-
QCString adding_operator();
-
QCString aggregate();
-
QCString alias_declaration();
-
QCString alias_designator();
-
void allocator();
-
void architecture_body();
-
void architecture_declarative_part();
-
void architecture_statement_part();
-
QCString array_type_definition();
-
QCString assertion();
-
QCString assertion_statement();
-
QCString association_element();
-
QCString association_list();
-
QCString attribute_declaration();
-
QCString attribute_designator();
-
QCString attribute_name();
-
QCString attribute_specification();
-
QCString base();
-
QCString base_specifier();
-
QCString base_unit_declaration();
-
QCString based_integer();
-
QCString based_literal();
-
QCString basic_identifier();
-
void binding_indication();
-
QCString bit_string_literal();
-
QCString bit_value();
-
void block_configuration();
-
void block_declarative_item();
-
void block_declarative_part();
-
void block_header();
-
void block_specification();
-
void block_statement();
-
void block_statement_part();
-
void case_statement();
-
void case_statement_alternative();
-
QCString character_literal();
-
QCString choice();
-
QCString choices();
-
void component_configuration();
-
void component_declaration();
-
void component_instantiation_statement();
-
void component_specification();
-
QCString composite_type_definition();
-
void concurrent_assertion_statement();
-
void concurrent_procedure_call_statement();
-
void concurrent_signal_assignment_statement();
-
void concurrent_statement();
-
QCString condition();
-
QCString condition_clause();
-
void conditional_signal_assignment();
-
void conditional_waveforms();
-
void configuration_declaration();
-
void configuration_declarative_item();
-
void configuration_declarative_part();
-
void configuration_item();
-
void configuration_specification();
-
QCString constant_declaration();
-
QCString constraint_array_definition();
-
void context_clause();
-
QCString constraint();
-
void context_item();
-
QCString decimal_literal();
-
QCString delay_mechanism();
-
void design_file();
-
void design_unit();
-
QCString designator();
-
QCString direction();
-
void disconnection_specification();
-
void guarded_signal_specificatio();
-
QCString discrete_range();
-
QCString element_association();
-
QCString element_declaration();
-
QCString entity_aspect();
-
QCString entity_class();
-
QCString entity_class_entry();
-
QCString entity_class_entry_list();
-
void entity_declaration();
-
void entity_declarative_item();
-
void entity_declarative_part();
-
QCString entity_designator();
-
void entity_header();
-
QCString entity_name_list();
-
QCString entity_specification();
-
void entity_statement();
-
void entity_statement_part();
-
QCString entity_tag();
-
QCString enumeration_literal();
-
QCString enumeration_type_definition();
-
QCString exit_statement();
-
QCString expression();
-
QCString logop();
-
QCString extended_identifier();
-
QCString factor();
-
QCString file_declaration();
-
QCString file_logical_name();
-
QCString file_open_information();
-
QCString file_type_definition();
-
QCString floating_type_definition();
-
QCString formal_designator();
-
QCString formal_parameter_list();
-
QCString formal_part();
-
QCString full_type_declaration();
-
QCString function_call();
-
void generate_statement();
-
void generate_scheme();
-
void generic_clause();
-
QCString generic_list();
-
void generic_map_aspect();
-
QCString group_constituent();
-
QCString group_constituent_list();
-
QCString group_declaration();
-
QCString group_template_declaration();
-
void guarded_signal_specification();
-
QCString identifier();
-
QCString identifier_list();
-
void if_statement();
-
QCString incomplete_type_declaration();
-
QCString index_constraint();
-
QCString index_specification();
-
QCString index_subtype_definition();
-
QCString instantiation_unit();
-
QCString instantiation_list();
-
QCString integer();
-
QCString integer_type_definition();
-
QCString interface_declaration();
-
QCString interface_element();
-
QCString interface_file_declaration();
-
QCString interface_list();
-
QCString interface_variable_declaration();
-
QCString iteration_scheme();
-
QCString label();
-
QCString library_clause();
-
QCString library_unit();
-
QCString literal();
-
QCString logical_operator();
-
QCString loop_statement();
-
QCString miscellaneous_operator();
-
QCString mode();
-
QCString multiplying_operation();
-
QCString name();
-
QCString name_ext1();
-
QCString name_ext();
-
QCString test_att_name();
-
QCString indexed_name();
-
QCString next_statement();
-
QCString null_statement();
-
QCString numeric_literal();
-
QCString object_class();
-
QCString operator_symbol();
-
void options();
-
void package_body();
-
void package_body_declarative_item();
-
void package_body_declarative_part();
-
+void package_header();
void package_declaration();
-
void geninter();
-
void package_declarative_item();
-
void package_declarative_part();
-
QCString parameter_specification();
-
QCString physical_literal();
-
QCString physical_type_definition();
-
void port_clause();
-
QCString port_list();
-
void port_map_aspect();
-
QCString primary();
-
void primary_unit();
-
QCString procedure_call();
-
QCString procedure_call_statement();
-
QCString process_declarative_item();
-
QCString process_declarative_part();
-
void process_statement();
-
void process_statement_part();
-
QCString qualified_expression();
-
QCString range();
-
QCString range_constraint();
-
void record_type_definition();
-
QCString relation();
-
QCString relation_operator();
-
QCString report_statement();
-
QCString return_statement();
-
QCString scalar_type_definition();
-
void secondary_unit();
-
QCString secondary_unit_declaration();
-
QCString selected_name();
-
void selected_signal_assignment();
-
void selected_waveforms();
-
QCString sensitivity_clause();
-
QCString sensitivity_list();
-
QCString sequence_of_statement();
-
QCString sequential_statement();
-
QCString shift_expression();
-
QCString shift_operator();
-
QCString sign();
-
QCString signal_assignment_statement();
-
void semi();
-
void signal_declaration();
-
QCString signal_kind();
-
QCString signal_list();
-
QCString signature();
-
QCString simple_expression();
-
void simple_name();
-
QCString slice_name();
-
QCString string_literal();
-
void subprogram_body();
-
void subprogram_declaration();
-
void subprogram_1();
-
QCString subprogram_declarative_item();
-
QCString subprogram_declarative_part();
-
void subprogram_kind();
-
void subprogram_specification();
-
void subprogram_statement_part();
-
QCString subtype_declaration();
-
+QCString reslution_indication();
QCString subtype_indication();
-
QCString suffix();
-
QCString target();
-
QCString term();
-
QCString timeout_clause();
-
QCString type_conversion();
-
QCString type_declaration();
-
QCString type_definition();
-
QCString type_mark();
-
QCString unconstraint_array_definition();
-
QCString use_clause();
-
QCString variable_assignment_statement();
-
QCString variable_declaration();
-
QCString wait_statement();
-
QCString waveform();
-
QCString waveform_element();
-
QCString protected_type_body();
-
void protected_type_body_declarative_item();
-
void protected_type_body_declarative_part();
-
QCString protected_type_declaration();
-
void protected_type_declarative_item();
-
void protected_type_declarative_part();
-
QCString context_ref();
-
void context_declaration();
-
QCString libustcont_stats();
-
void package_instantiation_declaration();
-
QCString interface_package_declaration();
-
QCString subprogram_instantiation_declaration();
-
void gen_assoc_list();
-
void gen_interface_list();
-
void case_scheme();
-
void when_stats();
-
void ttend();
-
void generate_statement_body();
-
void generate_statement_body1();
-
+void generate_scheme_1();
+void generate_scheme_2();
+void generate_scheme_3();
QCString external_name();
-
QCString sig_stat();
-
QCString external_pathname();
-
QCString absolute_pathname();
-
QCString relative_pathname();
-
QCString neg_list();
-
QCString pathname_element();
-
QCString pathname_element_list();
-
QCString package_path_name();
-
void conditional_signal_assignment_wave();
-
void conditional_waveform_assignment();
-
void else_wave_list();
-
void conditional_force_assignment();
-
void selected_signal_assignment_wave();
-
void selected_variable_assignment();
-
void select_name();
-
void selected_waveform_assignment();
-
void selected_force_assignment();
-
void sel_var_list();
-
void sel_wave_list();
-
void inout_stat();
-
void else_stat();
-
QCString interface_subprogram_declaration();
-
QCString iproc();
-
QCString ifunc();
-
QCString param();
-
void parseInline();
inline bool jj_2_1(int xla)
{
@@ -1506,228 +1231,303 @@ void parseInline();
{ jj_save(115, xla); }
}
- inline bool jj_3R_139()
+ inline bool jj_2_117(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_117() || jj_done);
+ { jj_save(116, xla); }
+ }
+
+ inline bool jj_2_118(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_118() || jj_done);
+ { jj_save(117, xla); }
+ }
+
+ inline bool jj_2_119(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_119() || jj_done);
+ { jj_save(118, xla); }
+ }
+
+ inline bool jj_2_120(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_120() || jj_done);
+ { jj_save(119, xla); }
+ }
+
+ inline bool jj_2_121(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_121() || jj_done);
+ { jj_save(120, xla); }
+ }
+
+ inline bool jj_2_122(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_122() || jj_done);
+ { jj_save(121, xla); }
+ }
+
+ inline bool jj_2_123(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_123() || jj_done);
+ { jj_save(122, xla); }
+ }
+
+ inline bool jj_2_124(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_124() || jj_done);
+ { jj_save(123, xla); }
+ }
+
+ inline bool jj_2_125(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return (!jj_3_125() || jj_done);
+ { jj_save(124, xla); }
+ }
+
+ inline bool jj_3R_144()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_198()
+ inline bool jj_3R_205()
{
if (jj_done) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_443()
+ inline bool jj_3R_465()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_408()) return true;
+ if (jj_3R_427()) return true;
return false;
}
- inline bool jj_3R_197()
+ inline bool jj_3R_204()
{
if (jj_done) return true;
- if (jj_3R_346()) return true;
+ if (jj_3R_365()) return true;
return false;
}
- inline bool jj_3R_367()
+ inline bool jj_3R_387()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_442()) {
+ if (!jj_3R_464()) return false;
jj_scanpos = xsp;
- if (jj_3R_443()) return true;
- }
+ if (jj_3R_465()) return true;
return false;
}
- inline bool jj_3R_442()
+ inline bool jj_3R_464()
{
if (jj_done) return true;
if (jj_scan_token(WHILE_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_3R_82()) return true;
return false;
}
- inline bool jj_3R_400()
+ inline bool jj_3R_419()
{
if (jj_done) return true;
if (jj_scan_token(SEMI_T)) return true;
- if (jj_3R_399()) return true;
+ if (jj_3R_418()) return true;
return false;
}
- inline bool jj_3R_412()
+ inline bool jj_3R_433()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_195()
+ inline bool jj_3R_202()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(116)) {
+ if (!jj_scan_token(116)) return false;
jj_scanpos = xsp;
- if (jj_scan_token(100)) {
+ if (!jj_scan_token(100)) return false;
jj_scanpos = xsp;
- if (jj_scan_token(31)) {
+ if (!jj_scan_token(31)) return false;
jj_scanpos = xsp;
if (jj_scan_token(101)) return true;
- }
- }
- }
return false;
}
- inline bool jj_3R_90()
+ inline bool jj_3R_94()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_195()) jj_scanpos = xsp;
- if (jj_3R_196()) return true;
+ if (jj_3R_202()) jj_scanpos = xsp;
+ if (jj_3R_203()) return true;
if (jj_scan_token(COLON_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_197()) jj_scanpos = xsp;
- if (jj_3R_84()) return true;
+ if (jj_3R_204()) jj_scanpos = xsp;
+ if (jj_3R_87()) return true;
xsp = jj_scanpos;
if (jj_scan_token(27)) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_198()) jj_scanpos = xsp;
+ if (jj_3R_205()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_313()
+ inline bool jj_3R_327()
{
if (jj_done) return true;
- if (jj_3R_399()) return true;
+ if (jj_3R_418()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_400()) { jj_scanpos = xsp; break; }
+ if (jj_3R_419()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_91()
+ inline bool jj_3R_95()
{
if (jj_done) return true;
if (jj_scan_token(FILE_T)) return true;
- if (jj_3R_196()) return true;
+ if (jj_3R_203()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_399()
+ inline bool jj_3R_722()
{
if (jj_done) return true;
- if (jj_3R_490()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3_42()
+ inline bool jj_3R_418()
{
if (jj_done) return true;
- if (jj_3R_92()) return true;
+ if (jj_3R_517()) return true;
return false;
}
- inline bool jj_3R_558()
+ inline bool jj_3_45()
{
if (jj_done) return true;
- if (jj_3R_613()) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_557()
+ inline bool jj_3R_448()
{
if (jj_done) return true;
- if (jj_3R_92()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_722()) return false;
+ jj_scanpos = xsp;
+ if (jj_scan_token(145)) return true;
return false;
}
- inline bool jj_3_41()
+ inline bool jj_3R_578()
{
if (jj_done) return true;
- if (jj_3R_91()) return true;
+ if (jj_3R_639()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3_40()
+ inline bool jj_3R_577()
{
if (jj_done) return true;
- if (jj_3R_90()) return true;
+ if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_556()
+ inline bool jj_3_44()
{
if (jj_done) return true;
- if (jj_3R_107()) return true;
+ if (jj_3R_95()) return true;
return false;
}
- inline bool jj_3_39()
+ inline bool jj_3_43()
{
if (jj_done) return true;
- if (jj_3R_89()) return true;
+ if (jj_3R_94()) return true;
return false;
}
- inline bool jj_3R_490()
+ inline bool jj_3_42()
+ {
+ if (jj_done) return true;
+ if (jj_3R_93()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_517()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_39()) {
+ if (!jj_3_41()) return false;
jj_scanpos = xsp;
- if (jj_3R_556()) {
+ if (!jj_3_42()) return false;
jj_scanpos = xsp;
- if (jj_3_40()) {
+ if (!jj_3_43()) return false;
jj_scanpos = xsp;
- if (jj_3_41()) {
+ if (!jj_3_44()) return false;
jj_scanpos = xsp;
- if (jj_3R_557()) {
+ if (!jj_3R_577()) return false;
jj_scanpos = xsp;
- if (jj_3R_558()) return true;
- }
- }
- }
- }
- }
+ if (jj_3R_578()) return true;
return false;
}
- inline bool jj_3R_180()
+ inline bool jj_3_41()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_67()) return true;
+ if (jj_3R_92()) return true;
return false;
}
- inline bool jj_3R_696()
+ inline bool jj_3R_158()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_71()) return true;
return false;
}
- inline bool jj_3_38()
+ inline bool jj_3_40()
{
if (jj_done) return true;
if (jj_scan_token(BASIC_IDENTIFIER)) return true;
@@ -1735,6055 +1535,6100 @@ void parseInline();
return false;
}
- inline bool jj_3R_415()
+ inline bool jj_3R_436()
{
if (jj_done) return true;
if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_414()
+ inline bool jj_3R_435()
{
if (jj_done) return true;
if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_625()
+ inline bool jj_3R_647()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_331()
+ inline bool jj_3R_346()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_413()) {
+ if (!jj_3R_434()) return false;
jj_scanpos = xsp;
- if (jj_3R_414()) {
+ if (!jj_3R_435()) return false;
jj_scanpos = xsp;
- if (jj_3R_415()) return true;
- }
- }
- return false;
- }
-
- inline bool jj_3R_413()
- {
- if (jj_done) return true;
- if (jj_3R_196()) return true;
+ if (jj_3R_436()) return true;
return false;
}
- inline bool jj_3R_426()
+ inline bool jj_3R_434()
{
if (jj_done) return true;
- if (jj_scan_token(IS_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_696()) {
- jj_scanpos = xsp;
- if (jj_scan_token(145)) return true;
- }
+ if (jj_3R_203()) return true;
return false;
}
- inline bool jj_3R_328()
+ inline bool jj_3R_343()
{
if (jj_done) return true;
if (jj_scan_token(CONFIGURATION_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_327()
+ inline bool jj_3R_342()
{
if (jj_done) return true;
if (jj_scan_token(ENTITY_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_38()) jj_scanpos = xsp;
- if (jj_3R_59()) return true;
+ if (jj_3_40()) jj_scanpos = xsp;
+ if (jj_3R_62()) return true;
xsp = jj_scanpos;
- if (jj_3R_412()) jj_scanpos = xsp;
+ if (jj_3R_433()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_173()
+ inline bool jj_3R_178()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_326()) {
+ if (!jj_3R_341()) return false;
jj_scanpos = xsp;
- if (jj_3R_327()) {
+ if (!jj_3R_342()) return false;
jj_scanpos = xsp;
- if (jj_3R_328()) return true;
- }
- }
+ if (jj_3R_343()) return true;
return false;
}
- inline bool jj_3_37()
+ inline bool jj_3_39()
{
if (jj_done) return true;
- if (jj_3R_67()) return true;
+ if (jj_3R_71()) return true;
return false;
}
- inline bool jj_3R_326()
+ inline bool jj_3R_341()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
if (jj_scan_token(28)) jj_scanpos = xsp;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_154()
+ inline bool jj_3R_747()
{
if (jj_done) return true;
- if (jj_3R_157()) return true;
+ if (jj_3R_160()) return true;
if (jj_scan_token(RANGE_T)) return true;
if (jj_scan_token(BOX_T)) return true;
return false;
}
- inline bool jj_3R_622()
+ inline bool jj_3R_644()
+ {
+ if (jj_done) return true;
+ if (jj_3R_60()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_542()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_327()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_621()
+ inline bool jj_3R_643()
{
if (jj_done) return true;
- if (jj_3R_67()) return true;
+ if (jj_3R_71()) return true;
return false;
}
- inline bool jj_3R_565()
+ inline bool jj_3R_591()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_621()) {
+ if (!jj_3R_643()) return false;
jj_scanpos = xsp;
- if (jj_3R_622()) return true;
- }
+ if (jj_3R_644()) return true;
return false;
}
- inline bool jj_3R_82()
+ inline bool jj_3_125()
+ {
+ if (jj_done) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_446()
+ {
+ if (jj_done) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(77)) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_542()) jj_scanpos = xsp;
+ return false;
+ }
+
+ inline bool jj_3R_64()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_67()) return true;
+ if (jj_3R_71()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_180()) { jj_scanpos = xsp; break; }
+ if (jj_3R_158()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_532()
+ inline bool jj_3R_405()
{
if (jj_done) return true;
- if (jj_scan_token(TYPE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_88()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_scan_token(134)) return false;
+ jj_scanpos = xsp;
+ if (jj_scan_token(137)) return true;
return false;
}
- inline bool jj_3R_624()
+ inline bool jj_3R_553()
{
if (jj_done) return true;
- if (jj_scan_token(ELSE_T)) return true;
- if (jj_3R_259()) return true;
+ if (jj_scan_token(TYPE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_345()
+ inline bool jj_3R_552()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_470()) return true;
return false;
}
- inline bool jj_3R_623()
+ inline bool jj_3R_316()
{
if (jj_done) return true;
- if (jj_scan_token(ELSIF_T)) return true;
- if (jj_3R_79()) return true;
- if (jj_scan_token(THEN_T)) return true;
- if (jj_3R_259()) return true;
+ if (jj_3R_403()) return true;
return false;
}
- inline bool jj_3R_520()
+ inline bool jj_3R_646()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_313()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(ELSE_T)) return true;
+ if (jj_3R_268()) return true;
return false;
}
- inline bool jj_3_116()
+ inline bool jj_3R_567()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_424()
+ inline bool jj_3R_447()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(77)) jj_scanpos = xsp;
+ if (!jj_scan_token(84)) return false;
+ jj_scanpos = xsp;
+ if (jj_scan_token(52)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_363()
+ {
+ if (jj_done) return true;
+ Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_520()) jj_scanpos = xsp;
+ if (jj_3R_447()) jj_scanpos = xsp;
+ if (jj_scan_token(FUNCTION_T)) return true;
+ if (jj_3R_62()) return true;
+ if (jj_3R_446()) return true;
+ if (jj_scan_token(RETURN_T)) return true;
+ if (jj_3R_62()) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_448()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_255()
+ inline bool jj_3R_645()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(ELSIF_T)) return true;
+ if (jj_3R_82()) return true;
+ if (jj_scan_token(THEN_T)) return true;
+ if (jj_3R_268()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_364()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_62()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_362()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(PROCEDURE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_3R_446()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_264()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_120()
+ inline bool jj_3R_201()
+ {
+ if (jj_done) return true;
+ if (jj_3R_363()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_124()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_255()) jj_scanpos = xsp;
+ if (jj_3R_264()) jj_scanpos = xsp;
if (jj_scan_token(IF_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_3R_82()) return true;
if (jj_scan_token(THEN_T)) return true;
- if (jj_3R_259()) return true;
+ if (jj_3R_268()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_623()) { jj_scanpos = xsp; break; }
+ if (jj_3R_645()) { jj_scanpos = xsp; break; }
}
xsp = jj_scanpos;
- if (jj_3R_624()) jj_scanpos = xsp;
+ if (jj_3R_646()) jj_scanpos = xsp;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(IF_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_625()) jj_scanpos = xsp;
+ if (jj_3R_647()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_196()
+ inline bool jj_3R_200()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_345()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_362()) return true;
return false;
}
- inline bool jj_3R_386()
+ inline bool jj_3R_92()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(134)) {
+ if (!jj_3R_200()) return false;
jj_scanpos = xsp;
- if (jj_scan_token(137)) return true;
- }
+ if (jj_3R_201()) return true;
return false;
}
- inline bool jj_3R_164()
+ inline bool jj_3R_203()
{
if (jj_done) return true;
- if (jj_scan_token(BASIC_IDENTIFIER)) return true;
+ if (jj_3R_62()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_364()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_163()
+ inline bool jj_3R_497()
{
if (jj_done) return true;
- if (jj_scan_token(EXTENDED_CHARACTER)) return true;
+ if (jj_scan_token(ELSE_T)) return true;
+ if (jj_3R_60()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_567()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_69()
+ inline bool jj_3R_404()
{
if (jj_done) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_163()) {
- jj_scanpos = xsp;
- if (jj_3R_164()) return true;
+ if (jj_3R_497()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_497()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_545()
+ inline bool jj_3R_170()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_544()) return true;
+ if (jj_scan_token(BASIC_IDENTIFIER)) return true;
return false;
}
- inline bool jj_3R_530()
+ inline bool jj_3R_169()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_448()) return true;
+ if (jj_scan_token(EXTENDED_CHARACTER)) return true;
return false;
}
- inline bool jj_3R_305()
+ inline bool jj_3R_73()
{
if (jj_done) return true;
- if (jj_3R_384()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_169()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_170()) return true;
return false;
}
- inline bool jj_3R_546()
+ inline bool jj_3R_566()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_565()) return true;
return false;
}
- inline bool jj_3R_425()
+ inline bool jj_3R_403()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(84)) {
+ if (!jj_scan_token(53)) return false;
jj_scanpos = xsp;
- if (jj_scan_token(52)) return true;
+ if (jj_scan_token(75)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_470()
+ {
+ if (jj_done) return true;
+ if (jj_3R_467()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_88()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_552()) { jj_scanpos = xsp; break; }
}
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_65()
+ inline bool jj_3R_469()
+ {
+ if (jj_done) return true;
+ if (jj_3R_424()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_67()
{
if (jj_done) return true;
if (jj_scan_token(GROUP_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(IS_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_474()) return true;
+ if (jj_3R_495()) return true;
if (jj_scan_token(RPAREN_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_344()
+ inline bool jj_3R_317()
{
if (jj_done) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_88()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_425()) jj_scanpos = xsp;
- if (jj_scan_token(FUNCTION_T)) return true;
- if (jj_3R_59()) return true;
- if (jj_3R_424()) return true;
- if (jj_scan_token(RETURN_T)) return true;
- if (jj_3R_59()) return true;
+ if (!jj_scan_token(134)) return false;
+ jj_scanpos = xsp;
+ if (jj_scan_token(137)) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_405()) { jj_scanpos = xsp; break; }
+ }
+ return false;
+ }
+
+ inline bool jj_3R_149()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(WITH_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(SELECT_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(155)) jj_scanpos = xsp;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_scan_token(FORCE_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_426()) jj_scanpos = xsp;
+ if (jj_3R_316()) jj_scanpos = xsp;
+ if (jj_3R_317()) return true;
return false;
}
- inline bool jj_3R_383()
+ inline bool jj_3R_402()
{
if (jj_done) return true;
if (jj_scan_token(GROUP_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_475()) return true;
+ if (jj_3R_496()) return true;
if (jj_scan_token(RPAREN_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_343()
+ inline bool jj_3_124()
{
if (jj_done) return true;
- if (jj_scan_token(PROCEDURE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_3R_424()) return true;
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3R_475()
+ inline bool jj_3R_496()
{
if (jj_done) return true;
- if (jj_3R_544()) return true;
+ if (jj_3R_565()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_545()) { jj_scanpos = xsp; break; }
+ if (jj_3R_566()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_194()
+ inline bool jj_3R_389()
{
if (jj_done) return true;
- if (jj_3R_344()) return true;
+ if (jj_scan_token(WITH_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(SELECT_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(155)) jj_scanpos = xsp;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_469()) jj_scanpos = xsp;
+ if (jj_3R_470()) return true;
return false;
}
- inline bool jj_3R_193()
+ inline bool jj_3R_634()
{
if (jj_done) return true;
- if (jj_3R_343()) return true;
+ if (jj_3R_372()) return true;
return false;
}
- inline bool jj_3R_89()
+ inline bool jj_3R_716()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_193()) {
- jj_scanpos = xsp;
- if (jj_3R_194()) return true;
- }
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_608()
+ inline bool jj_3R_633()
{
if (jj_done) return true;
- if (jj_3R_352()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_607()
+ inline bool jj_3R_565()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_633()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_634()) return true;
return false;
}
- inline bool jj_3R_544()
+ inline bool jj_3R_715()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_607()) {
- jj_scanpos = xsp;
- if (jj_3R_608()) return true;
- }
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3R_476()
+ inline bool jj_3R_690()
{
if (jj_done) return true;
- if (jj_scan_token(ELSE_T)) return true;
- if (jj_3R_58()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_546()) jj_scanpos = xsp;
+ if (!jj_3R_715()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_716()) return true;
return false;
}
- inline bool jj_3R_70()
+ inline bool jj_3R_72()
{
if (jj_done) return true;
if (jj_scan_token(GENERIC_T)) return true;
if (jj_scan_token(MAP_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_165()) return true;
+ if (jj_3R_168()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_385()
+ inline bool jj_3_121()
{
if (jj_done) return true;
- Token * xsp;
- if (jj_3R_476()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_476()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_147()) return true;
+ if (jj_scan_token(DOT_T)) return true;
return false;
}
- inline bool jj_3R_170()
+ inline bool jj_3R_175()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_384()
+ inline bool jj_3R_601()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_60()) return true;
+ return false;
+ }
+
+ inline bool jj_3_123()
{
if (jj_done) return true;
+ if (jj_3R_149()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_549()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(WITH_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(SELECT_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(53)) {
- jj_scanpos = xsp;
- if (jj_scan_token(75)) return true;
- }
+ if (jj_scan_token(155)) jj_scanpos = xsp;
+ if (jj_3R_690()) return true;
+ if (jj_scan_token(VARASSIGN_T)) return true;
+ if (jj_3R_317()) return true;
return false;
}
- inline bool jj_3R_158()
+ inline bool jj_3R_161()
{
if (jj_done) return true;
- if (jj_3R_313()) return true;
+ if (jj_3R_327()) return true;
return false;
}
- inline bool jj_3R_448()
+ inline bool jj_3R_314()
{
if (jj_done) return true;
- if (jj_3R_445()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_530()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_403()) return true;
return false;
}
- inline bool jj_3R_66()
+ inline bool jj_3_38()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_312()
+ {
+ if (jj_done) return true;
+ if (jj_3R_147()) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_315()
+ {
+ if (jj_done) return true;
+ if (jj_3R_60()) return true;
+ if (jj_3R_404()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_468()
+ {
+ if (jj_done) return true;
+ if (jj_3R_551()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_280()
+ {
+ if (jj_done) return true;
+ if (jj_3R_389()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_68()
{
if (jj_done) return true;
if (jj_scan_token(GENERIC_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_158()) return true;
+ if (jj_3R_161()) return true;
if (jj_scan_token(RPAREN_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_447()
+ inline bool jj_3R_131()
{
if (jj_done) return true;
- if (jj_3R_405()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_279()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_280()) return true;
return false;
}
- inline bool jj_3R_322()
+ inline bool jj_3R_279()
{
if (jj_done) return true;
- if (jj_scan_token(IF_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_3R_149()) return true;
return false;
}
- inline bool jj_3R_306()
+ inline bool jj_3R_336()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
+ if (jj_scan_token(IF_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(134)) {
- jj_scanpos = xsp;
- if (jj_scan_token(137)) return true;
- }
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_386()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3_38()) jj_scanpos = xsp;
+ if (jj_3R_82()) return true;
return false;
}
- inline bool jj_3R_168()
+ inline bool jj_3R_173()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_321()) {
+ if (!jj_3R_335()) return false;
jj_scanpos = xsp;
- if (jj_3R_322()) return true;
- }
+ if (jj_3R_336()) return true;
return false;
}
- inline bool jj_3R_321()
+ inline bool jj_3R_335()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_408()) return true;
+ if (jj_3R_427()) return true;
return false;
}
- inline bool jj_3R_144()
+ inline bool jj_3R_466()
{
if (jj_done) return true;
- if (jj_scan_token(WITH_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(SELECT_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(155)) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
- if (jj_scan_token(FORCE_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_305()) jj_scanpos = xsp;
- if (jj_3R_306()) return true;
+ if (jj_3R_424()) return true;
return false;
}
- inline bool jj_3_115()
+ inline bool jj_3R_148()
{
if (jj_done) return true;
- if (jj_3R_108()) return true;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_scan_token(FORCE_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_314()) jj_scanpos = xsp;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_315()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_369()
+ inline bool jj_3R_551()
{
if (jj_done) return true;
- if (jj_scan_token(WITH_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(SELECT_T)) return true;
+ if (jj_scan_token(ELSE_T)) return true;
+ if (jj_3R_60()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(155)) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_447()) jj_scanpos = xsp;
- if (jj_3R_448()) return true;
+ if (jj_3R_601()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_74()
+ inline bool jj_3R_77()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_168()) return true;
+ if (jj_3R_173()) return true;
if (jj_scan_token(GENERATE_T)) return true;
- if (jj_3R_169()) return true;
+ if (jj_3R_174()) return true;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(GENERATE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_170()) jj_scanpos = xsp;
+ if (jj_3R_175()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_687()
+ inline bool jj_3_122()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_148()) return true;
return false;
}
- inline bool jj_3R_686()
+ inline bool jj_3R_388()
{
if (jj_done) return true;
- if (jj_3R_108()) return true;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_466()) jj_scanpos = xsp;
+ if (jj_3R_467()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_60()) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_468()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_663()
+ inline bool jj_3R_108()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_686()) {
- jj_scanpos = xsp;
- if (jj_3R_687()) return true;
- }
+ if (jj_3R_62()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_234()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_104()
+ inline bool jj_3R_278()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_226()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_388()) return true;
return false;
}
- inline bool jj_3_112()
+ inline bool jj_3R_130()
{
if (jj_done) return true;
- if (jj_3R_142()) return true;
- if (jj_scan_token(DOT_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_277()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_278()) return true;
return false;
}
- inline bool jj_3R_575()
+ inline bool jj_3R_277()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_148()) return true;
return false;
}
- inline bool jj_3_114()
+ inline bool jj_3R_576()
{
if (jj_done) return true;
- if (jj_3R_144()) return true;
+ if (jj_scan_token(AT_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_527()
+ inline bool jj_3R_313()
{
if (jj_done) return true;
- if (jj_scan_token(WITH_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(SELECT_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(155)) jj_scanpos = xsp;
- if (jj_3R_663()) return true;
- if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_306()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_303()
+ inline bool jj_3_120()
{
if (jj_done) return true;
- if (jj_3R_384()) return true;
+ if (jj_3R_146()) return true;
return false;
}
- inline bool jj_3R_301()
+ inline bool jj_3R_429()
{
if (jj_done) return true;
- if (jj_3R_142()) return true;
- if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_525()) return true;
return false;
}
- inline bool jj_3R_304()
+ inline bool jj_3R_146()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
- if (jj_3R_385()) return true;
+ if (jj_3R_147()) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_312()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_446()
+ inline bool jj_3R_485()
{
if (jj_done) return true;
- if (jj_3R_529()) return true;
+ if (jj_3R_555()) return true;
return false;
}
- inline bool jj_3R_271()
+ inline bool jj_3R_638()
{
if (jj_done) return true;
- if (jj_3R_369()) return true;
+ if (jj_3R_146()) return true;
return false;
}
- inline bool jj_3R_127()
+ inline bool jj_3R_147()
{
if (jj_done) return true;
+ if (jj_3R_73()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_270()) {
- jj_scanpos = xsp;
- if (jj_3R_271()) return true;
- }
+ if (jj_3R_313()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_270()
+ inline bool jj_3R_663()
{
if (jj_done) return true;
- if (jj_3R_144()) return true;
+ if (jj_scan_token(NEG_T)) return true;
+ if (jj_scan_token(DOT_T)) return true;
return false;
}
- inline bool jj_3R_463()
+ inline bool jj_3R_606()
{
if (jj_done) return true;
- if (jj_3R_534()) return true;
+ if (jj_3R_403()) return true;
return false;
}
- inline bool jj_3R_444()
+ inline bool jj_3R_159()
{
if (jj_done) return true;
- if (jj_3R_405()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_326()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_143()
+ inline bool jj_3R_637()
{
if (jj_done) return true;
- if (jj_3R_114()) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
- if (jj_scan_token(FORCE_T)) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_303()) jj_scanpos = xsp;
- if (jj_3R_58()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_304()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_663()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_663()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_529()
+ inline bool jj_3R_141()
{
if (jj_done) return true;
- if (jj_scan_token(ELSE_T)) return true;
- if (jj_3R_58()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_575()) jj_scanpos = xsp;
+ if (jj_scan_token(TYPE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_602()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_156()
+ inline bool jj_3_119()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_312()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_146()) return true;
return false;
}
- inline bool jj_3_113()
+ inline bool jj_3R_575()
{
if (jj_done) return true;
- if (jj_3R_143()) return true;
+ if (jj_3R_637()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_638()) jj_scanpos = xsp;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_324()
+ inline bool jj_3R_339()
{
if (jj_done) return true;
- if (jj_3R_410()) return true;
+ if (jj_3R_431()) return true;
return false;
}
- inline bool jj_3R_136()
+ inline bool jj_3R_636()
{
if (jj_done) return true;
- if (jj_scan_token(TYPE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_577()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_368()
+ inline bool jj_3R_65()
{
if (jj_done) return true;
- if (jj_3R_114()) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_3R_62()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_444()) jj_scanpos = xsp;
- if (jj_3R_445()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_58()) return true;
- xsp = jj_scanpos;
- if (jj_3R_446()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_159()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_269()
+ inline bool jj_3R_635()
{
if (jj_done) return true;
- if (jj_3R_368()) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_146()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_63()
+ inline bool jj_3R_574()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_156()) jj_scanpos = xsp;
+ if (!jj_3R_635()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_636()) return true;
return false;
}
- inline bool jj_3R_126()
+ inline bool jj_3R_596()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_268()) {
- jj_scanpos = xsp;
- if (jj_3R_269()) return true;
- }
+ if (jj_3R_327()) return true;
return false;
}
- inline bool jj_3R_268()
+ inline bool jj_3R_516()
{
if (jj_done) return true;
- if (jj_3R_143()) return true;
+ if (jj_3R_576()) return true;
return false;
}
- inline bool jj_3R_570()
+ inline bool jj_3R_417()
{
if (jj_done) return true;
- if (jj_3R_313()) return true;
+ if (jj_scan_token(INTEGER)) return true;
return false;
}
- inline bool jj_3R_555()
+ inline bool jj_3R_515()
{
if (jj_done) return true;
- if (jj_scan_token(AT_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_575()) return true;
return false;
}
- inline bool jj_3R_398()
+ inline bool jj_3R_514()
{
if (jj_done) return true;
- if (jj_scan_token(INTEGER)) return true;
+ if (jj_3R_574()) return true;
return false;
}
- inline bool jj_3R_302()
+ inline bool jj_3R_326()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_416()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_417()) return true;
return false;
}
- inline bool jj_3R_312()
+ inline bool jj_3R_416()
+ {
+ if (jj_done) return true;
+ if (jj_3R_62()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_415()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_397()) {
+ if (!jj_3R_514()) return false;
jj_scanpos = xsp;
- if (jj_3R_398()) return true;
- }
+ if (!jj_3R_515()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_516()) return true;
return false;
}
- inline bool jj_3R_397()
+ inline bool jj_3R_513()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_scan_token(VARIABLE_T)) return true;
return false;
}
- inline bool jj_3_111()
+ inline bool jj_3R_512()
{
if (jj_done) return true;
- if (jj_3R_141()) return true;
+ if (jj_scan_token(SIGNAL_T)) return true;
return false;
}
- inline bool jj_3R_141()
+ inline bool jj_3R_511()
{
if (jj_done) return true;
- if (jj_3R_142()) return true;
- if (jj_scan_token(DOT_T)) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_301()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(CONSTANT_T)) return true;
return false;
}
- inline bool jj_3R_612()
+ inline bool jj_3R_414()
{
if (jj_done) return true;
- if (jj_3R_141()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_511()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_512()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_513()) return true;
return false;
}
- inline bool jj_3R_657()
+ inline bool jj_3R_681()
{
if (jj_done) return true;
if (jj_scan_token(FILE_T)) return true;
if (jj_scan_token(OF_T)) return true;
- if (jj_3R_157()) return true;
+ if (jj_3R_160()) return true;
return false;
}
- inline bool jj_3R_142()
+ inline bool jj_3R_605()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_302()) jj_scanpos = xsp;
+ if (jj_scan_token(OPEN_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_580()
+ inline bool jj_3_114()
{
if (jj_done) return true;
- if (jj_scan_token(OPEN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_143()) return true;
return false;
}
- inline bool jj_3R_534()
+ inline bool jj_3_118()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_555()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_580()) jj_scanpos = xsp;
+ if (jj_3R_605()) jj_scanpos = xsp;
if (jj_scan_token(IS_T)) return true;
- if (jj_3R_581()) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_606()) jj_scanpos = xsp;
+ if (jj_3R_607()) return true;
return false;
}
- inline bool jj_3R_640()
+ inline bool jj_3R_323()
{
if (jj_done) return true;
- if (jj_scan_token(NEG_T)) return true;
- if (jj_scan_token(DOT_T)) return true;
+ if (jj_scan_token(SLSL_T)) return true;
+ if (jj_3R_414()) return true;
+ if (jj_3R_415()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_87()) return true;
+ if (jj_scan_token(RSRS_T)) return true;
return false;
}
- inline bool jj_3R_611()
+ inline bool jj_3R_607()
{
if (jj_done) return true;
- Token * xsp;
- if (jj_3R_640()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_640()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_581()
+ inline bool jj_3_117()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3_110()
+ inline bool jj_3R_284()
{
if (jj_done) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_141()) return true;
+ if (jj_3R_136()) return true;
return false;
}
- inline bool jj_3R_554()
+ inline bool jj_3R_526()
{
if (jj_done) return true;
- if (jj_3R_611()) return true;
+ if (jj_scan_token(ELSIF_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_612()) jj_scanpos = xsp;
- if (jj_3R_69()) return true;
+ if (jj_3_118()) jj_scanpos = xsp;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(GENERATE_T)) return true;
+ if (jj_3R_337()) return true;
return false;
}
- inline bool jj_3R_449()
+ inline bool jj_3_116()
{
if (jj_done) return true;
- if (jj_scan_token(DOUBLEMULT_T)) return true;
- if (jj_3R_370()) return true;
+ if (jj_3R_145()) return true;
return false;
}
- inline bool jj_3R_610()
+ inline bool jj_3R_471()
{
if (jj_done) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(DOUBLEMULT_T)) return true;
+ if (jj_3R_390()) return true;
return false;
}
- inline bool jj_3R_609()
+ inline bool jj_3R_396()
{
if (jj_done) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_141()) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(FILE_T)) return true;
+ if (jj_3R_203()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_87()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_485()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_553()
+ inline bool jj_3R_431()
{
if (jj_done) return true;
+ if (jj_scan_token(ELSE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_609()) {
- jj_scanpos = xsp;
- if (jj_3R_610()) return true;
- }
+ if (jj_3_117()) jj_scanpos = xsp;
+ if (jj_scan_token(GENERATE_T)) return true;
+ if (jj_3R_337()) return true;
return false;
}
- inline bool jj_3R_376()
+ inline bool jj_3R_430()
{
if (jj_done) return true;
- if (jj_scan_token(FILE_T)) return true;
- if (jj_3R_196()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_463()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_526()) return true;
return false;
}
- inline bool jj_3R_264()
+ inline bool jj_3R_273()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_489()
+ inline bool jj_3R_338()
{
if (jj_done) return true;
- if (jj_3R_555()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_430()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_284()
+ inline bool jj_3R_295()
{
if (jj_done) return true;
- if (jj_scan_token(NOT_T)) return true;
- if (jj_3R_370()) return true;
+ if (jj_3R_320()) return true;
+ if (jj_3R_390()) return true;
return false;
}
- inline bool jj_3R_488()
+ inline bool jj_3R_294()
{
if (jj_done) return true;
- if (jj_3R_554()) return true;
+ if (jj_scan_token(QQ_T)) return true;
+ if (jj_3R_390()) return true;
return false;
}
- inline bool jj_3R_283()
+ inline bool jj_3R_524()
{
if (jj_done) return true;
- if (jj_scan_token(ABS_T)) return true;
- if (jj_3R_370()) return true;
+ if (jj_3R_145()) return true;
return false;
}
- inline bool jj_3R_396()
+ inline bool jj_3R_293()
{
if (jj_done) return true;
+ if (jj_scan_token(NOT_T)) return true;
+ if (jj_3R_390()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_174()
+ {
+ if (jj_done) return true;
+ if (jj_3R_337()) return true;
+ if (jj_3R_338()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_487()) {
- jj_scanpos = xsp;
- if (jj_3R_488()) {
- jj_scanpos = xsp;
- if (jj_3R_489()) return true;
- }
- }
+ if (jj_3R_339()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_487()
+ inline bool jj_3R_292()
{
if (jj_done) return true;
- if (jj_3R_553()) return true;
+ if (jj_scan_token(ABS_T)) return true;
+ if (jj_3R_390()) return true;
return false;
}
- inline bool jj_3R_135()
+ inline bool jj_3R_428()
{
if (jj_done) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_282()) {
- jj_scanpos = xsp;
- if (jj_3R_283()) {
- jj_scanpos = xsp;
- if (jj_3R_284()) return true;
- }
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_524()) { jj_scanpos = xsp; break; }
}
+ if (jj_scan_token(BEGIN_T)) return true;
return false;
}
- inline bool jj_3R_282()
+ inline bool jj_3R_337()
{
if (jj_done) return true;
- if (jj_3R_370()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_449()) jj_scanpos = xsp;
+ if (jj_3R_428()) jj_scanpos = xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_429()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3_107()
+ inline bool jj_3R_296()
{
if (jj_done) return true;
- if (jj_3R_138()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_486()
+ inline bool jj_3R_140()
{
if (jj_done) return true;
- if (jj_scan_token(VARIABLE_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_291()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_292()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_293()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_294()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_295()) return true;
return false;
}
- inline bool jj_3R_485()
+ inline bool jj_3R_291()
{
if (jj_done) return true;
- if (jj_scan_token(SIGNAL_T)) return true;
+ if (jj_3R_390()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_471()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_697()
+ inline bool jj_3R_244()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_96()) return true;
+ if (jj_3R_136()) return true;
return false;
}
- inline bool jj_3R_146()
+ inline bool jj_3_115()
{
if (jj_done) return true;
- if (jj_3R_309()) return true;
- if (jj_3R_145()) return true;
+ if (jj_3R_144()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_395()
+ inline bool jj_3R_251()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_484()) {
- jj_scanpos = xsp;
- if (jj_3R_485()) {
- jj_scanpos = xsp;
- if (jj_3R_486()) return true;
- }
- }
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_100()) return true;
return false;
}
- inline bool jj_3R_484()
+ inline bool jj_3R_151()
{
if (jj_done) return true;
- if (jj_scan_token(CONSTANT_T)) return true;
+ if (jj_3R_320()) return true;
+ if (jj_3R_150()) return true;
return false;
}
- inline bool jj_3R_394()
+ inline bool jj_3R_413()
{
if (jj_done) return true;
if (jj_scan_token(OR_T)) return true;
return false;
}
- inline bool jj_3R_393()
+ inline bool jj_3R_412()
{
if (jj_done) return true;
if (jj_scan_token(XOR_T)) return true;
return false;
}
- inline bool jj_3R_392()
+ inline bool jj_3R_143()
{
if (jj_done) return true;
- if (jj_scan_token(XNOR_T)) return true;
+ if (jj_scan_token(END_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_296()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_109()
+ inline bool jj_3R_664()
{
if (jj_done) return true;
- if (jj_3R_140()) return true;
+ if (jj_3R_136()) return true;
return false;
}
- inline bool jj_3R_275()
+ inline bool jj_3R_411()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ if (jj_scan_token(XNOR_T)) return true;
return false;
}
- inline bool jj_3R_391()
+ inline bool jj_3R_410()
{
if (jj_done) return true;
if (jj_scan_token(NOR_T)) return true;
return false;
}
- inline bool jj_3R_390()
+ inline bool jj_3R_409()
{
if (jj_done) return true;
if (jj_scan_token(NAND_T)) return true;
return false;
}
- inline bool jj_3R_309()
+ inline bool jj_3R_689()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_115()) jj_scanpos = xsp;
+ if (jj_3R_88()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
+ if (jj_3R_337()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_320()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_389()) {
+ if (!jj_3R_408()) return false;
jj_scanpos = xsp;
- if (jj_3R_390()) {
+ if (!jj_3R_409()) return false;
jj_scanpos = xsp;
- if (jj_3R_391()) {
+ if (!jj_3R_410()) return false;
jj_scanpos = xsp;
- if (jj_3R_392()) {
+ if (!jj_3R_411()) return false;
jj_scanpos = xsp;
- if (jj_3R_393()) {
+ if (!jj_3R_412()) return false;
jj_scanpos = xsp;
- if (jj_3R_394()) return true;
- }
- }
- }
- }
- }
+ if (jj_3R_413()) return true;
return false;
}
- inline bool jj_3R_389()
+ inline bool jj_3R_408()
{
if (jj_done) return true;
if (jj_scan_token(AND_T)) return true;
return false;
}
- inline bool jj_3R_311()
- {
- if (jj_done) return true;
- if (jj_scan_token(SLSL_T)) return true;
- if (jj_3R_395()) return true;
- if (jj_3R_396()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
- if (jj_scan_token(RSRS_T)) return true;
- return false;
- }
-
- inline bool jj_3R_409()
- {
- if (jj_done) return true;
- if (jj_3R_140()) return true;
- return false;
- }
-
- inline bool jj_3R_323()
+ inline bool jj_3R_676()
{
if (jj_done) return true;
Token * xsp;
+ if (jj_3R_689()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_409()) { jj_scanpos = xsp; break; }
+ if (jj_3R_689()) { jj_scanpos = xsp; break; }
}
- if (jj_scan_token(BEGIN_T)) return true;
return false;
}
- inline bool jj_3R_58()
+ inline bool jj_3R_60()
{
if (jj_done) return true;
- if (jj_3R_145()) return true;
+ if (jj_3R_150()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_146()) { jj_scanpos = xsp; break; }
+ if (jj_3R_151()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_169()
+ inline bool jj_3R_641()
{
if (jj_done) return true;
+ if (jj_scan_token(CASE_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(GENERATE_T)) return true;
+ if (jj_3R_676()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_323()) jj_scanpos = xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_324()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3_114()) jj_scanpos = xsp;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(GENERATE_T)) return true;
+ if (jj_3R_337()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_285()
+ inline bool jj_3R_274()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_82()) return true;
return false;
}
- inline bool jj_3R_662()
+ inline bool jj_3R_272()
{
if (jj_done) return true;
- if (jj_scan_token(BEGIN_T)) return true;
- if (jj_3R_662()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_235()
+ inline bool jj_3R_128()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_272()) jj_scanpos = xsp;
+ if (jj_scan_token(EXIT_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_273()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_274()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_108()
+ inline bool jj_3R_135()
{
if (jj_done) return true;
- if (jj_3R_139()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(GENERIC_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_327()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_265()
+ inline bool jj_3R_115()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_100()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_251()) { jj_scanpos = xsp; break; }
+ }
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_263()
+ inline bool jj_3R_136()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(GENERIC_T)) return true;
+ if (jj_scan_token(MAP_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_168()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_124()
+ inline bool jj_3R_216()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_263()) jj_scanpos = xsp;
- if (jj_scan_token(EXIT_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_264()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_265()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_372()) return true;
return false;
}
- inline bool jj_3R_138()
+ inline bool jj_3R_215()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_100()
{
if (jj_done) return true;
- if (jj_scan_token(END_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_285()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (!jj_3R_215()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_216()) return true;
return false;
}
- inline bool jj_3R_641()
+ inline bool jj_3R_712()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ if (jj_3R_372()) return true;
return false;
}
- inline bool jj_3R_688()
+ inline bool jj_3R_711()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_96()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_697()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_652()
+ inline bool jj_3R_683()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_108()) jj_scanpos = xsp;
- if (jj_3R_85()) return true;
- if (jj_scan_token(ARROW_T)) return true;
- if (jj_3R_662()) return true;
+ if (!jj_3R_711()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_712()) return true;
return false;
}
- inline bool jj_3R_619()
+ inline bool jj_3R_134()
{
if (jj_done) return true;
+ if (jj_scan_token(FUNCTION_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_scan_token(NEW_T)) return true;
+ if (jj_3R_62()) return true;
+ if (jj_3R_243()) return true;
Token * xsp;
- if (jj_3R_652()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_652()) { jj_scanpos = xsp; break; }
- }
+ xsp = jj_scanpos;
+ if (jj_3R_284()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_208()
+ inline bool jj_3R_661()
{
if (jj_done) return true;
- if (jj_3R_352()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_660()) return true;
return false;
}
- inline bool jj_3R_207()
+ inline bool jj_3_37()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_91()) return true;
return false;
}
- inline bool jj_3R_96()
+ inline bool jj_3_36()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_207()) {
- jj_scanpos = xsp;
- if (jj_3R_208()) return true;
- }
+ if (jj_3R_90()) return true;
return false;
}
- inline bool jj_3R_561()
+ inline bool jj_3R_93()
{
if (jj_done) return true;
- if (jj_scan_token(CASE_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(GENERATE_T)) return true;
- if (jj_3R_619()) return true;
+ if (jj_scan_token(PACKAGE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_scan_token(NEW_T)) return true;
+ if (jj_3R_62()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_664()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_695()
+ inline bool jj_3R_89()
{
if (jj_done) return true;
- if (jj_3R_352()) return true;
+ if (jj_scan_token(PACKAGE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_scan_token(NEW_T)) return true;
+ if (jj_3R_62()) return true;
+ if (jj_3R_243()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_244()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_694()
+ inline bool jj_3R_492()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_560()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_561()) return true;
return false;
}
inline bool jj_3R_684()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_694()) {
- jj_scanpos = xsp;
- if (jj_3R_695()) return true;
- }
+ if (jj_3R_243()) return true;
return false;
}
- inline bool jj_3_36()
+ inline bool jj_3R_611()
{
if (jj_done) return true;
- if (jj_3R_88()) return true;
+ if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3_35()
+ inline bool jj_3R_610()
{
if (jj_done) return true;
- if (jj_3R_87()) return true;
+ if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_131()
+ inline bool jj_3R_609()
{
if (jj_done) return true;
- if (jj_scan_token(GENERIC_T)) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_313()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_660()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_661()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_132()
+ inline bool jj_3R_560()
{
if (jj_done) return true;
- if (jj_scan_token(GENERIC_T)) return true;
- if (jj_scan_token(MAP_T)) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_165()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_609()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_610()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_611()) return true;
return false;
}
- inline bool jj_3R_470()
+ inline bool jj_3R_371()
{
if (jj_done) return true;
- if (jj_3R_539()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_540()) return true;
+ if (jj_scan_token(CONTEXT_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_685()
+ inline bool jj_3R_710()
{
if (jj_done) return true;
- if (jj_3R_234()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_585()
+ inline bool jj_3R_263()
{
if (jj_done) return true;
- if (jj_scan_token(ALL_T)) return true;
+ if (jj_3R_386()) return true;
return false;
}
- inline bool jj_3R_584()
+ inline bool jj_3R_660()
{
if (jj_done) return true;
- if (jj_scan_token(OTHER_T)) return true;
+ if (jj_3R_683()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_684()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_130()
+ inline bool jj_3R_351()
{
if (jj_done) return true;
- if (jj_scan_token(FUNCTION_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_scan_token(NEW_T)) return true;
- if (jj_3R_59()) return true;
- if (jj_3R_234()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_275()) jj_scanpos = xsp;
+ if (jj_scan_token(CONTEXT_T)) return true;
+ if (jj_3R_62()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_638()
+ inline bool jj_3R_721()
{
if (jj_done) return true;
- if (jj_3R_659()) return true;
+ if (jj_3R_728()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_583()
+ inline bool jj_3R_709()
{
if (jj_done) return true;
Token * xsp;
- if (jj_3R_638()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_638()) { jj_scanpos = xsp; break; }
+ if (jj_3R_721()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_539()
+ inline bool jj_3R_746()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_583()) {
- jj_scanpos = xsp;
- if (jj_3R_584()) {
- jj_scanpos = xsp;
- if (jj_3R_585()) return true;
- }
- }
+ if (jj_3R_350()) return true;
return false;
}
- inline bool jj_3R_107()
+ inline bool jj_3R_745()
{
if (jj_done) return true;
- if (jj_scan_token(PACKAGE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_scan_token(NEW_T)) return true;
- if (jj_3R_59()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_641()) jj_scanpos = xsp;
+ if (jj_3R_399()) return true;
return false;
}
- inline bool jj_3R_659()
+ inline bool jj_3R_744()
{
if (jj_done) return true;
- if (jj_3R_684()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_685()) jj_scanpos = xsp;
+ if (jj_3R_366()) return true;
return false;
}
- inline bool jj_3R_86()
+ inline bool jj_3R_728()
{
if (jj_done) return true;
- if (jj_scan_token(PACKAGE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_scan_token(NEW_T)) return true;
- if (jj_3R_59()) return true;
- if (jj_3R_234()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_235()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (!jj_3R_744()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_745()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_746()) return true;
return false;
}
- inline bool jj_3_32()
+ inline bool jj_3_33()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3_34()
+ inline bool jj_3_35()
{
if (jj_done) return true;
- if (jj_3R_86()) return true;
+ if (jj_3R_89()) return true;
return false;
}
- inline bool jj_3_33()
+ inline bool jj_3_34()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_543()
+ inline bool jj_3R_564()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_542()) return true;
+ if (jj_3R_563()) return true;
return false;
}
- inline bool jj_3R_683()
- {
- if (jj_done) return true;
- if (jj_3R_69()) return true;
- return false;
- }
-
- inline bool jj_3R_254()
+ inline bool jj_3R_708()
{
if (jj_done) return true;
- if (jj_3R_366()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_693()
+ inline bool jj_3R_262()
{
if (jj_done) return true;
- if (jj_3R_703()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_385()) return true;
return false;
}
inline bool jj_3R_682()
{
if (jj_done) return true;
+ if (jj_scan_token(PROTECTED_T)) return true;
+ if (jj_3R_709()) return true;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(PROTECTED_T)) return true;
Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_693()) { jj_scanpos = xsp; break; }
- }
+ xsp = jj_scanpos;
+ if (jj_3R_710()) jj_scanpos = xsp;
return false;
}
inline bool jj_3R_720()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_3R_727()) return true;
return false;
}
- inline bool jj_3R_719()
+ inline bool jj_3_112()
{
if (jj_done) return true;
- if (jj_3R_379()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_606()
+ inline bool jj_3R_707()
{
if (jj_done) return true;
- if (jj_scan_token(BOX_T)) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_720()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_718()
+ inline bool jj_3R_743()
{
if (jj_done) return true;
- if (jj_3R_347()) return true;
+ if (jj_3R_402()) return true;
return false;
}
- inline bool jj_3R_703()
+ inline bool jj_3_113()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_718()) {
- jj_scanpos = xsp;
- if (jj_3R_719()) {
- jj_scanpos = xsp;
- if (jj_3R_720()) return true;
- }
- }
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_349()
+ inline bool jj_3R_742()
{
if (jj_done) return true;
- if (jj_scan_token(ENTITY_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_350()) return true;
return false;
}
- inline bool jj_3R_681()
+ inline bool jj_3R_741()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_399()) return true;
return false;
}
- inline bool jj_3R_253()
+ inline bool jj_3R_740()
{
if (jj_done) return true;
- if (jj_3R_365()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_658()
+ inline bool jj_3R_739()
{
if (jj_done) return true;
- if (jj_scan_token(PROTECTED_T)) return true;
- if (jj_3R_682()) return true;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(PROTECTED_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_683()) jj_scanpos = xsp;
+ if (jj_3R_397()) return true;
return false;
}
- inline bool jj_3R_474()
+ inline bool jj_3R_632()
{
if (jj_done) return true;
- if (jj_3R_542()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_543()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(BOX_T)) return true;
return false;
}
- inline bool jj_3R_692()
+ inline bool jj_3R_738()
{
if (jj_done) return true;
- if (jj_3R_702()) return true;
+ if (jj_3R_396()) return true;
return false;
}
- inline bool jj_3_105()
+ inline bool jj_3R_737()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_395()) return true;
return false;
}
- inline bool jj_3R_680()
+ inline bool jj_3R_736()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_692()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_393()) return true;
return false;
}
- inline bool jj_3R_542()
+ inline bool jj_3R_735()
{
if (jj_done) return true;
- if (jj_3R_540()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_606()) jj_scanpos = xsp;
+ if (jj_3R_392()) return true;
return false;
}
- inline bool jj_3R_717()
+ inline bool jj_3R_734()
{
if (jj_done) return true;
- if (jj_3R_383()) return true;
+ if (jj_3R_391()) return true;
return false;
}
- inline bool jj_3R_620()
+ inline bool jj_3R_368()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(ENTITY_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_602()
+ inline bool jj_3R_733()
{
if (jj_done) return true;
- if (jj_scan_token(FILE_T)) return true;
+ if (jj_3R_547()) return true;
return false;
}
- inline bool jj_3_106()
+ inline bool jj_3R_732()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_716()
+ inline bool jj_3R_727()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_732()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_733()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_734()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_735()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_736()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_737()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_738()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_739()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_740()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_741()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_742()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3_113()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_743()) return true;
return false;
}
- inline bool jj_3R_601()
+ inline bool jj_3R_261()
{
if (jj_done) return true;
- if (jj_scan_token(GROUP_T)) return true;
+ if (jj_3R_384()) return true;
return false;
}
- inline bool jj_3R_715()
+ inline bool jj_3R_495()
{
if (jj_done) return true;
- if (jj_3R_379()) return true;
+ if (jj_3R_563()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_564()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_600()
+ inline bool jj_3R_550()
{
if (jj_done) return true;
- if (jj_scan_token(UNITS_T)) return true;
+ if (jj_scan_token(AFTER_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_599()
+ inline bool jj_3R_563()
{
if (jj_done) return true;
- if (jj_scan_token(LITERAL_T)) return true;
+ if (jj_3R_561()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_632()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_714()
+ inline bool jj_3R_142()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_scan_token(PROTECTED_T)) return true;
+ if (jj_scan_token(BODY_T)) return true;
+ if (jj_3R_707()) return true;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(PROTECTED_T)) return true;
+ if (jj_scan_token(BODY_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_708()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_598()
+ inline bool jj_3R_523()
{
if (jj_done) return true;
- if (jj_scan_token(LABEL_T)) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_467()) return true;
return false;
}
- inline bool jj_3R_597()
+ inline bool jj_3R_642()
{
if (jj_done) return true;
- if (jj_scan_token(COMPONENT_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_713()
+ inline bool jj_3R_628()
{
if (jj_done) return true;
- if (jj_3R_377()) return true;
+ if (jj_scan_token(FILE_T)) return true;
return false;
}
- inline bool jj_3R_596()
+ inline bool jj_3R_627()
{
if (jj_done) return true;
- if (jj_scan_token(VARIABLE_T)) return true;
+ if (jj_scan_token(GROUP_T)) return true;
return false;
}
- inline bool jj_3R_712()
+ inline bool jj_3R_626()
{
if (jj_done) return true;
- if (jj_3R_376()) return true;
+ if (jj_scan_token(UNITS_T)) return true;
return false;
}
- inline bool jj_3R_595()
+ inline bool jj_3R_625()
{
if (jj_done) return true;
- if (jj_scan_token(SIGNAL_T)) return true;
+ if (jj_scan_token(LITERAL_T)) return true;
return false;
}
- inline bool jj_3R_711()
+ inline bool jj_3R_624()
{
if (jj_done) return true;
- if (jj_3R_375()) return true;
+ if (jj_scan_token(LABEL_T)) return true;
return false;
}
- inline bool jj_3R_594()
+ inline bool jj_3R_623()
{
if (jj_done) return true;
- if (jj_scan_token(CONSTANT_T)) return true;
+ if (jj_scan_token(COMPONENT_T)) return true;
return false;
}
- inline bool jj_3R_710()
+ inline bool jj_3R_622()
{
if (jj_done) return true;
- if (jj_3R_373()) return true;
+ if (jj_scan_token(VARIABLE_T)) return true;
return false;
}
- inline bool jj_3R_593()
+ inline bool jj_3R_621()
{
if (jj_done) return true;
- if (jj_scan_token(SUBTYPE_T)) return true;
+ if (jj_scan_token(SIGNAL_T)) return true;
return false;
}
- inline bool jj_3R_709()
+ inline bool jj_3R_620()
{
if (jj_done) return true;
- if (jj_3R_372()) return true;
+ if (jj_scan_token(CONSTANT_T)) return true;
return false;
}
- inline bool jj_3R_592()
+ inline bool jj_3R_619()
{
if (jj_done) return true;
- if (jj_scan_token(TYPE_T)) return true;
+ if (jj_scan_token(SUBTYPE_T)) return true;
return false;
}
- inline bool jj_3R_708()
+ inline bool jj_3R_618()
{
if (jj_done) return true;
- if (jj_3R_371()) return true;
+ if (jj_scan_token(TYPE_T)) return true;
return false;
}
- inline bool jj_3R_591()
+ inline bool jj_3R_467()
{
if (jj_done) return true;
- if (jj_scan_token(PACKAGE_T)) return true;
+ if (jj_3R_60()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_550()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_707()
+ inline bool jj_3R_617()
{
if (jj_done) return true;
- if (jj_3R_525()) return true;
+ if (jj_scan_token(PACKAGE_T)) return true;
return false;
}
- inline bool jj_3R_590()
+ inline bool jj_3R_616()
{
if (jj_done) return true;
if (jj_scan_token(FUNCTION_T)) return true;
return false;
}
- inline bool jj_3R_589()
+ inline bool jj_3R_615()
{
if (jj_done) return true;
if (jj_scan_token(PROCEDURE_T)) return true;
return false;
}
- inline bool jj_3R_588()
+ inline bool jj_3R_614()
{
if (jj_done) return true;
if (jj_scan_token(CONFIGURATION_T)) return true;
return false;
}
- inline bool jj_3R_706()
- {
- if (jj_done) return true;
- if (jj_3R_92()) return true;
- return false;
- }
-
- inline bool jj_3R_702()
- {
- if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_706()) {
- jj_scanpos = xsp;
- if (jj_3R_707()) {
- jj_scanpos = xsp;
- if (jj_3R_708()) {
- jj_scanpos = xsp;
- if (jj_3R_709()) {
- jj_scanpos = xsp;
- if (jj_3R_710()) {
- jj_scanpos = xsp;
- if (jj_3R_711()) {
- jj_scanpos = xsp;
- if (jj_3R_712()) {
- jj_scanpos = xsp;
- if (jj_3R_713()) {
- jj_scanpos = xsp;
- if (jj_3R_714()) {
- jj_scanpos = xsp;
- if (jj_3R_715()) {
- jj_scanpos = xsp;
- if (jj_3R_716()) {
- jj_scanpos = xsp;
- if (jj_3_106()) {
- jj_scanpos = xsp;
- if (jj_3R_717()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- return false;
- }
-
- inline bool jj_3R_587()
+ inline bool jj_3R_613()
{
if (jj_done) return true;
if (jj_scan_token(ARCHITECTURE_T)) return true;
return false;
}
- inline bool jj_3R_252()
+ inline bool jj_3R_426()
{
if (jj_done) return true;
- if (jj_3R_364()) return true;
+ if (jj_scan_token(UNAFFECTED_T)) return true;
return false;
}
- inline bool jj_3R_586()
+ inline bool jj_3R_612()
{
if (jj_done) return true;
if (jj_scan_token(ENTITY_T)) return true;
return false;
}
- inline bool jj_3R_540()
+ inline bool jj_3R_561()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_586()) {
+ if (!jj_3R_612()) return false;
jj_scanpos = xsp;
- if (jj_3R_587()) {
+ if (!jj_3R_613()) return false;
jj_scanpos = xsp;
- if (jj_3R_588()) {
+ if (!jj_3R_614()) return false;
jj_scanpos = xsp;
- if (jj_3R_589()) {
+ if (!jj_3R_615()) return false;
jj_scanpos = xsp;
- if (jj_3R_590()) {
+ if (!jj_3R_616()) return false;
jj_scanpos = xsp;
- if (jj_3R_591()) {
+ if (!jj_3R_617()) return false;
jj_scanpos = xsp;
- if (jj_3R_592()) {
+ if (!jj_3R_618()) return false;
jj_scanpos = xsp;
- if (jj_3R_593()) {
+ if (!jj_3R_619()) return false;
jj_scanpos = xsp;
- if (jj_3R_594()) {
+ if (!jj_3R_620()) return false;
jj_scanpos = xsp;
- if (jj_3R_595()) {
+ if (!jj_3R_621()) return false;
jj_scanpos = xsp;
- if (jj_3R_596()) {
+ if (!jj_3R_622()) return false;
jj_scanpos = xsp;
- if (jj_3R_597()) {
+ if (!jj_3R_623()) return false;
jj_scanpos = xsp;
- if (jj_3R_598()) {
+ if (!jj_3R_624()) return false;
jj_scanpos = xsp;
- if (jj_3R_599()) {
+ if (!jj_3R_625()) return false;
jj_scanpos = xsp;
- if (jj_3R_600()) {
+ if (!jj_3R_626()) return false;
jj_scanpos = xsp;
- if (jj_3R_601()) {
+ if (!jj_3R_627()) return false;
jj_scanpos = xsp;
- if (jj_3R_602()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
+ if (jj_3R_628()) return true;
return false;
}
- inline bool jj_3R_564()
+ inline bool jj_3R_332()
{
if (jj_done) return true;
- if (jj_scan_token(OPEN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_425()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_426()) return true;
return false;
}
- inline bool jj_3R_563()
+ inline bool jj_3R_425()
{
if (jj_done) return true;
- if (jj_scan_token(CONFIGURATION_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_467()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_523()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_528()
+ inline bool jj_3R_590()
{
if (jj_done) return true;
- if (jj_scan_token(AFTER_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(OPEN_T)) return true;
return false;
}
- inline bool jj_3R_562()
+ inline bool jj_3R_589()
{
if (jj_done) return true;
- if (jj_scan_token(ENTITY_T)) return true;
- if (jj_3R_59()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_620()) jj_scanpos = xsp;
+ if (jj_scan_token(CONFIGURATION_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_506()
+ inline bool jj_3R_588()
{
if (jj_done) return true;
+ if (jj_scan_token(ENTITY_T)) return true;
+ if (jj_3R_62()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_562()) {
- jj_scanpos = xsp;
- if (jj_3R_563()) {
- jj_scanpos = xsp;
- if (jj_3R_564()) return true;
- }
- }
+ if (jj_3R_642()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_137()
+ inline bool jj_3R_528()
{
if (jj_done) return true;
- if (jj_scan_token(PROTECTED_T)) return true;
- if (jj_scan_token(BODY_T)) return true;
- if (jj_3R_680()) return true;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(PROTECTED_T)) return true;
- if (jj_scan_token(BODY_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_681()) jj_scanpos = xsp;
- return false;
- }
-
- inline bool jj_3R_496()
- {
- if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_445()) return true;
- return false;
- }
-
- inline bool jj_3_31()
- {
- if (jj_done) return true;
- if (jj_3R_85()) return true;
- if (jj_scan_token(ARROW_T)) return true;
+ if (!jj_3R_588()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_589()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_590()) return true;
return false;
}
- inline bool jj_3R_705()
+ inline bool jj_3R_260()
{
if (jj_done) return true;
- if (jj_3R_196()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
- if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_445()
+ inline bool jj_3R_121()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_528()) jj_scanpos = xsp;
+ if (jj_3R_260()) jj_scanpos = xsp;
+ if (jj_scan_token(WAIT_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_261()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_262()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_263()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_30()
+ inline bool jj_3_32()
{
if (jj_done) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_88()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
return false;
}
- inline bool jj_3_29()
+ inline bool jj_3R_731()
{
if (jj_done) return true;
- if (jj_3R_83()) return true;
+ if (jj_3R_203()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_87()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_359()
+ inline bool jj_3R_748()
{
if (jj_done) return true;
- if (jj_3R_85()) return true;
- if (jj_scan_token(ARROW_T)) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_747()) return true;
return false;
}
- inline bool jj_3R_407()
+ inline bool jj_3_31()
{
if (jj_done) return true;
- if (jj_scan_token(UNAFFECTED_T)) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_236()
+ inline bool jj_3_30()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_359()) jj_scanpos = xsp;
- if (jj_3R_58()) return true;
+ if (jj_3R_86()) return true;
return false;
}
- inline bool jj_3R_318()
+ inline bool jj_3R_379()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_406()) {
- jj_scanpos = xsp;
- if (jj_3R_407()) return true;
- }
+ if (jj_3R_88()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
return false;
}
- inline bool jj_3R_406()
+ inline bool jj_3R_245()
{
if (jj_done) return true;
- if (jj_3R_445()) return true;
Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_496()) { jj_scanpos = xsp; break; }
- }
+ xsp = jj_scanpos;
+ if (jj_3R_379()) jj_scanpos = xsp;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_160()
+ inline bool jj_3R_167()
{
if (jj_done) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_159()
+ inline bool jj_3R_166()
{
if (jj_done) return true;
- if (jj_3R_83()) return true;
+ if (jj_3R_86()) return true;
return false;
}
- inline bool jj_3R_67()
+ inline bool jj_3R_71()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_159()) {
+ if (!jj_3R_166()) return false;
jj_scanpos = xsp;
- if (jj_3R_160()) return true;
- }
+ if (jj_3R_167()) return true;
return false;
}
- inline bool jj_3R_251()
+ inline bool jj_3R_484()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(VARASSIGN_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_117()
+ inline bool jj_3R_395()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_251()) jj_scanpos = xsp;
- if (jj_scan_token(WAIT_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_252()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_253()) jj_scanpos = xsp;
+ if (jj_scan_token(101)) jj_scanpos = xsp;
+ if (jj_scan_token(VARIABLE_T)) return true;
+ if (jj_3R_203()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_87()) return true;
xsp = jj_scanpos;
- if (jj_3R_254()) jj_scanpos = xsp;
+ if (jj_3R_484()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_471()
+ inline bool jj_3R_493()
{
if (jj_done) return true;
- if (jj_3R_541()) return true;
+ if (jj_3R_562()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_381()
+ inline bool jj_3R_494()
{
if (jj_done) return true;
- if (jj_scan_token(DISCONNECT_T)) return true;
- if (jj_3R_471()) return true;
- if (jj_scan_token(AFTER_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_443()) return true;
return false;
}
- inline bool jj_3R_459()
+ inline bool jj_3R_462()
{
if (jj_done) return true;
- if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_549()) return true;
return false;
}
- inline bool jj_3R_239()
+ inline bool jj_3R_401()
{
if (jj_done) return true;
- if (jj_scan_token(DOWNTO_T)) return true;
+ if (jj_scan_token(DISCONNECT_T)) return true;
+ if (jj_3R_493()) return true;
+ if (jj_scan_token(AFTER_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_155()
+ inline bool jj_3_111()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_154()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_109()
+ inline bool jj_3R_382()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_238()) {
+ if (!jj_3R_461()) return false;
jj_scanpos = xsp;
- if (jj_3R_239()) return true;
- }
+ if (jj_3R_462()) return true;
return false;
}
- inline bool jj_3R_238()
- {
- if (jj_done) return true;
- if (jj_scan_token(TO_T)) return true;
- return false;
- }
-
- inline bool jj_3R_569()
+ inline bool jj_3R_461()
{
if (jj_done) return true;
- if (jj_3R_310()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_111()) jj_scanpos = xsp;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(VARASSIGN_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_568()
+ inline bool jj_3R_165()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(DOWNTO_T)) return true;
return false;
}
- inline bool jj_3R_521()
+ inline bool jj_3R_481()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_568()) {
- jj_scanpos = xsp;
- if (jj_3R_569()) return true;
- }
+ if (jj_scan_token(VARASSIGN_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_462()
+ inline bool jj_3R_164()
{
if (jj_done) return true;
- if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(TO_T)) return true;
return false;
}
- inline bool jj_3R_375()
+ inline bool jj_3R_70()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(101)) jj_scanpos = xsp;
- if (jj_scan_token(VARIABLE_T)) return true;
- if (jj_3R_196()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
- xsp = jj_scanpos;
- if (jj_3R_462()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
- return false;
- }
-
- inline bool jj_3R_473()
- {
- if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_472()) return true;
+ if (!jj_3R_164()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_165()) return true;
return false;
}
- inline bool jj_3R_559()
+ inline bool jj_3R_595()
{
if (jj_done) return true;
- if (jj_scan_token(REJECT_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_321()) return true;
return false;
}
- inline bool jj_3R_440()
+ inline bool jj_3R_594()
{
if (jj_done) return true;
- if (jj_3R_527()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_495()
+ inline bool jj_3R_543()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_559()) jj_scanpos = xsp;
- if (jj_scan_token(INERTIAL_T)) return true;
+ if (!jj_3R_594()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_595()) return true;
return false;
}
- inline bool jj_3R_405()
+ inline bool jj_3R_350()
{
if (jj_done) return true;
+ if (jj_scan_token(USE_T)) return true;
+ if (jj_3R_443()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_494()) {
- jj_scanpos = xsp;
- if (jj_3R_495()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_494()) { jj_scanpos = xsp; break; }
}
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_494()
+ inline bool jj_3R_579()
{
if (jj_done) return true;
- if (jj_scan_token(TRANSPORT_T)) return true;
+ if (jj_scan_token(REJECT_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3_104()
+ inline bool jj_3_106()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_138()) return true;
return false;
}
- inline bool jj_3R_362()
+ inline bool jj_3R_730()
{
if (jj_done) return true;
+ if (jj_scan_token(ARRAY_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_747()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_439()) {
- jj_scanpos = xsp;
- if (jj_3R_440()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_748()) { jj_scanpos = xsp; break; }
}
+ if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(OF_T)) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_439()
+ inline bool jj_3R_522()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_104()) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
- if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_579()) jj_scanpos = xsp;
+ if (jj_scan_token(INERTIAL_T)) return true;
return false;
}
- inline bool jj_3_28()
+ inline bool jj_3R_521()
{
if (jj_done) return true;
- if (jj_3R_82()) return true;
+ if (jj_scan_token(TRANSPORT_T)) return true;
return false;
}
- inline bool jj_3_27()
+ inline bool jj_3R_424()
{
if (jj_done) return true;
- if (jj_3R_81()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_521()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_522()) return true;
return false;
}
- inline bool jj_3R_277()
+ inline bool jj_3R_160()
{
if (jj_done) return true;
- if (jj_3R_82()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_133()
+ inline bool jj_3_29()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_276()) {
- jj_scanpos = xsp;
- if (jj_3R_277()) return true;
- }
+ if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3R_276()
+ inline bool jj_3R_191()
{
if (jj_done) return true;
- if (jj_3R_81()) return true;
+ if (jj_3R_138()) return true;
return false;
}
- inline bool jj_3R_382()
+ inline bool jj_3R_659()
{
if (jj_done) return true;
- if (jj_scan_token(USE_T)) return true;
- if (jj_3R_472()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_473()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_682()) return true;
return false;
}
- inline bool jj_3R_62()
+ inline bool jj_3_28()
{
if (jj_done) return true;
- if (jj_scan_token(ARRAY_T)) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_154()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_155()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(RPAREN_T)) return true;
- if (jj_scan_token(OF_T)) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_85()) return true;
return false;
}
- inline bool jj_3R_157()
+ inline bool jj_3R_187()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_351()) return true;
return false;
}
- inline bool jj_3R_704()
+ inline bool jj_3_110()
{
if (jj_done) return true;
- if (jj_scan_token(ARRAY_T)) return true;
- if (jj_3R_82()) return true;
- if (jj_scan_token(OF_T)) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_142()) return true;
return false;
}
- inline bool jj_3R_637()
+ inline bool jj_3R_658()
{
if (jj_done) return true;
- if (jj_3R_658()) return true;
+ if (jj_3R_681()) return true;
return false;
}
- inline bool jj_3_103()
+ inline bool jj_3R_186()
{
if (jj_done) return true;
- if (jj_3R_137()) return true;
+ if (jj_3R_350()) return true;
return false;
}
- inline bool jj_3R_636()
+ inline bool jj_3R_657()
{
if (jj_done) return true;
- if (jj_3R_657()) return true;
+ if (jj_3R_680()) return true;
return false;
}
- inline bool jj_3R_635()
+ inline bool jj_3R_656()
{
if (jj_done) return true;
- if (jj_3R_656()) return true;
+ if (jj_3R_679()) return true;
return false;
}
- inline bool jj_3R_634()
+ inline bool jj_3R_185()
{
if (jj_done) return true;
- if (jj_3R_655()) return true;
+ if (jj_3R_349()) return true;
return false;
}
- inline bool jj_3R_373()
+ inline bool jj_3R_84()
{
if (jj_done) return true;
- if (jj_scan_token(CONSTANT_T)) return true;
- if (jj_3R_196()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_459()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (!jj_3R_185()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_186()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_187()) return true;
return false;
}
- inline bool jj_3R_633()
+ inline bool jj_3R_655()
{
if (jj_done) return true;
- if (jj_3R_654()) return true;
+ if (jj_3R_678()) return true;
return false;
}
- inline bool jj_3R_577()
+ inline bool jj_3R_602()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_633()) {
+ if (!jj_3R_655()) return false;
jj_scanpos = xsp;
- if (jj_3R_634()) {
+ if (!jj_3R_656()) return false;
jj_scanpos = xsp;
- if (jj_3R_635()) {
+ if (!jj_3R_657()) return false;
jj_scanpos = xsp;
- if (jj_3R_636()) {
+ if (!jj_3R_658()) return false;
jj_scanpos = xsp;
- if (jj_3_103()) {
+ if (!jj_3_110()) return false;
jj_scanpos = xsp;
- if (jj_3R_637()) return true;
- }
- }
- }
- }
- }
+ if (jj_3R_659()) return true;
return false;
}
- inline bool jj_3_26()
+ inline bool jj_3R_286()
{
if (jj_done) return true;
- if (jj_3R_80()) return true;
+ if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3_99()
+ inline bool jj_3R_138()
{
if (jj_done) return true;
- if (jj_3R_133()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_285()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_286()) return true;
return false;
}
- inline bool jj_3R_458()
+ inline bool jj_3R_285()
{
if (jj_done) return true;
- if (jj_3R_532()) return true;
+ if (jj_3R_85()) return true;
return false;
}
- inline bool jj_3R_380()
+ inline bool jj_3R_190()
{
if (jj_done) return true;
- if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_177()) return true;
- if (jj_3R_332()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_567()
+ inline bool jj_3R_480()
{
if (jj_done) return true;
- if (jj_3R_333()) return true;
+ if (jj_3R_553()) return true;
return false;
}
- inline bool jj_3_102()
+ inline bool jj_3_109()
{
if (jj_done) return true;
- if (jj_3R_136()) return true;
+ if (jj_3R_141()) return true;
return false;
}
- inline bool jj_3R_371()
+ inline bool jj_3R_391()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_102()) {
+ if (!jj_3_109()) return false;
jj_scanpos = xsp;
- if (jj_3R_458()) return true;
- }
+ if (jj_3R_480()) return true;
return false;
}
- inline bool jj_3R_105()
- {
- if (jj_done) return true;
- if (jj_3R_59()) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
- return false;
- }
-
- inline bool jj_3R_566()
+ inline bool jj_3_27()
{
if (jj_done) return true;
- if (jj_3R_80()) return true;
+ if (jj_3R_84()) return true;
return false;
}
- inline bool jj_3R_508()
+ inline bool jj_3R_109()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_566()) {
- jj_scanpos = xsp;
- if (jj_3R_567()) return true;
- }
+ if (jj_3R_62()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_101()
+ inline bool jj_3_108()
{
if (jj_done) return true;
- if (jj_3R_134()) return true;
- if (jj_3R_135()) return true;
+ if (jj_3R_139()) return true;
+ if (jj_3R_140()) return true;
return false;
}
- inline bool jj_3R_184()
+ inline bool jj_3R_729()
{
if (jj_done) return true;
- if (jj_3R_133()) return true;
+ if (jj_scan_token(ARRAY_T)) return true;
+ if (jj_3R_64()) return true;
+ if (jj_scan_token(OF_T)) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_366()
+ inline bool jj_3R_386()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_129()
+ inline bool jj_3R_133()
{
if (jj_done) return true;
- if (jj_3R_135()) return true;
+ if (jj_3R_140()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3_101()) { jj_scanpos = xsp; break; }
+ if (jj_3_108()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_247()
+ inline bool jj_3R_393()
{
if (jj_done) return true;
- if (jj_3R_108()) return true;
+ if (jj_scan_token(CONSTANT_T)) return true;
+ if (jj_3R_203()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_87()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_481()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_114()
+ inline bool jj_3R_256()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_246()) {
- jj_scanpos = xsp;
- if (jj_3R_247()) return true;
- }
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3_100()
+ inline bool jj_3R_118()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_255()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_256()) return true;
return false;
}
- inline bool jj_3R_246()
+ inline bool jj_3_107()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3_98()
+ inline bool jj_3R_255()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_218()
+ inline bool jj_3_26()
{
if (jj_done) return true;
- if (jj_scan_token(ALL_T)) return true;
+ if (jj_3R_83()) return true;
return false;
}
- inline bool jj_3R_217()
+ inline bool jj_3R_226()
{
if (jj_done) return true;
- if (jj_3R_310()) return true;
+ if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_216()
+ inline bool jj_3R_400()
{
if (jj_done) return true;
- if (jj_3R_352()) return true;
+ if (jj_scan_token(FOR_T)) return true;
+ if (jj_3R_182()) return true;
+ if (jj_3R_347()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_25()
+ inline bool jj_3R_225()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
- if (jj_scan_token(ELSE_T)) return true;
+ if (jj_3R_321()) return true;
return false;
}
- inline bool jj_3R_350()
+ inline bool jj_3R_224()
{
if (jj_done) return true;
- if (jj_scan_token(CONFIGURATION_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_372()) return true;
return false;
}
- inline bool jj_3R_215()
+ inline bool jj_3R_593()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_348()) return true;
return false;
}
- inline bool jj_3R_99()
+ inline bool jj_3R_103()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_215()) {
+ if (!jj_3R_223()) return false;
jj_scanpos = xsp;
- if (jj_3R_216()) {
+ if (!jj_3R_224()) return false;
jj_scanpos = xsp;
- if (jj_3R_217()) {
+ if (!jj_3R_225()) return false;
jj_scanpos = xsp;
- if (jj_3R_218()) return true;
- }
- }
- }
+ if (jj_3R_226()) return true;
return false;
}
- inline bool jj_3R_183()
+ inline bool jj_3R_223()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_320()
+ inline bool jj_3R_592()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_3R_83()) return true;
return false;
}
- inline bool jj_3R_84()
+ inline bool jj_3R_530()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_183()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_184()) jj_scanpos = xsp;
+ if (!jj_3R_592()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_593()) return true;
return false;
}
- inline bool jj_3R_319()
+ inline bool jj_3_105()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
- if (jj_scan_token(ELSE_T)) return true;
- if (jj_3R_318()) return true;
+ if (jj_3R_137()) return true;
return false;
}
- inline bool jj_3R_167()
+ inline bool jj_3R_87()
{
if (jj_done) return true;
- if (jj_3R_318()) return true;
Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_105()) jj_scanpos = xsp;
+ if (jj_3R_190()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_319()) { jj_scanpos = xsp; break; }
+ if (jj_3R_190()) { jj_scanpos = xsp; break; }
}
xsp = jj_scanpos;
- if (jj_3R_320()) jj_scanpos = xsp;
+ if (jj_3R_191()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_372()
+ inline bool jj_3R_137()
{
if (jj_done) return true;
- if (jj_scan_token(SUBTYPE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_84()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_631()
+ inline bool jj_3_25()
{
if (jj_done) return true;
- if (jj_3R_112()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_82()) return true;
+ if (jj_scan_token(ELSE_T)) return true;
return false;
}
- inline bool jj_3R_71()
+ inline bool jj_3R_392()
{
if (jj_done) return true;
- if (jj_3R_114()) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
- if (jj_3R_166()) return true;
- if (jj_3R_167()) return true;
+ if (jj_scan_token(SUBTYPE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_87()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_572()
+ inline bool jj_3R_653()
+ {
+ if (jj_done) return true;
+ if (jj_3R_116()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_598()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_631()) { jj_scanpos = xsp; break; }
+ if (jj_3R_653()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_365()
+ inline bool jj_3R_369()
{
if (jj_done) return true;
- if (jj_scan_token(UNTIL_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_scan_token(CONFIGURATION_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_524()
+ inline bool jj_3R_334()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_570()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_82()) return true;
return false;
}
- inline bool jj_3R_79()
+ inline bool jj_3R_333()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_82()) return true;
+ if (jj_scan_token(ELSE_T)) return true;
+ if (jj_3R_332()) return true;
return false;
}
- inline bool jj_3_24()
+ inline bool jj_3R_546()
{
if (jj_done) return true;
- if (jj_3R_78()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_596()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_23()
+ inline bool jj_3R_172()
{
if (jj_done) return true;
- if (jj_3R_77()) return true;
+ if (jj_3R_332()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_333()) { jj_scanpos = xsp; break; }
+ }
+ xsp = jj_scanpos;
+ if (jj_3R_334()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_523()
+ inline bool jj_3R_74()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(84)) {
- jj_scanpos = xsp;
- if (jj_scan_token(52)) return true;
- }
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_3R_171()) return true;
+ if (jj_3R_172()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_22()
+ inline bool jj_3R_545()
{
if (jj_done) return true;
- if (jj_3R_76()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_scan_token(84)) return false;
+ jj_scanpos = xsp;
+ if (jj_scan_token(52)) return true;
return false;
}
- inline bool jj_3_97()
+ inline bool jj_3_104()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ if (jj_3R_136()) return true;
return false;
}
- inline bool jj_3R_433()
+ inline bool jj_3R_455()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_523()) jj_scanpos = xsp;
+ if (jj_3R_545()) jj_scanpos = xsp;
if (jj_scan_token(FUNCTION_T)) return true;
- if (jj_3R_521()) return true;
+ if (jj_3R_543()) return true;
xsp = jj_scanpos;
- if (jj_3R_524()) jj_scanpos = xsp;
+ if (jj_3R_546()) jj_scanpos = xsp;
if (jj_scan_token(RETURN_T)) return true;
- if (jj_3R_157()) return true;
- return false;
- }
-
- inline bool jj_3R_75()
- {
- if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_160()) return true;
return false;
}
- inline bool jj_3_96()
+ inline bool jj_3_103()
{
if (jj_done) return true;
- if (jj_3R_131()) return true;
+ if (jj_3R_135()) return true;
return false;
}
- inline bool jj_3R_522()
+ inline bool jj_3R_544()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_313()) return true;
+ if (jj_3R_327()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_21()
+ inline bool jj_3R_385()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_75()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- if (jj_scan_token(ASSERT_T)) return true;
+ if (jj_scan_token(UNTIL_T)) return true;
+ if (jj_3R_82()) return true;
return false;
}
- inline bool jj_3R_504()
+ inline bool jj_3R_82()
{
if (jj_done) return true;
- if (jj_3R_78()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_503()
+ inline bool jj_3_24()
{
if (jj_done) return true;
- if (jj_3R_77()) return true;
+ if (jj_3R_81()) return true;
return false;
}
- inline bool jj_3_20()
+ inline bool jj_3_23()
{
if (jj_done) return true;
- if (jj_3R_74()) return true;
+ if (jj_3R_80()) return true;
return false;
}
- inline bool jj_3R_73()
+ inline bool jj_3_22()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_79()) return true;
return false;
}
- inline bool jj_3R_502()
+ inline bool jj_3R_366()
{
if (jj_done) return true;
- if (jj_3R_76()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_454()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_455()) return true;
return false;
}
- inline bool jj_3_19()
+ inline bool jj_3R_454()
{
if (jj_done) return true;
+ if (jj_scan_token(PROCEDURE_T)) return true;
+ if (jj_3R_543()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_73()) jj_scanpos = xsp;
+ if (jj_3R_544()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- if (jj_scan_token(PROCESS_T)) return true;
+ if (jj_3_103()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3_104()) jj_scanpos = xsp;
+ if (jj_3R_446()) return true;
return false;
}
- inline bool jj_3R_72()
+ inline bool jj_3R_78()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_501()
+ inline bool jj_3_21()
{
if (jj_done) return true;
- if (jj_3R_87()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_78()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ if (jj_scan_token(ASSERT_T)) return true;
return false;
}
- inline bool jj_3_18()
+ inline bool jj_3R_587()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_72()) jj_scanpos = xsp;
- if (jj_scan_token(BLOCK_T)) return true;
+ if (jj_3R_81()) return true;
return false;
}
- inline bool jj_3R_347()
+ inline bool jj_3R_654()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_432()) {
+ if (!jj_scan_token(46)) return false;
jj_scanpos = xsp;
- if (jj_3R_433()) return true;
- }
+ if (jj_scan_token(80)) return true;
return false;
}
- inline bool jj_3R_432()
+ inline bool jj_3R_586()
{
if (jj_done) return true;
- if (jj_scan_token(PROCEDURE_T)) return true;
- if (jj_3R_521()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_522()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3_96()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3_97()) jj_scanpos = xsp;
- if (jj_3R_424()) return true;
+ if (jj_3R_80()) return true;
return false;
}
- inline bool jj_3R_500()
+ inline bool jj_3_20()
{
if (jj_done) return true;
- if (jj_3R_561()) return true;
+ if (jj_3R_77()) return true;
return false;
}
- inline bool jj_3R_499()
+ inline bool jj_3R_652()
{
if (jj_done) return true;
- if (jj_3R_74()) return true;
+ if (jj_3R_677()) return true;
return false;
}
- inline bool jj_3R_632()
+ inline bool jj_3R_597()
{
if (jj_done) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(46)) {
- jj_scanpos = xsp;
- if (jj_scan_token(80)) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_652()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_498()
- {
- if (jj_done) return true;
- if (jj_3R_88()) return true;
- return false;
- }
-
- inline bool jj_3R_630()
+ inline bool jj_3R_585()
{
if (jj_done) return true;
- if (jj_3R_653()) return true;
+ if (jj_3R_79()) return true;
return false;
}
- inline bool jj_3R_571()
+ inline bool jj_3R_76()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_630()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_410()
+ inline bool jj_3_19()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_497()) {
- jj_scanpos = xsp;
- if (jj_3R_498()) {
- jj_scanpos = xsp;
- if (jj_3R_499()) {
- jj_scanpos = xsp;
- if (jj_3R_500()) {
- jj_scanpos = xsp;
- if (jj_3R_501()) {
- jj_scanpos = xsp;
- if (jj_3R_502()) {
- jj_scanpos = xsp;
- if (jj_3R_503()) {
- jj_scanpos = xsp;
- if (jj_3R_504()) {
- jj_scanpos = xsp;
- if (jj_scan_token(189)) return true;
- }
- }
- }
- }
- }
- }
- }
- }
+ if (jj_3R_76()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ if (jj_scan_token(PROCESS_T)) return true;
return false;
}
- inline bool jj_3R_497()
+ inline bool jj_3R_584()
{
if (jj_done) return true;
- if (jj_3R_560()) return true;
+ if (jj_3R_90()) return true;
return false;
}
- inline bool jj_3_17()
+ inline bool jj_3R_75()
{
if (jj_done) return true;
- if (jj_3R_71()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3_94()
+ inline bool jj_3_18()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_75()) jj_scanpos = xsp;
+ if (jj_scan_token(BLOCK_T)) return true;
return false;
}
- inline bool jj_3R_675()
+ inline bool jj_3_101()
{
if (jj_done) return true;
- if (jj_3R_383()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_172()
+ inline bool jj_3R_702()
{
if (jj_done) return true;
- if (jj_3R_325()) return true;
+ if (jj_3R_402()) return true;
return false;
}
- inline bool jj_3R_674()
+ inline bool jj_3R_583()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_3R_641()) return true;
return false;
}
- inline bool jj_3_95()
+ inline bool jj_3R_582()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_77()) return true;
return false;
}
- inline bool jj_3R_673()
+ inline bool jj_3R_701()
{
if (jj_done) return true;
- if (jj_3R_379()) return true;
+ if (jj_3R_350()) return true;
return false;
}
- inline bool jj_3R_171()
+ inline bool jj_3_102()
{
if (jj_done) return true;
- if (jj_3R_71()) return true;
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3_16()
+ inline bool jj_3R_700()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_399()) return true;
return false;
}
- inline bool jj_3R_76()
+ inline bool jj_3R_581()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3_16()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_171()) {
- jj_scanpos = xsp;
- if (jj_3R_172()) return true;
- }
+ if (jj_3R_91()) return true;
return false;
}
- inline bool jj_3R_672()
+ inline bool jj_3R_699()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_671()
+ inline bool jj_3R_698()
{
if (jj_done) return true;
- if (jj_3R_377()) return true;
+ if (jj_3R_397()) return true;
return false;
}
- inline bool jj_3R_670()
+ inline bool jj_3R_580()
{
if (jj_done) return true;
- if (jj_3R_376()) return true;
+ if (jj_3R_640()) return true;
return false;
}
- inline bool jj_3_15()
+ inline bool jj_3R_525()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_580()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_581()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_582()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_583()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_584()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_585()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_586()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_587()) return false;
+ jj_scanpos = xsp;
+ if (jj_scan_token(189)) return true;
return false;
}
- inline bool jj_3R_669()
+ inline bool jj_3R_697()
{
if (jj_done) return true;
- if (jj_3R_375()) return true;
+ if (jj_3R_396()) return true;
return false;
}
- inline bool jj_3R_574()
+ inline bool jj_3_17()
{
if (jj_done) return true;
- if (jj_3R_521()) return true;
+ if (jj_3R_74()) return true;
return false;
}
- inline bool jj_3R_668()
+ inline bool jj_3R_696()
{
if (jj_done) return true;
- if (jj_3R_373()) return true;
+ if (jj_3R_395()) return true;
return false;
}
- inline bool jj_3R_667()
+ inline bool jj_3R_600()
{
if (jj_done) return true;
- if (jj_3R_372()) return true;
+ if (jj_3R_543()) return true;
return false;
}
- inline bool jj_3R_666()
+ inline bool jj_3R_695()
{
if (jj_done) return true;
- if (jj_3R_525()) return true;
+ if (jj_3R_393()) return true;
return false;
}
- inline bool jj_3R_78()
+ inline bool jj_3R_694()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3_15()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- if (jj_3R_176()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_392()) return true;
return false;
}
- inline bool jj_3_14()
+ inline bool jj_3R_693()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_547()) return true;
return false;
}
- inline bool jj_3_13()
+ inline bool jj_3R_692()
{
if (jj_done) return true;
- if (jj_3R_70()) return true;
+ if (jj_3R_391()) return true;
return false;
}
- inline bool jj_3R_665()
+ inline bool jj_3R_177()
{
if (jj_done) return true;
- if (jj_3R_371()) return true;
+ if (jj_3R_340()) return true;
return false;
}
- inline bool jj_3R_664()
+ inline bool jj_3R_691()
{
if (jj_done) return true;
- if (jj_3R_92()) return true;
+ if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_653()
+ inline bool jj_3R_677()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_664()) {
+ if (!jj_3R_691()) return false;
jj_scanpos = xsp;
- if (jj_3R_665()) {
+ if (!jj_3R_692()) return false;
jj_scanpos = xsp;
- if (jj_3R_666()) {
+ if (!jj_3R_693()) return false;
jj_scanpos = xsp;
- if (jj_3R_667()) {
+ if (!jj_3R_694()) return false;
jj_scanpos = xsp;
- if (jj_3R_668()) {
+ if (!jj_3R_695()) return false;
jj_scanpos = xsp;
- if (jj_3R_669()) {
+ if (!jj_3R_696()) return false;
jj_scanpos = xsp;
- if (jj_3R_670()) {
+ if (!jj_3R_697()) return false;
jj_scanpos = xsp;
- if (jj_3R_671()) {
+ if (!jj_3R_698()) return false;
jj_scanpos = xsp;
- if (jj_3R_672()) {
+ if (!jj_3R_699()) return false;
jj_scanpos = xsp;
- if (jj_3R_673()) {
+ if (!jj_3R_700()) return false;
jj_scanpos = xsp;
- if (jj_3R_674()) {
+ if (!jj_3R_701()) return false;
jj_scanpos = xsp;
- if (jj_3_95()) {
+ if (!jj_3_102()) return false;
jj_scanpos = xsp;
- if (jj_3R_675()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
+ if (jj_3R_702()) return true;
return false;
}
- inline bool jj_3R_87()
+ inline bool jj_3R_176()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3_14()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- if (jj_3R_187()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_74()) return true;
return false;
}
- inline bool jj_3R_679()
+ inline bool jj_3_16()
{
if (jj_done) return true;
- if (jj_3R_691()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_461()
+ inline bool jj_3R_483()
{
if (jj_done) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_58()) return true;
- return false;
- }
-
- inline bool jj_3R_678()
- {
- if (jj_done) return true;
- if (jj_3R_690()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_655()
+ inline bool jj_3R_79()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_678()) {
+ if (jj_3_16()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_176()) return false;
jj_scanpos = xsp;
- if (jj_3R_679()) return true;
- }
+ if (jj_3R_177()) return true;
return false;
}
- inline bool jj_3_93()
+ inline bool jj_3_100()
{
if (jj_done) return true;
- if (jj_3R_130()) return true;
+ if (jj_3R_134()) return true;
return false;
}
- inline bool jj_3R_348()
+ inline bool jj_3R_367()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_434()) {
+ if (!jj_3R_456()) return false;
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
- }
return false;
}
- inline bool jj_3R_434()
+ inline bool jj_3R_456()
{
if (jj_done) return true;
- if (jj_3R_525()) return true;
+ if (jj_3R_547()) return true;
return false;
}
- inline bool jj_3R_175()
+ inline bool jj_3_15()
{
if (jj_done) return true;
- if (jj_3R_329()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_174()
+ inline bool jj_3R_81()
{
if (jj_done) return true;
- if (jj_3R_70()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_15()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ if (jj_3R_181()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_469()
+ inline bool jj_3_14()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_177()
+ inline bool jj_3_13()
{
if (jj_done) return true;
- if (jj_3R_331()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_72()) return true;
return false;
}
- inline bool jj_3R_200()
+ inline bool jj_3R_207()
{
if (jj_done) return true;
- if (jj_3R_347()) return true;
- if (jj_3R_348()) return true;
+ if (jj_3R_366()) return true;
+ if (jj_3R_367()) return true;
return false;
}
- inline bool jj_3R_573()
+ inline bool jj_3R_90()
{
if (jj_done) return true;
- if (jj_3R_632()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_14()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ if (jj_3R_194()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_199()
+ inline bool jj_3R_599()
{
if (jj_done) return true;
- if (jj_3R_130()) return true;
+ if (jj_3R_654()) return true;
return false;
}
- inline bool jj_3R_92()
+ inline bool jj_3R_206()
+ {
+ if (jj_done) return true;
+ if (jj_3R_134()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_96()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_199()) {
+ if (!jj_3R_206()) return false;
jj_scanpos = xsp;
- if (jj_3R_200()) return true;
- }
+ if (jj_3R_207()) return true;
return false;
}
- inline bool jj_3_92()
+ inline bool jj_3_99()
{
if (jj_done) return true;
- if (jj_3R_128()) return true;
- if (jj_3R_129()) return true;
+ if (jj_3R_132()) return true;
+ if (jj_3R_133()) return true;
return false;
}
- inline bool jj_3R_460()
+ inline bool jj_3R_706()
{
if (jj_done) return true;
- if (jj_3R_533()) return true;
+ if (jj_3R_719()) return true;
return false;
}
- inline bool jj_3R_162()
+ inline bool jj_3R_705()
{
if (jj_done) return true;
- if (jj_3R_128()) return true;
- if (jj_3R_129()) return true;
+ if (jj_3R_718()) return true;
return false;
}
- inline bool jj_3R_77()
+ inline bool jj_3R_679()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_173()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_174()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_175()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (!jj_3R_705()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_706()) return true;
return false;
}
- inline bool jj_3R_468()
+ inline bool jj_3R_180()
{
if (jj_done) return true;
- if (jj_3R_538()) return true;
+ if (jj_3R_344()) return true;
return false;
}
- inline bool jj_3R_467()
+ inline bool jj_3R_179()
{
if (jj_done) return true;
- if (jj_3R_66()) return true;
+ if (jj_3R_72()) return true;
return false;
}
- inline bool jj_3R_525()
+ inline bool jj_3R_491()
{
if (jj_done) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_571()) return true;
- if (jj_scan_token(BEGIN_T)) return true;
- if (jj_3R_572()) return true;
- if (jj_scan_token(END_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_573()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_574()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_179()
+ inline bool jj_3R_482()
{
if (jj_done) return true;
- if (jj_3R_333()) return true;
+ if (jj_3R_554()) return true;
return false;
}
- inline bool jj_3R_178()
+ inline bool jj_3R_182()
{
if (jj_done) return true;
- if (jj_3R_332()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_346()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_614()
+ inline bool jj_3R_163()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_132()) return true;
+ if (jj_3R_133()) return true;
return false;
}
- inline bool jj_3R_186()
+ inline bool jj_3R_547()
{
if (jj_done) return true;
- if (jj_scan_token(BAR_T)) return true;
- if (jj_3R_185()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_597()) return true;
+ if (jj_scan_token(BEGIN_T)) return true;
+ if (jj_3R_598()) return true;
+ if (jj_scan_token(END_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_599()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_600()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_378()
+ inline bool jj_3R_80()
{
if (jj_done) return true;
- if (jj_scan_token(COMPONENT_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_178()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(56)) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_467()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_468()) jj_scanpos = xsp;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(COMPONENT_T)) return true;
+ if (jj_3R_179()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_469()) jj_scanpos = xsp;
+ if (jj_3R_180()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_356()
+ inline bool jj_3R_376()
{
if (jj_done) return true;
if (jj_scan_token(STRINGLITERAL)) return true;
return false;
}
- inline bool jj_3R_80()
+ inline bool jj_3R_490()
{
if (jj_done) return true;
- if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_177()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_178()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_179()) jj_scanpos = xsp;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(FOR_T)) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_559()) return true;
return false;
}
- inline bool jj_3_12()
+ inline bool jj_3R_489()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_68()) return true;
return false;
}
- inline bool jj_3_11()
+ inline bool jj_3R_184()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
+ if (jj_3R_348()) return true;
return false;
}
- inline bool jj_3R_85()
+ inline bool jj_3R_183()
{
if (jj_done) return true;
- if (jj_3R_185()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_186()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_347()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_435()
+ inline bool jj_3R_457()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3_10()
+ inline bool jj_3R_193()
{
if (jj_done) return true;
- if (jj_3R_67()) return true;
+ if (jj_scan_token(BAR_T)) return true;
+ if (jj_3R_192()) return true;
return false;
}
- inline bool jj_3R_337()
+ inline bool jj_3R_398()
{
if (jj_done) return true;
- if (jj_scan_token(OTHER_T)) return true;
+ if (jj_scan_token(COMPONENT_T)) return true;
+ if (jj_3R_73()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(56)) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_489()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_490()) jj_scanpos = xsp;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(COMPONENT_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_491()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_161()
+ inline bool jj_3R_162()
{
if (jj_done) return true;
- if (jj_3R_314()) return true;
+ if (jj_3R_328()) return true;
return false;
}
- inline bool jj_3R_68()
+ inline bool jj_3R_671()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_161()) jj_scanpos = xsp;
- if (jj_3R_129()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_162()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_336()
+ inline bool jj_3R_69()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_162()) jj_scanpos = xsp;
+ if (jj_3R_133()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_163()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_335()
+ inline bool jj_3R_83()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
+ if (jj_scan_token(FOR_T)) return true;
+ if (jj_3R_182()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_183()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_184()) jj_scanpos = xsp;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(FOR_T)) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_358()
+ inline bool jj_3R_378()
{
if (jj_done) return true;
if (jj_scan_token(RETURN_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_639()
+ inline bool jj_3R_662()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_357()
+ inline bool jj_3R_377()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_435()) { jj_scanpos = xsp; break; }
+ if (jj_3R_457()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_628()
+ inline bool jj_3_12()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_71()) return true;
return false;
}
- inline bool jj_3R_185()
+ inline bool jj_3R_88()
{
if (jj_done) return true;
+ if (jj_3R_192()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_334()) {
- jj_scanpos = xsp;
- if (jj_3R_335()) {
- jj_scanpos = xsp;
- if (jj_3R_336()) {
- jj_scanpos = xsp;
- if (jj_3R_337()) return true;
- }
- }
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_193()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_334()
- {
- if (jj_done) return true;
- if (jj_3R_67()) return true;
- return false;
- }
-
- inline bool jj_3R_234()
+ inline bool jj_3R_243()
{
if (jj_done) return true;
if (jj_scan_token(LBRACKET_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_357()) jj_scanpos = xsp;
+ if (jj_3R_377()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_358()) jj_scanpos = xsp;
+ if (jj_3R_378()) jj_scanpos = xsp;
if (jj_scan_token(RBRACKET_T)) return true;
return false;
}
- inline bool jj_3R_605()
+ inline bool jj_3_11()
+ {
+ if (jj_done) return true;
+ if (jj_3R_69()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_631()
{
if (jj_done) return true;
if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_352()
+ inline bool jj_3R_356()
{
if (jj_done) return true;
- if (jj_scan_token(CHARACTER_LITERAL)) return true;
+ if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_604()
+ inline bool jj_3R_630()
{
if (jj_done) return true;
if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_603()
+ inline bool jj_3_10()
+ {
+ if (jj_done) return true;
+ if (jj_3R_69()) return true;
+ if (jj_3R_70()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_355()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_629()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_639()) { jj_scanpos = xsp; break; }
+ if (jj_3R_662()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_541()
+ inline bool jj_3R_562()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_603()) {
+ if (!jj_3R_629()) return false;
jj_scanpos = xsp;
- if (jj_3R_604()) {
+ if (!jj_3R_630()) return false;
jj_scanpos = xsp;
- if (jj_3R_605()) return true;
- }
- }
+ if (jj_3R_631()) return true;
return false;
}
- inline bool jj_3R_579()
+ inline bool jj_3R_604()
{
if (jj_done) return true;
if (jj_scan_token(BUS_T)) return true;
return false;
}
- inline bool jj_3R_578()
+ inline bool jj_3R_354()
+ {
+ if (jj_done) return true;
+ if (jj_3R_71()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_603()
{
if (jj_done) return true;
if (jj_scan_token(REGISTER_T)) return true;
return false;
}
- inline bool jj_3R_533()
+ inline bool jj_3R_554()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_578()) {
+ if (!jj_3R_603()) return false;
jj_scanpos = xsp;
- if (jj_3R_579()) return true;
- }
+ if (jj_3R_604()) return true;
return false;
}
- inline bool jj_3R_626()
+ inline bool jj_3R_353()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
- if (jj_scan_token(ARROW_T)) return true;
- if (jj_3R_259()) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_618()
+ inline bool jj_3R_192()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_352()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_353()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_354()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_355()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_356()) return true;
return false;
}
- inline bool jj_3R_627()
+ inline bool jj_3R_352()
{
if (jj_done) return true;
- if (jj_3R_626()) return true;
+ if (jj_3R_86()) return true;
return false;
}
- inline bool jj_3R_660()
+ inline bool jj_3R_650()
{
if (jj_done) return true;
- if (jj_3R_70()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_374()
+ inline bool jj_3R_372()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(CHARACTER_LITERAL)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_394()
{
if (jj_done) return true;
if (jj_scan_token(SIGNAL_T)) return true;
- if (jj_3R_196()) return true;
+ if (jj_3R_203()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_87()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_460()) jj_scanpos = xsp;
+ if (jj_3R_482()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_461()) jj_scanpos = xsp;
+ if (jj_3R_483()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_91()
+ inline bool jj_3R_648()
{
if (jj_done) return true;
- if (jj_3R_127()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_88()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
+ if (jj_3R_268()) return true;
return false;
}
- inline bool jj_3R_256()
+ inline bool jj_3_98()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_131()) return true;
return false;
}
- inline bool jj_3R_121()
+ inline bool jj_3R_675()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_256()) jj_scanpos = xsp;
- if (jj_scan_token(CASE_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_626()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_627()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(CASE_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_628()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3_90()
+ inline bool jj_3R_649()
{
if (jj_done) return true;
- if (jj_3R_126()) return true;
+ if (jj_3R_648()) return true;
return false;
}
- inline bool jj_3R_651()
+ inline bool jj_3R_713()
{
if (jj_done) return true;
- if (jj_3R_410()) return true;
+ if (jj_3R_72()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_526()
+ inline bool jj_3_97()
{
if (jj_done) return true;
- if (jj_3R_405()) return true;
+ if (jj_3R_130()) return true;
return false;
}
- inline bool jj_3R_617()
+ inline bool jj_3R_548()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_651()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_424()) return true;
return false;
}
- inline bool jj_3_89()
+ inline bool jj_3_96()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_438()
+ inline bool jj_3R_460()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_89()) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
+ if (jj_3_96()) jj_scanpos = xsp;
+ if (jj_3R_118()) return true;
if (jj_scan_token(LESSTHAN_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_526()) jj_scanpos = xsp;
- if (jj_3R_318()) return true;
+ if (jj_3R_548()) jj_scanpos = xsp;
+ if (jj_3R_332()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_437()
+ inline bool jj_3R_459()
{
if (jj_done) return true;
- if (jj_3R_127()) return true;
+ if (jj_3R_131()) return true;
return false;
}
- inline bool jj_3R_387()
+ inline bool jj_3R_406()
{
if (jj_done) return true;
- if (jj_3R_477()) return true;
- if (jj_3R_68()) return true;
+ if (jj_3R_498()) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_361()
+ inline bool jj_3R_381()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_436()) {
+ if (!jj_3R_458()) return false;
jj_scanpos = xsp;
- if (jj_3R_437()) {
+ if (!jj_3R_459()) return false;
jj_scanpos = xsp;
- if (jj_3R_438()) return true;
- }
- }
+ if (jj_3R_460()) return true;
return false;
}
- inline bool jj_3R_436()
- {
- if (jj_done) return true;
- if (jj_3R_126()) return true;
- return false;
- }
-
- inline bool jj_3R_661()
+ inline bool jj_3R_458()
{
if (jj_done) return true;
- if (jj_3R_329()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_130()) return true;
return false;
}
- inline bool jj_3R_507()
+ inline bool jj_3R_265()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_565()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_73()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(155)) jj_scanpos = xsp;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_402()
+ inline bool jj_3R_421()
{
if (jj_done) return true;
if (jj_scan_token(MINUS_T)) return true;
return false;
}
- inline bool jj_3R_560()
+ inline bool jj_3R_125()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_scan_token(BLOCK_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_614()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(56)) jj_scanpos = xsp;
- if (jj_3R_615()) return true;
- if (jj_3R_616()) return true;
- if (jj_scan_token(BEGIN_T)) return true;
- if (jj_3R_617()) return true;
+ if (jj_3R_265()) jj_scanpos = xsp;
+ if (jj_scan_token(CASE_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_648()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_649()) { jj_scanpos = xsp; break; }
+ }
if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(BLOCK_T)) return true;
+ if (jj_scan_token(CASE_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_618()) jj_scanpos = xsp;
+ if (jj_3R_650()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_314()
+ inline bool jj_3R_688()
+ {
+ if (jj_done) return true;
+ if (jj_3R_525()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_328()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_401()) {
+ if (!jj_3R_420()) return false;
jj_scanpos = xsp;
- if (jj_3R_402()) return true;
- }
+ if (jj_3R_421()) return true;
return false;
}
- inline bool jj_3R_401()
+ inline bool jj_3R_420()
{
if (jj_done) return true;
if (jj_scan_token(PLUS_T)) return true;
return false;
}
- inline bool jj_3_9()
+ inline bool jj_3R_674()
{
if (jj_done) return true;
- if (jj_3R_66()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_688()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_552()
+ inline bool jj_3R_573()
{
if (jj_done) return true;
if (jj_scan_token(ROR_T)) return true;
return false;
}
- inline bool jj_3R_551()
+ inline bool jj_3R_572()
{
if (jj_done) return true;
if (jj_scan_token(ROL_T)) return true;
return false;
}
- inline bool jj_3R_550()
+ inline bool jj_3R_571()
{
if (jj_done) return true;
if (jj_scan_token(SRA_T)) return true;
return false;
}
- inline bool jj_3R_419()
+ inline bool jj_3R_570()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_507()) jj_scanpos = xsp;
+ if (jj_scan_token(SLA_T)) return true;
return false;
}
- inline bool jj_3R_549()
+ inline bool jj_3R_569()
{
if (jj_done) return true;
- if (jj_scan_token(SLA_T)) return true;
+ if (jj_scan_token(SRL_T)) return true;
return false;
}
- inline bool jj_3R_548()
+ inline bool jj_3R_568()
{
if (jj_done) return true;
- if (jj_scan_token(SRL_T)) return true;
+ if (jj_scan_token(SLL_T)) return true;
return false;
}
- inline bool jj_3R_649()
+ inline bool jj_3R_498()
{
if (jj_done) return true;
- if (jj_3R_538()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_661()) jj_scanpos = xsp;
+ if (!jj_3R_568()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_569()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_570()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_571()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_572()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_573()) return true;
return false;
}
- inline bool jj_3R_547()
+ inline bool jj_3R_714()
{
if (jj_done) return true;
- if (jj_scan_token(SLL_T)) return true;
+ if (jj_3R_344()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_477()
+ inline bool jj_3R_529()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_547()) {
- jj_scanpos = xsp;
- if (jj_3R_548()) {
- jj_scanpos = xsp;
- if (jj_3R_549()) {
- jj_scanpos = xsp;
- if (jj_3R_550()) {
- jj_scanpos = xsp;
- if (jj_3R_551()) {
- jj_scanpos = xsp;
- if (jj_3R_552()) return true;
- }
- }
- }
- }
- }
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_591()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_648()
+ inline bool jj_3R_318()
{
if (jj_done) return true;
- if (jj_3R_66()) return true;
+ if (jj_3R_69()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_660()) jj_scanpos = xsp;
+ if (jj_3R_406()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_615()
+ inline bool jj_3R_640()
{
if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(BLOCK_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_648()) jj_scanpos = xsp;
+ if (jj_3R_671()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_649()) jj_scanpos = xsp;
+ if (jj_scan_token(56)) jj_scanpos = xsp;
+ if (jj_3R_672()) return true;
+ if (jj_3R_673()) return true;
+ if (jj_scan_token(BEGIN_T)) return true;
+ if (jj_3R_674()) return true;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(BLOCK_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_675()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_650()
+ inline bool jj_3R_254()
{
if (jj_done) return true;
- if (jj_3R_140()) return true;
+ if (jj_3R_383()) return true;
return false;
}
- inline bool jj_3R_616()
+ inline bool jj_3_9()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_650()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_68()) return true;
return false;
}
- inline bool jj_3R_307()
+ inline bool jj_3_95()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
+ if (jj_3R_129()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_440()
+ {
+ if (jj_done) return true;
+ if (jj_3R_62()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_387()) jj_scanpos = xsp;
+ if (jj_3R_529()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_245()
+ inline bool jj_3_94()
{
if (jj_done) return true;
- if (jj_3R_363()) return true;
+ if (jj_3R_128()) return true;
return false;
}
- inline bool jj_3_88()
+ inline bool jj_3_93()
{
if (jj_done) return true;
- if (jj_3R_125()) return true;
+ if (jj_3R_127()) return true;
return false;
}
- inline bool jj_3R_300()
+ inline bool jj_3R_686()
{
if (jj_done) return true;
- if (jj_3R_383()) return true;
+ if (jj_3R_559()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_714()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_7()
+ inline bool jj_3R_122()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3_87()
+ inline bool jj_3R_685()
{
if (jj_done) return true;
- if (jj_3R_124()) return true;
+ if (jj_3R_68()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_713()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_8()
+ inline bool jj_3_88()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_122()) jj_scanpos = xsp;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(VARASSIGN_T)) return true;
return false;
}
- inline bool jj_3R_299()
+ inline bool jj_3_92()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_3R_126()) return true;
return false;
}
- inline bool jj_3_86()
+ inline bool jj_3R_672()
{
if (jj_done) return true;
- if (jj_3R_123()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_685()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_686()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_298()
+ inline bool jj_3R_687()
{
if (jj_done) return true;
- if (jj_3R_381()) return true;
+ if (jj_3R_145()) return true;
return false;
}
- inline bool jj_3R_118()
+ inline bool jj_3_91()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_125()) return true;
return false;
}
- inline bool jj_3R_297()
+ inline bool jj_3R_673()
{
if (jj_done) return true;
- if (jj_3R_380()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_687()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_296()
+ inline bool jj_3_90()
{
if (jj_done) return true;
- if (jj_3R_379()) return true;
+ if (jj_3R_124()) return true;
return false;
}
- inline bool jj_3_81()
+ inline bool jj_3_89()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_118()) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
- if (jj_scan_token(VARASSIGN_T)) return true;
+ if (jj_3R_123()) return true;
return false;
}
- inline bool jj_3_85()
+ inline bool jj_3R_311()
{
if (jj_done) return true;
- if (jj_3R_122()) return true;
+ if (jj_3R_402()) return true;
return false;
}
- inline bool jj_3_84()
+ inline bool jj_3_7()
{
if (jj_done) return true;
- if (jj_3R_121()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_294()
+ inline bool jj_3R_117()
{
if (jj_done) return true;
- if (jj_3R_378()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_295()
+ inline bool jj_3R_253()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_382()) return true;
return false;
}
- inline bool jj_3R_293()
+ inline bool jj_3_84()
{
if (jj_done) return true;
- if (jj_3R_377()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_117()) jj_scanpos = xsp;
+ if (jj_3R_118()) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
return false;
}
- inline bool jj_3_83()
+ inline bool jj_3_8()
{
if (jj_done) return true;
- if (jj_3R_120()) return true;
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_292()
+ inline bool jj_3R_310()
{
if (jj_done) return true;
- if (jj_3R_376()) return true;
+ if (jj_3R_350()) return true;
return false;
}
- inline bool jj_3R_291()
+ inline bool jj_3R_309()
{
if (jj_done) return true;
- if (jj_3R_375()) return true;
+ if (jj_3R_401()) return true;
return false;
}
- inline bool jj_3R_290()
+ inline bool jj_3_87()
{
if (jj_done) return true;
- if (jj_3R_374()) return true;
+ if (jj_3R_121()) return true;
return false;
}
- inline bool jj_3R_289()
+ inline bool jj_3R_308()
{
if (jj_done) return true;
- if (jj_3R_373()) return true;
+ if (jj_3R_400()) return true;
return false;
}
- inline bool jj_3_82()
+ inline bool jj_3R_307()
{
if (jj_done) return true;
- if (jj_3R_119()) return true;
+ if (jj_3R_399()) return true;
return false;
}
- inline bool jj_3R_288()
+ inline bool jj_3_86()
{
if (jj_done) return true;
- if (jj_3R_372()) return true;
+ if (jj_3R_120()) return true;
return false;
}
- inline bool jj_3R_287()
+ inline bool jj_3R_527()
{
if (jj_done) return true;
- if (jj_3R_371()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_332()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_88()) return true;
return false;
}
- inline bool jj_3R_421()
+ inline bool jj_3R_305()
{
if (jj_done) return true;
- if (jj_3R_508()) return true;
+ if (jj_3R_398()) return true;
return false;
}
- inline bool jj_3R_113()
+ inline bool jj_3R_306()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_420()
+ inline bool jj_3_85()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_3R_119()) return true;
return false;
}
- inline bool jj_3R_244()
+ inline bool jj_3R_304()
{
if (jj_done) return true;
- if (jj_3R_362()) return true;
+ if (jj_3R_397()) return true;
return false;
}
- inline bool jj_3R_140()
+ inline bool jj_3R_303()
+ {
+ if (jj_done) return true;
+ if (jj_3R_396()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_302()
+ {
+ if (jj_done) return true;
+ if (jj_3R_395()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_116()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_286()) {
- jj_scanpos = xsp;
- if (jj_3R_287()) {
- jj_scanpos = xsp;
- if (jj_3R_288()) {
+ if (!jj_3R_252()) return false;
jj_scanpos = xsp;
- if (jj_3R_289()) {
+ if (!jj_3_85()) return false;
jj_scanpos = xsp;
- if (jj_3R_290()) {
+ if (!jj_3_86()) return false;
jj_scanpos = xsp;
- if (jj_3R_291()) {
+ if (!jj_3_87()) return false;
jj_scanpos = xsp;
- if (jj_3R_292()) {
+ if (!jj_3R_253()) return false;
jj_scanpos = xsp;
- if (jj_3R_293()) {
+ if (!jj_3_89()) return false;
jj_scanpos = xsp;
- if (jj_3R_294()) {
+ if (!jj_3_90()) return false;
jj_scanpos = xsp;
- if (jj_3R_295()) {
+ if (!jj_3_91()) return false;
jj_scanpos = xsp;
- if (jj_3R_296()) {
+ if (!jj_3_92()) return false;
jj_scanpos = xsp;
- if (jj_3R_297()) {
+ if (!jj_3_93()) return false;
jj_scanpos = xsp;
- if (jj_3R_298()) {
+ if (!jj_3_94()) return false;
jj_scanpos = xsp;
- if (jj_3R_299()) {
+ if (!jj_3_95()) return false;
jj_scanpos = xsp;
- if (jj_3_8()) {
- jj_scanpos = xsp;
- if (jj_3R_300()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
+ if (jj_3R_254()) return true;
return false;
}
- inline bool jj_3R_286()
+ inline bool jj_3R_252()
{
if (jj_done) return true;
- if (jj_3R_92()) return true;
+ if (jj_3R_381()) return true;
return false;
}
- inline bool jj_3_77()
+ inline bool jj_3R_301()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_113()) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_3R_394()) return true;
return false;
}
- inline bool jj_3_80()
+ inline bool jj_3R_300()
{
if (jj_done) return true;
- if (jj_3R_117()) return true;
+ if (jj_3R_393()) return true;
return false;
}
- inline bool jj_3_79()
+ inline bool jj_3R_531()
{
if (jj_done) return true;
- if (jj_3R_116()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_333()
+ inline bool jj_3R_299()
{
if (jj_done) return true;
- if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_419()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_420()) { jj_scanpos = xsp; break; }
- }
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_421()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(FOR_T)) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_392()) return true;
return false;
}
- inline bool jj_3_78()
+ inline bool jj_3R_442()
{
if (jj_done) return true;
- if (jj_3R_115()) return true;
+ if (jj_3R_530()) return true;
return false;
}
- inline bool jj_3R_505()
+ inline bool jj_3R_298()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_318()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
+ if (jj_3R_391()) return true;
return false;
}
- inline bool jj_3R_112()
+ inline bool jj_3R_441()
+ {
+ if (jj_done) return true;
+ if (jj_3R_350()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_145()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_243()) {
+ if (!jj_3R_297()) return false;
jj_scanpos = xsp;
- if (jj_3_78()) {
+ if (!jj_3R_298()) return false;
jj_scanpos = xsp;
- if (jj_3_79()) {
+ if (!jj_3R_299()) return false;
jj_scanpos = xsp;
- if (jj_3_80()) {
+ if (!jj_3R_300()) return false;
jj_scanpos = xsp;
- if (jj_3R_244()) {
+ if (!jj_3R_301()) return false;
jj_scanpos = xsp;
- if (jj_3_82()) {
+ if (!jj_3R_302()) return false;
jj_scanpos = xsp;
- if (jj_3_83()) {
+ if (!jj_3R_303()) return false;
jj_scanpos = xsp;
- if (jj_3_84()) {
+ if (!jj_3R_304()) return false;
jj_scanpos = xsp;
- if (jj_3_85()) {
+ if (!jj_3R_305()) return false;
jj_scanpos = xsp;
- if (jj_3_86()) {
+ if (!jj_3R_306()) return false;
jj_scanpos = xsp;
- if (jj_3_87()) {
+ if (!jj_3R_307()) return false;
jj_scanpos = xsp;
- if (jj_3_88()) {
+ if (!jj_3R_308()) return false;
jj_scanpos = xsp;
- if (jj_3R_245()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
+ if (!jj_3R_309()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_310()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3_8()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_311()) return true;
return false;
}
- inline bool jj_3R_243()
+ inline bool jj_3R_297()
{
if (jj_done) return true;
- if (jj_3R_361()) return true;
+ if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_509()
+ inline bool jj_3_83()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_116()) return true;
return false;
}
- inline bool jj_3_76()
+ inline bool jj_3R_268()
{
if (jj_done) return true;
- if (jj_3R_112()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3_83()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_94()
+ inline bool jj_3R_348()
{
if (jj_done) return true;
- if (jj_scan_token(BIT_STRING_LITERAL)) return true;
+ if (jj_scan_token(FOR_T)) return true;
+ if (jj_3R_440()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_441()) { jj_scanpos = xsp; break; }
+ }
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_442()) { jj_scanpos = xsp; break; }
+ }
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(FOR_T)) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_240()
+ inline bool jj_3R_248()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_259()
+ inline bool jj_3R_444()
{
if (jj_done) return true;
+ if (jj_3R_62()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3_76()) { jj_scanpos = xsp; break; }
+ if (jj_3R_531()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_418()
+ inline bool jj_3R_276()
{
if (jj_done) return true;
- if (jj_3R_329()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_417()
+ inline bool jj_3R_384()
{
if (jj_done) return true;
- if (jj_3R_70()) return true;
+ if (jj_scan_token(ON_T)) return true;
+ if (jj_3R_444()) return true;
return false;
}
- inline bool jj_3R_422()
+ inline bool jj_3R_98()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_509()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(BIT_STRING_LITERAL)) return true;
return false;
}
- inline bool jj_3R_416()
+ inline bool jj_3_81()
{
if (jj_done) return true;
- if (jj_scan_token(USE_T)) return true;
- if (jj_3R_506()) return true;
+ if (jj_3R_114()) return true;
return false;
}
- inline bool jj_3R_332()
+ inline bool jj_3R_432()
{
if (jj_done) return true;
+ if (jj_3R_332()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_88()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_416()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_417()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_418()) jj_scanpos = xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_527()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_267()
+ inline bool jj_3R_439()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_344()) return true;
return false;
}
- inline bool jj_3R_364()
+ inline bool jj_3R_438()
{
if (jj_done) return true;
- if (jj_scan_token(ON_T)) return true;
- if (jj_3R_422()) return true;
+ if (jj_3R_72()) return true;
return false;
}
- inline bool jj_3_75()
+ inline bool jj_3R_437()
{
if (jj_done) return true;
- if (jj_3R_111()) return true;
+ if (jj_scan_token(USE_T)) return true;
+ if (jj_3R_528()) return true;
return false;
}
- inline bool jj_3R_411()
+ inline bool jj_3R_347()
{
if (jj_done) return true;
- if (jj_3R_318()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_505()) { jj_scanpos = xsp; break; }
- }
+ xsp = jj_scanpos;
+ if (jj_3R_437()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_438()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_439()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_325()
+ inline bool jj_3R_340()
{
if (jj_done) return true;
if (jj_scan_token(WITH_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
if (jj_scan_token(SELECT_T)) return true;
- if (jj_3R_114()) return true;
+ if (jj_3R_118()) return true;
if (jj_scan_token(LESSTHAN_T)) return true;
- if (jj_3R_166()) return true;
- if (jj_3R_411()) return true;
+ if (jj_3R_171()) return true;
+ if (jj_3R_432()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_689()
+ inline bool jj_3R_247()
{
if (jj_done) return true;
- if (jj_3R_111()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_472()
+ inline bool jj_3R_717()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_99()) return true;
+ if (jj_3R_114()) return true;
return false;
}
- inline bool jj_3R_339()
+ inline bool jj_3R_443()
{
if (jj_done) return true;
- if (jj_scan_token(SEVERITY_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_103()) return true;
return false;
}
- inline bool jj_3R_360()
+ inline bool jj_3R_380()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(EQU_T)) return true;
- if (jj_3R_102()) return true;
+ if (jj_3R_106()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_379()
+ inline bool jj_3_82()
{
if (jj_done) return true;
- if (jj_scan_token(ATTRIBUTE_T)) return true;
- if (jj_3R_220()) return true;
- if (jj_scan_token(OF_T)) return true;
- if (jj_3R_470()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_115()) return true;
return false;
}
- inline bool jj_3R_677()
+ inline bool jj_3R_704()
{
if (jj_done) return true;
- if (jj_3R_81()) return true;
+ if (jj_3R_85()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_689()) jj_scanpos = xsp;
+ if (jj_3R_717()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_316()
+ inline bool jj_3R_703()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_315()) return true;
+ if (jj_3R_115()) return true;
return false;
}
- inline bool jj_3R_676()
+ inline bool jj_3R_678()
{
if (jj_done) return true;
- if (jj_3R_688()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_703()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_704()) return true;
return false;
}
- inline bool jj_3R_654()
+ inline bool jj_3R_358()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_676()) {
- jj_scanpos = xsp;
- if (jj_3R_677()) return true;
- }
+ if (jj_scan_token(SEVERITY_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_110()
+ inline bool jj_3R_275()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_129()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(APOSTROPHE_T)) return true;
- if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_240()) jj_scanpos = xsp;
+ if (jj_3R_275()) jj_scanpos = xsp;
+ if (jj_scan_token(RETURN_T)) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_276()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_355()
+ inline bool jj_3R_259()
{
if (jj_done) return true;
- if (jj_scan_token(RANGE_T)) return true;
+ if (jj_scan_token(SEVERITY_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_266()
+ inline bool jj_3R_399()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(ATTRIBUTE_T)) return true;
+ if (jj_3R_228()) return true;
+ if (jj_scan_token(OF_T)) return true;
+ if (jj_3R_492()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_220()
+ inline bool jj_3R_330()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_329()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_113()
{
if (jj_done) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(APOSTROPHE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_354()) {
+ if (!jj_scan_token(85)) return false;
jj_scanpos = xsp;
- if (jj_3R_355()) return true;
- }
+ if (jj_3R_247()) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_248()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_354()
+ inline bool jj_3R_258()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_125()
+ inline bool jj_3R_375()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(RANGE_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_319()
+ {
+ if (jj_done) return true;
+ if (jj_3R_407()) return true;
+ if (jj_3R_318()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_120()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_266()) jj_scanpos = xsp;
- if (jj_scan_token(RETURN_T)) return true;
+ if (jj_3R_258()) jj_scanpos = xsp;
+ if (jj_scan_token(REPORT_T)) return true;
+ if (jj_3R_60()) return true;
xsp = jj_scanpos;
- if (jj_3R_267()) jj_scanpos = xsp;
+ if (jj_3R_259()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_250()
+ inline bool jj_3R_228()
{
if (jj_done) return true;
- if (jj_scan_token(SEVERITY_T)) return true;
- if (jj_3R_58()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_374()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_375()) return true;
return false;
}
- inline bool jj_3R_308()
+ inline bool jj_3R_374()
{
if (jj_done) return true;
- if (jj_3R_388()) return true;
- if (jj_3R_307()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3_6()
+ inline bool jj_3R_510()
{
if (jj_done) return true;
- if (jj_3R_63()) return true;
- if (jj_scan_token(ARROW_T)) return true;
+ if (jj_scan_token(QNEQU_T)) return true;
return false;
}
- inline bool jj_3R_64()
+ inline bool jj_3R_509()
{
if (jj_done) return true;
- if (jj_scan_token(ATTRIBUTE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_157()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(QEQU_T)) return true;
return false;
}
- inline bool jj_3R_338()
+ inline bool jj_3R_508()
{
if (jj_done) return true;
- if (jj_scan_token(REPORT_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(QL_T)) return true;
return false;
}
- inline bool jj_3R_249()
+ inline bool jj_3R_726()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_116()
+ inline bool jj_3R_507()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_249()) jj_scanpos = xsp;
- if (jj_scan_token(REPORT_T)) return true;
- if (jj_3R_58()) return true;
- xsp = jj_scanpos;
- if (jj_3R_250()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(QG_T)) return true;
return false;
}
- inline bool jj_3R_701()
+ inline bool jj_3R_506()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_scan_token(QLT_T)) return true;
return false;
}
- inline bool jj_3R_165()
+ inline bool jj_3R_505()
{
if (jj_done) return true;
- if (jj_3R_315()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_316()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(QGT_T)) return true;
return false;
}
- inline bool jj_3R_483()
+ inline bool jj_3R_504()
{
if (jj_done) return true;
if (jj_scan_token(NOTEQU_T)) return true;
return false;
}
- inline bool jj_3R_482()
+ inline bool jj_3_6()
{
if (jj_done) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_3R_65()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
return false;
}
- inline bool jj_3R_403()
+ inline bool jj_3R_66()
{
if (jj_done) return true;
- if (jj_3R_63()) return true;
- if (jj_scan_token(ARROW_T)) return true;
+ if (jj_scan_token(ATTRIBUTE_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_160()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_481()
+ inline bool jj_3R_503()
{
if (jj_done) return true;
- if (jj_scan_token(GREATERTHAN_T)) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
return false;
}
- inline bool jj_3R_315()
+ inline bool jj_3R_357()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_403()) jj_scanpos = xsp;
- if (jj_3R_404()) return true;
+ if (jj_scan_token(REPORT_T)) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_480()
+ inline bool jj_3R_502()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(GREATERTHAN_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_501()
{
if (jj_done) return true;
if (jj_scan_token(EQU_T)) return true;
return false;
}
- inline bool jj_3R_479()
+ inline bool jj_3R_500()
{
if (jj_done) return true;
if (jj_scan_token(GT_T)) return true;
return false;
}
- inline bool jj_3R_388()
+ inline bool jj_3R_407()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_478()) {
+ if (!jj_3R_499()) return false;
jj_scanpos = xsp;
- if (jj_3R_479()) {
+ if (!jj_3R_500()) return false;
jj_scanpos = xsp;
- if (jj_3R_480()) {
+ if (!jj_3R_501()) return false;
jj_scanpos = xsp;
- if (jj_3R_481()) {
+ if (!jj_3R_502()) return false;
jj_scanpos = xsp;
- if (jj_3R_482()) {
+ if (!jj_3R_503()) return false;
jj_scanpos = xsp;
- if (jj_3R_483()) return true;
- }
- }
- }
- }
- }
+ if (!jj_3R_504()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_505()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_506()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_507()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_508()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_509()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_510()) return true;
return false;
}
- inline bool jj_3R_478()
+ inline bool jj_3R_499()
{
if (jj_done) return true;
if (jj_scan_token(LT_T)) return true;
return false;
}
- inline bool jj_3R_248()
+ inline bool jj_3R_168()
{
if (jj_done) return true;
- if (jj_3R_139()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_329()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_330()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_115()
+ inline bool jj_3R_150()
{
if (jj_done) return true;
+ if (jj_3R_318()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_248()) jj_scanpos = xsp;
- if (jj_3R_187()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_319()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_145()
+ inline bool jj_3R_422()
+ {
+ if (jj_done) return true;
+ if (jj_3R_65()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_725()
+ {
+ if (jj_done) return true;
+ if (jj_3R_731()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_329()
{
if (jj_done) return true;
- if (jj_3R_307()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_308()) jj_scanpos = xsp;
+ if (jj_3R_422()) jj_scanpos = xsp;
+ if (jj_3R_423()) return true;
return false;
}
- inline bool jj_3R_700()
+ inline bool jj_3R_257()
{
if (jj_done) return true;
- if (jj_3R_705()) return true;
+ if (jj_3R_144()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_691()
+ inline bool jj_3R_719()
{
if (jj_done) return true;
if (jj_scan_token(RECORD_T)) return true;
Token * xsp;
- if (jj_3R_700()) return true;
+ if (jj_3R_725()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_700()) { jj_scanpos = xsp; break; }
+ if (jj_3R_725()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(RECORD_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_701()) jj_scanpos = xsp;
+ if (jj_3R_726()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_187()
+ inline bool jj_3R_119()
{
if (jj_done) return true;
- if (jj_scan_token(ASSERT_T)) return true;
- if (jj_3R_79()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_338()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_339()) jj_scanpos = xsp;
+ if (jj_3R_257()) jj_scanpos = xsp;
+ if (jj_3R_194()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_5()
+ inline bool jj_3_79()
{
if (jj_done) return true;
- if (jj_3R_62()) return true;
+ if (jj_3R_69()) return true;
+ if (jj_3R_70()) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3_73()
+ inline bool jj_3_80()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
- if (jj_3R_109()) return true;
- if (jj_3R_68()) return true;
+ if (jj_3R_113()) return true;
return false;
}
- inline bool jj_3_74()
+ inline bool jj_3R_85()
{
if (jj_done) return true;
- if (jj_3R_110()) return true;
+ if (jj_scan_token(RANGE_T)) return true;
+ if (jj_3R_86()) return true;
return false;
}
- inline bool jj_3R_699()
+ inline bool jj_3R_194()
{
if (jj_done) return true;
- if (jj_3R_704()) return true;
+ if (jj_scan_token(ASSERT_T)) return true;
+ if (jj_3R_82()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_357()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_358()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_81()
+ inline bool jj_3_78()
{
if (jj_done) return true;
- if (jj_scan_token(RANGE_T)) return true;
- if (jj_3R_83()) return true;
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3R_698()
+ inline bool jj_3R_189()
{
if (jj_done) return true;
- if (jj_3R_62()) return true;
+ if (jj_3R_113()) return true;
return false;
}
- inline bool jj_3R_690()
+ inline bool jj_3_5()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_698()) {
- jj_scanpos = xsp;
- if (jj_3R_699()) return true;
- }
+ if (jj_scan_token(ARRAY_T)) return true;
+ if (jj_3R_64()) return true;
+ if (jj_scan_token(OF_T)) return true;
return false;
}
- inline bool jj_3_72()
+ inline bool jj_3R_188()
{
if (jj_done) return true;
- if (jj_3R_108()) return true;
+ if (jj_3R_69()) return true;
+ if (jj_3R_70()) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_182()
+ inline bool jj_3R_86()
{
if (jj_done) return true;
- if (jj_3R_110()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_188()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_189()) return true;
return false;
}
- inline bool jj_3R_181()
+ inline bool jj_3R_236()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
- if (jj_3R_109()) return true;
- if (jj_3R_68()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_60()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_83()
+ inline bool jj_3R_724()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_181()) {
- jj_scanpos = xsp;
- if (jj_3R_182()) return true;
- }
+ if (jj_3R_730()) return true;
return false;
}
- inline bool jj_3R_228()
+ inline bool jj_3R_235()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3R_227()
+ inline bool jj_3R_723()
{
if (jj_done) return true;
- if (jj_3R_108()) return true;
+ if (jj_3R_729()) return true;
return false;
}
- inline bool jj_3R_61()
+ inline bool jj_3R_718()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_723()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_724()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_63()
+ {
+ if (jj_done) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(APOSTROPHE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_227()) {
+ if (!jj_3R_235()) return false;
jj_scanpos = xsp;
- if (jj_3R_228()) return true;
- }
+ if (jj_3R_236()) return true;
return false;
}
- inline bool jj_3R_342()
+ inline bool jj_3R_361()
{
if (jj_done) return true;
- if (jj_3R_112()) return true;
+ if (jj_3R_116()) return true;
return false;
}
- inline bool jj_3R_191()
+ inline bool jj_3R_198()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_342()) { jj_scanpos = xsp; break; }
+ if (jj_3R_361()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_466()
+ inline bool jj_3R_199()
{
if (jj_done) return true;
- if (jj_3R_234()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_192()
+ inline bool jj_3R_359()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_444()) return true;
return false;
}
- inline bool jj_3R_340()
+ inline bool jj_3R_488()
{
if (jj_done) return true;
- if (jj_3R_422()) return true;
+ if (jj_3R_243()) return true;
return false;
}
- inline bool jj_3R_237()
+ inline bool jj_3R_246()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_236()) return true;
+ if (jj_3R_245()) return true;
return false;
}
- inline bool jj_3R_576()
+ inline bool jj_3R_242()
{
if (jj_done) return true;
if (jj_scan_token(NEW_T)) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_531()
+ inline bool jj_3R_196()
{
if (jj_done) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_4()) {
+ if (!jj_scan_token(15)) return false;
jj_scanpos = xsp;
- if (jj_3R_576()) return true;
- }
- return false;
- }
-
- inline bool jj_3_4()
- {
- if (jj_done) return true;
- if (jj_scan_token(NEW_T)) return true;
- if (jj_3R_61()) return true;
- return false;
- }
-
- inline bool jj_3R_537()
- {
- if (jj_done) return true;
- if (jj_3R_310()) return true;
- return false;
- }
-
- inline bool jj_3R_536()
- {
- if (jj_done) return true;
- if (jj_scan_token(CHARACTER_LITERAL)) return true;
+ if (jj_3R_359()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_189()
+ inline bool jj_3R_111()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(15)) {
+ if (!jj_3_4()) return false;
jj_scanpos = xsp;
- if (jj_3R_340()) return true;
- }
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_242()) return true;
return false;
}
- inline bool jj_3R_535()
+ inline bool jj_3_4()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(NEW_T)) return true;
+ if (jj_3R_63()) return true;
return false;
}
- inline bool jj_3R_464()
+ inline bool jj_3R_558()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_535()) {
- jj_scanpos = xsp;
- if (jj_3R_536()) {
- jj_scanpos = xsp;
- if (jj_3R_537()) return true;
- }
- }
+ if (jj_3R_321()) return true;
return false;
}
- inline bool jj_3R_188()
+ inline bool jj_3R_557()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(CHARACTER_LITERAL)) return true;
return false;
}
- inline bool jj_3R_465()
+ inline bool jj_3R_195()
{
if (jj_done) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
return false;
}
- inline bool jj_3R_88()
+ inline bool jj_3R_91()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_188()) jj_scanpos = xsp;
+ if (jj_3R_195()) jj_scanpos = xsp;
xsp = jj_scanpos;
if (jj_scan_token(79)) jj_scanpos = xsp;
if (jj_scan_token(PROCESS_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_189()) jj_scanpos = xsp;
+ if (jj_3R_196()) jj_scanpos = xsp;
xsp = jj_scanpos;
if (jj_scan_token(56)) jj_scanpos = xsp;
- if (jj_3R_190()) return true;
+ if (jj_3R_197()) return true;
if (jj_scan_token(BEGIN_T)) return true;
- if (jj_3R_191()) return true;
+ if (jj_3R_198()) return true;
if (jj_scan_token(END_T)) return true;
xsp = jj_scanpos;
if (jj_scan_token(79)) jj_scanpos = xsp;
if (jj_scan_token(PROCESS_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_192()) jj_scanpos = xsp;
+ if (jj_3R_199()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_377()
+ inline bool jj_3R_556()
{
if (jj_done) return true;
- if (jj_scan_token(ALIAS_T)) return true;
- if (jj_3R_464()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_465()) jj_scanpos = xsp;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_59()) return true;
- xsp = jj_scanpos;
- if (jj_3R_466()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_341()
+ inline bool jj_3R_486()
{
if (jj_done) return true;
- if (jj_3R_423()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_556()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_557()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_558()) return true;
return false;
}
- inline bool jj_3R_190()
+ inline bool jj_3R_360()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_341()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_445()) return true;
return false;
}
- inline bool jj_3R_108()
+ inline bool jj_3R_197()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_236()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_237()) { jj_scanpos = xsp; break; }
+ if (jj_3R_360()) { jj_scanpos = xsp; break; }
}
- if (jj_scan_token(RPAREN_T)) return true;
- return false;
- }
-
- inline bool jj_3R_519()
- {
- if (jj_done) return true;
- if (jj_3R_383()) return true;
return false;
}
- inline bool jj_3R_273()
+ inline bool jj_3R_541()
{
if (jj_done) return true;
- if (jj_scan_token(MINUS_T)) return true;
+ if (jj_3R_402()) return true;
return false;
}
- inline bool jj_3R_274()
+ inline bool jj_3R_487()
{
if (jj_done) return true;
- if (jj_scan_token(AMPERSAND_T)) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3R_518()
+ inline bool jj_3R_540()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_3R_350()) return true;
return false;
}
- inline bool jj_3_71()
+ inline bool jj_3_77()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_517()
+ inline bool jj_3R_539()
{
if (jj_done) return true;
- if (jj_3R_379()) return true;
+ if (jj_3R_399()) return true;
return false;
}
- inline bool jj_3R_128()
+ inline bool jj_3R_397()
{
if (jj_done) return true;
+ if (jj_scan_token(ALIAS_T)) return true;
+ if (jj_3R_486()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_272()) {
- jj_scanpos = xsp;
- if (jj_3R_273()) {
- jj_scanpos = xsp;
- if (jj_3R_274()) return true;
- }
- }
+ if (jj_3R_487()) jj_scanpos = xsp;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_62()) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_488()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_272()
+ inline bool jj_3R_538()
{
if (jj_done) return true;
- if (jj_scan_token(PLUS_T)) return true;
+ if (jj_3R_397()) return true;
return false;
}
- inline bool jj_3_3()
+ inline bool jj_3_76()
{
if (jj_done) return true;
- if (jj_3R_60()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3_70()
+ inline bool jj_3R_537()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_396()) return true;
return false;
}
- inline bool jj_3R_516()
+ inline bool jj_3R_112()
{
if (jj_done) return true;
- if (jj_3R_377()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_245()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_246()) { jj_scanpos = xsp; break; }
+ }
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_515()
+ inline bool jj_3R_536()
{
if (jj_done) return true;
- if (jj_3R_376()) return true;
+ if (jj_3R_395()) return true;
return false;
}
- inline bool jj_3R_514()
+ inline bool jj_3R_535()
{
if (jj_done) return true;
- if (jj_3R_375()) return true;
+ if (jj_3R_393()) return true;
return false;
}
- inline bool jj_3R_513()
+ inline bool jj_3R_534()
{
if (jj_done) return true;
- if (jj_3R_373()) return true;
+ if (jj_3R_392()) return true;
return false;
}
- inline bool jj_3R_492()
+ inline bool jj_3R_533()
{
if (jj_done) return true;
- if (jj_scan_token(BOX_T)) return true;
+ if (jj_3R_391()) return true;
return false;
}
- inline bool jj_3R_493()
+ inline bool jj_3R_282()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_60()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(MINUS_T)) return true;
return false;
}
- inline bool jj_3R_512()
+ inline bool jj_3R_283()
{
if (jj_done) return true;
- if (jj_3R_372()) return true;
+ if (jj_scan_token(AMPERSAND_T)) return true;
return false;
}
- inline bool jj_3R_511()
+ inline bool jj_3_3()
{
if (jj_done) return true;
- if (jj_3R_371()) return true;
+ if (jj_3R_62()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
return false;
}
- inline bool jj_3R_404()
+ inline bool jj_3R_132()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_491()) {
+ if (!jj_3R_281()) return false;
jj_scanpos = xsp;
- if (jj_3R_492()) {
+ if (!jj_3R_282()) return false;
jj_scanpos = xsp;
- if (jj_3R_493()) return true;
- }
- }
+ if (jj_3R_283()) return true;
return false;
}
- inline bool jj_3R_491()
+ inline bool jj_3R_281()
{
if (jj_done) return true;
- if (jj_3R_60()) return true;
+ if (jj_scan_token(PLUS_T)) return true;
return false;
}
- inline bool jj_3R_423()
+ inline bool jj_3R_532()
+ {
+ if (jj_done) return true;
+ if (jj_3R_96()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_445()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_510()) {
+ if (!jj_3R_532()) return false;
jj_scanpos = xsp;
- if (jj_3R_511()) {
+ if (!jj_3R_533()) return false;
jj_scanpos = xsp;
- if (jj_3R_512()) {
+ if (!jj_3R_534()) return false;
jj_scanpos = xsp;
- if (jj_3R_513()) {
+ if (!jj_3R_535()) return false;
jj_scanpos = xsp;
- if (jj_3R_514()) {
+ if (!jj_3R_536()) return false;
jj_scanpos = xsp;
- if (jj_3R_515()) {
+ if (!jj_3R_537()) return false;
jj_scanpos = xsp;
- if (jj_3R_516()) {
+ if (!jj_3R_538()) return false;
jj_scanpos = xsp;
- if (jj_3_70()) {
+ if (!jj_3_76()) return false;
jj_scanpos = xsp;
- if (jj_3R_517()) {
+ if (!jj_3R_539()) return false;
jj_scanpos = xsp;
- if (jj_3R_518()) {
+ if (!jj_3R_540()) return false;
jj_scanpos = xsp;
- if (jj_3_71()) {
+ if (!jj_3_77()) return false;
jj_scanpos = xsp;
- if (jj_3R_519()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- return false;
- }
-
- inline bool jj_3R_510()
- {
- if (jj_done) return true;
- if (jj_3R_92()) return true;
+ if (jj_3R_541()) return true;
return false;
}
- inline bool jj_3R_330()
+ inline bool jj_3R_345()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_226()) return true;
+ if (jj_3R_234()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
@@ -7791,505 +7636,543 @@ void parseInline();
inline bool jj_3_2()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_61()) return true;
return false;
}
- inline bool jj_3R_226()
+ inline bool jj_3_75()
{
if (jj_done) return true;
- if (jj_3R_165()) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3_1()
+ inline bool jj_3R_520()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_62()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_61()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_69()
+ inline bool jj_3R_519()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(BOX_T)) return true;
return false;
}
- inline bool jj_3R_119()
+ inline bool jj_3R_123()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_69()) jj_scanpos = xsp;
- if (jj_3R_176()) return true;
+ if (jj_3_75()) jj_scanpos = xsp;
+ if (jj_3R_181()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_153()
+ inline bool jj_3R_518()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_61()) return true;
return false;
}
- inline bool jj_3R_176()
+ inline bool jj_3R_423()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_330()) jj_scanpos = xsp;
+ if (!jj_3R_518()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_519()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_520()) return true;
return false;
}
- inline bool jj_3_67()
+ inline bool jj_3R_181()
{
if (jj_done) return true;
- if (jj_3R_86()) return true;
+ if (jj_3R_62()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_345()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_152()
+ inline bool jj_3_73()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_89()) return true;
return false;
}
- inline bool jj_3R_204()
+ inline bool jj_3R_234()
{
if (jj_done) return true;
- if (jj_3R_351()) return true;
+ if (jj_3R_168()) return true;
return false;
}
- inline bool jj_3R_151()
+ inline bool jj_3R_212()
{
if (jj_done) return true;
- if (jj_scan_token(OPEN_T)) return true;
+ if (jj_3R_371()) return true;
return false;
}
- inline bool jj_3R_60()
+ inline bool jj_3R_211()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_151()) {
- jj_scanpos = xsp;
- if (jj_3R_152()) {
- jj_scanpos = xsp;
- if (jj_3R_153()) return true;
- }
- }
+ if (jj_3R_370()) return true;
return false;
}
- inline bool jj_3_68()
+ inline bool jj_3_1()
{
if (jj_done) return true;
- if (jj_3R_107()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_656()
+ inline bool jj_3_74()
{
if (jj_done) return true;
- if (jj_scan_token(ACCESS_T)) return true;
- if (jj_3R_84()) return true;
+ if (jj_3R_93()) return true;
return false;
}
- inline bool jj_3R_203()
+ inline bool jj_3R_210()
{
if (jj_done) return true;
- if (jj_3R_86()) return true;
+ if (jj_3R_89()) return true;
return false;
}
- inline bool jj_3R_202()
+ inline bool jj_3R_209()
{
if (jj_done) return true;
- if (jj_3R_350()) return true;
+ if (jj_3R_369()) return true;
return false;
}
- inline bool jj_3R_225()
+ inline bool jj_3R_154()
{
if (jj_done) return true;
- if (jj_scan_token(BASED_LITERAL)) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_201()
+ inline bool jj_3_72()
{
if (jj_done) return true;
- if (jj_3R_349()) return true;
+ if (jj_3R_111()) return true;
return false;
}
- inline bool jj_3R_93()
+ inline bool jj_3R_208()
+ {
+ if (jj_done) return true;
+ if (jj_3R_368()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_97()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_201()) {
+ if (!jj_3R_208()) return false;
jj_scanpos = xsp;
- if (jj_3R_202()) {
+ if (!jj_3R_209()) return false;
jj_scanpos = xsp;
- if (jj_3R_203()) {
+ if (!jj_3R_210()) return false;
jj_scanpos = xsp;
- if (jj_3_68()) {
+ if (!jj_3_74()) return false;
jj_scanpos = xsp;
- if (jj_3R_204()) return true;
- }
- }
- }
- }
+ if (!jj_3R_211()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_212()) return true;
return false;
}
- inline bool jj_3R_224()
+ inline bool jj_3_71()
{
if (jj_done) return true;
- if (jj_scan_token(INTEGER)) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3_66()
+ inline bool jj_3R_153()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_223()
+ inline bool jj_3R_152()
{
if (jj_done) return true;
- if (jj_scan_token(DECIMAL_LITERAL)) return true;
+ if (jj_scan_token(OPEN_T)) return true;
return false;
}
- inline bool jj_3R_103()
+ inline bool jj_3R_61()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_223()) {
+ if (!jj_3R_152()) return false;
jj_scanpos = xsp;
- if (jj_3R_224()) {
+ if (!jj_3R_153()) return false;
jj_scanpos = xsp;
- if (jj_3R_225()) return true;
- }
- }
+ if (jj_3R_154()) return true;
return false;
}
- inline bool jj_3_65()
+ inline bool jj_3_70()
{
if (jj_done) return true;
- if (jj_3R_106()) return true;
+ if (jj_3R_110()) return true;
return false;
}
- inline bool jj_3R_457()
+ inline bool jj_3R_479()
{
if (jj_done) return true;
- if (jj_3R_108()) return true;
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3_64()
+ inline bool jj_3_69()
{
if (jj_done) return true;
- if (jj_3R_105()) return true;
+ if (jj_3R_109()) return true;
return false;
}
- inline bool jj_3R_456()
+ inline bool jj_3R_478()
+ {
+ if (jj_done) return true;
+ if (jj_3R_111()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_680()
{
if (jj_done) return true;
- if (jj_3R_531()) return true;
+ if (jj_scan_token(ACCESS_T)) return true;
+ if (jj_3R_87()) return true;
return false;
}
- inline bool jj_3_63()
+ inline bool jj_3_68()
{
if (jj_done) return true;
- if (jj_3R_61()) return true;
+ if (jj_3R_63()) return true;
return false;
}
- inline bool jj_3R_455()
+ inline bool jj_3R_477()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3_62()
+ inline bool jj_3_67()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_454()
+ inline bool jj_3R_233()
{
if (jj_done) return true;
- if (jj_3R_106()) return true;
+ if (jj_scan_token(BASED_LITERAL)) return true;
return false;
}
- inline bool jj_3_61()
+ inline bool jj_3R_476()
{
if (jj_done) return true;
- if (jj_3R_104()) return true;
+ if (jj_3R_110()) return true;
return false;
}
- inline bool jj_3R_242()
+ inline bool jj_3R_232()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_scan_token(INTEGER)) return true;
return false;
}
- inline bool jj_3R_453()
+ inline bool jj_3R_107()
{
if (jj_done) return true;
- if (jj_3R_105()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (!jj_3R_231()) return false;
+ jj_scanpos = xsp;
+ if (!jj_3R_232()) return false;
+ jj_scanpos = xsp;
+ if (jj_3R_233()) return true;
return false;
}
- inline bool jj_3R_452()
+ inline bool jj_3R_231()
{
if (jj_done) return true;
- if (jj_3R_61()) return true;
+ if (jj_scan_token(DECIMAL_LITERAL)) return true;
return false;
}
- inline bool jj_3R_451()
+ inline bool jj_3_66()
+ {
+ if (jj_done) return true;
+ if (jj_3R_108()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_250()
+ {
+ if (jj_done) return true;
+ if (jj_3R_62()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_475()
+ {
+ if (jj_done) return true;
+ if (jj_3R_109()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_474()
+ {
+ if (jj_done) return true;
+ if (jj_3R_63()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_473()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_370()
+ inline bool jj_3R_390()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_450()) {
+ if (!jj_3R_472()) return false;
jj_scanpos = xsp;
- if (jj_3R_451()) {
+ if (!jj_3R_473()) return false;
jj_scanpos = xsp;
- if (jj_3R_452()) {
+ if (!jj_3R_474()) return false;
jj_scanpos = xsp;
- if (jj_3R_453()) {
+ if (!jj_3R_475()) return false;
jj_scanpos = xsp;
- if (jj_3R_454()) {
+ if (!jj_3R_476()) return false;
jj_scanpos = xsp;
- if (jj_3R_455()) {
+ if (!jj_3R_477()) return false;
jj_scanpos = xsp;
- if (jj_3R_456()) {
+ if (!jj_3R_478()) return false;
jj_scanpos = xsp;
- if (jj_3R_457()) return true;
- }
- }
- }
- }
- }
- }
- }
+ if (jj_3R_479()) return true;
return false;
}
- inline bool jj_3R_450()
+ inline bool jj_3R_472()
{
if (jj_done) return true;
- if (jj_3R_104()) return true;
+ if (jj_3R_108()) return true;
return false;
}
- inline bool jj_3R_329()
+ inline bool jj_3R_344()
{
if (jj_done) return true;
if (jj_scan_token(PORT_T)) return true;
if (jj_scan_token(MAP_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_165()) return true;
+ if (jj_3R_168()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_582()
+ inline bool jj_3R_608()
{
if (jj_done) return true;
- if (jj_3R_313()) return true;
+ if (jj_3R_327()) return true;
return false;
}
- inline bool jj_3R_241()
+ inline bool jj_3R_249()
{
if (jj_done) return true;
- if (jj_3R_360()) return true;
+ if (jj_3R_380()) return true;
return false;
}
- inline bool jj_3R_538()
+ inline bool jj_3R_559()
{
if (jj_done) return true;
if (jj_scan_token(PORT_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_582()) return true;
+ if (jj_3R_608()) return true;
if (jj_scan_token(RPAREN_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_111()
+ inline bool jj_3R_114()
{
if (jj_done) return true;
if (jj_scan_token(UNITS_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(SEMI_T)) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_241()) { jj_scanpos = xsp; break; }
+ if (jj_3R_249()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(UNITS_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_242()) jj_scanpos = xsp;
+ if (jj_3R_250()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_60()
+ inline bool jj_3_65()
{
if (jj_done) return true;
- if (jj_3R_103()) return true;
+ if (jj_3R_107()) return true;
return false;
}
- inline bool jj_3R_222()
+ inline bool jj_3R_230()
{
if (jj_done) return true;
- if (jj_3R_103()) return true;
+ if (jj_3R_107()) return true;
return false;
}
- inline bool jj_3R_102()
+ inline bool jj_3R_106()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_222()) jj_scanpos = xsp;
- if (jj_3R_59()) return true;
+ if (jj_3R_230()) jj_scanpos = xsp;
+ if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_408()
+ inline bool jj_3R_427()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(IN_T)) return true;
- if (jj_3R_67()) return true;
+ if (jj_3R_71()) return true;
return false;
}
- inline bool jj_3_59()
+ inline bool jj_3_64()
{
if (jj_done) return true;
- if (jj_3R_86()) return true;
+ if (jj_3R_89()) return true;
return false;
}
- inline bool jj_3_57()
+ inline bool jj_3_62()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3_58()
+ inline bool jj_3_63()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_351()
+ inline bool jj_3R_370()
{
if (jj_done) return true;
if (jj_scan_token(PACKAGE_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3_56()
+ inline bool jj_3_61()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_317()
+ inline bool jj_3R_331()
{
if (jj_done) return true;
- if (jj_3R_405()) return true;
+ if (jj_3R_424()) return true;
return false;
}
- inline bool jj_3R_261()
+ inline bool jj_3R_171()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(50)) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_331()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_166()
+ inline bool jj_3R_270()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(50)) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_317()) jj_scanpos = xsp;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_310()
+ inline bool jj_3R_321()
{
if (jj_done) return true;
if (jj_scan_token(STRINGLITERAL)) return true;
return false;
}
- inline bool jj_3R_647()
+ inline bool jj_3R_670()
{
if (jj_done) return true;
if (jj_scan_token(TYPE_T)) return true;
return false;
}
- inline bool jj_3R_646()
+ inline bool jj_3R_669()
{
if (jj_done) return true;
if (jj_scan_token(FILE_T)) return true;
return false;
}
- inline bool jj_3R_645()
+ inline bool jj_3R_668()
{
if (jj_done) return true;
if (jj_scan_token(SHARED_T)) return true;
@@ -8297,292 +8180,321 @@ void parseInline();
return false;
}
- inline bool jj_3_55()
+ inline bool jj_3_60()
{
if (jj_done) return true;
- if (jj_3R_102()) return true;
+ if (jj_3R_106()) return true;
return false;
}
- inline bool jj_3R_644()
+ inline bool jj_3R_667()
{
if (jj_done) return true;
if (jj_scan_token(VARIABLE_T)) return true;
return false;
}
- inline bool jj_3R_643()
+ inline bool jj_3R_666()
{
if (jj_done) return true;
if (jj_scan_token(SIGNAL_T)) return true;
return false;
}
- inline bool jj_3R_642()
+ inline bool jj_3R_665()
{
if (jj_done) return true;
if (jj_scan_token(CONSTANT_T)) return true;
return false;
}
- inline bool jj_3R_613()
+ inline bool jj_3R_639()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_642()) {
+ if (!jj_3R_665()) return false;
jj_scanpos = xsp;
- if (jj_3R_643()) {
+ if (!jj_3R_666()) return false;
jj_scanpos = xsp;
- if (jj_3R_644()) {
+ if (!jj_3R_667()) return false;
jj_scanpos = xsp;
- if (jj_3R_645()) {
+ if (!jj_3R_668()) return false;
jj_scanpos = xsp;
- if (jj_3R_646()) {
+ if (!jj_3R_669()) return false;
jj_scanpos = xsp;
- if (jj_3R_647()) return true;
- }
- }
- }
- }
- }
+ if (jj_3R_670()) return true;
return false;
}
- inline bool jj_3R_353()
+ inline bool jj_3R_373()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_206()
+ inline bool jj_3R_214()
{
if (jj_done) return true;
- if (jj_3R_103()) return true;
+ if (jj_3R_107()) return true;
return false;
}
- inline bool jj_3R_205()
+ inline bool jj_3R_213()
{
if (jj_done) return true;
- if (jj_3R_102()) return true;
+ if (jj_3R_106()) return true;
return false;
}
- inline bool jj_3R_95()
+ inline bool jj_3R_99()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_205()) {
+ if (!jj_3R_213()) return false;
jj_scanpos = xsp;
- if (jj_3R_206()) return true;
- }
+ if (jj_3R_214()) return true;
return false;
}
- inline bool jj_3R_441()
+ inline bool jj_3R_463()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_363()
+ inline bool jj_3R_383()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_441()) jj_scanpos = xsp;
+ if (jj_3R_463()) jj_scanpos = xsp;
if (jj_scan_token(NULL_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_101()
+ inline bool jj_3R_105()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
return false;
}
- inline bool jj_3R_262()
+ inline bool jj_3R_271()
{
if (jj_done) return true;
if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_3R_82()) return true;
return false;
}
- inline bool jj_3_54()
+ inline bool jj_3R_269()
{
if (jj_done) return true;
- if (jj_scan_token(LBRACKET_T)) return true;
+ if (jj_3R_73()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_260()
+ inline bool jj_3_59()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(LBRACKET_T)) return true;
return false;
}
- inline bool jj_3R_123()
+ inline bool jj_3R_127()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_260()) jj_scanpos = xsp;
+ if (jj_3R_269()) jj_scanpos = xsp;
if (jj_scan_token(NEXT_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_261()) jj_scanpos = xsp;
+ if (jj_3R_270()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_262()) jj_scanpos = xsp;
+ if (jj_3R_271()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_221()
+ inline bool jj_3R_229()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_219()
- {
- if (jj_done) return true;
- if (jj_3R_234()) return true;
- return false;
- }
-
- inline bool jj_3_53()
+ inline bool jj_3_58()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_101()) { jj_scanpos = xsp; break; }
+ if (jj_3R_105()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_100()
+ inline bool jj_3R_227()
+ {
+ if (jj_done) return true;
+ if (jj_3R_243()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_104()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_219()) jj_scanpos = xsp;
+ if (jj_3R_227()) jj_scanpos = xsp;
if (jj_scan_token(APOSTROPHE_T)) return true;
- if (jj_3R_220()) return true;
+ if (jj_3R_228()) return true;
xsp = jj_scanpos;
- if (jj_3R_221()) jj_scanpos = xsp;
+ if (jj_3R_229()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_52()
+ inline bool jj_3_57()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_67()) return true;
+ if (jj_3R_71()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_48()
+ inline bool jj_3_53()
{
if (jj_done) return true;
- if (jj_3R_98()) return true;
+ if (jj_3R_102()) return true;
+ return false;
+ }
+
+ inline bool jj_3_56()
+ {
+ if (jj_done) return true;
+ if (jj_3R_104()) return true;
return false;
}
inline bool jj_3_51()
{
if (jj_done) return true;
- if (jj_3R_100()) return true;
+ if (jj_3R_101()) return true;
return false;
}
- inline bool jj_3R_214()
+ inline bool jj_3_50()
+ {
+ if (jj_done) return true;
+ if (jj_3R_101()) return true;
+ return false;
+ }
+
+ inline bool jj_3_52()
+ {
+ if (jj_done) return true;
+ if (jj_3R_101()) return true;
+ return false;
+ }
+
+ inline bool jj_3_55()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_103()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_222()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_60()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_353()) { jj_scanpos = xsp; break; }
+ if (jj_3R_373()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_50()
+ inline bool jj_3_54()
{
if (jj_done) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_99()) return true;
+ if (jj_scan_token(APOSTROPHE_T)) return true;
+ if (jj_scan_token(SUBTYPE_T)) return true;
return false;
}
- inline bool jj_3_49()
+ inline bool jj_3R_221()
{
if (jj_done) return true;
- if (jj_scan_token(APOSTROPHE_T)) return true;
- if (jj_scan_token(SUBTYPE_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_71()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_213()
+ inline bool jj_3R_217()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_67()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_102()) return true;
return false;
}
- inline bool jj_3R_209()
+ inline bool jj_3R_220()
{
if (jj_done) return true;
- if (jj_3R_98()) return true;
+ if (jj_3R_104()) return true;
return false;
}
- inline bool jj_3R_212()
+ inline bool jj_3R_322()
{
if (jj_done) return true;
- if (jj_3R_100()) return true;
+ if (jj_3R_101()) return true;
return false;
}
- inline bool jj_3R_149()
+ inline bool jj_3R_324()
{
if (jj_done) return true;
- if (jj_3R_311()) return true;
+ if (jj_3R_101()) return true;
return false;
}
- inline bool jj_3R_211()
+ inline bool jj_3R_325()
+ {
+ if (jj_done) return true;
+ if (jj_3R_101()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_219()
{
if (jj_done) return true;
if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_99()) return true;
+ if (jj_3R_103()) return true;
return false;
}
- inline bool jj_3R_210()
+ inline bool jj_3R_218()
{
if (jj_done) return true;
if (jj_scan_token(APOSTROPHE_T)) return true;
@@ -8590,321 +8502,319 @@ void parseInline();
return false;
}
- inline bool jj_3R_98()
+ inline bool jj_3R_102()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_210()) {
+ if (!jj_3R_218()) return false;
jj_scanpos = xsp;
- if (jj_3R_211()) {
+ if (!jj_3R_219()) return false;
jj_scanpos = xsp;
- if (jj_3R_212()) {
+ if (!jj_3R_220()) return false;
jj_scanpos = xsp;
- if (jj_3R_213()) {
+ if (!jj_3R_221()) return false;
jj_scanpos = xsp;
- if (jj_3R_214()) return true;
- }
- }
- }
- }
- return false;
- }
-
- inline bool jj_3_47()
- {
- if (jj_done) return true;
- if (jj_3R_97()) return true;
+ if (jj_3R_222()) return true;
return false;
}
- inline bool jj_3R_97()
+ inline bool jj_3R_101()
{
if (jj_done) return true;
- if (jj_3R_98()) return true;
+ if (jj_3R_102()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_209()) { jj_scanpos = xsp; break; }
+ if (jj_3R_217()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_148()
+ inline bool jj_3R_157()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_325()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_150()
+ inline bool jj_3R_156()
{
if (jj_done) return true;
- if (jj_3R_97()) return true;
+ if (jj_3R_323()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_324()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_147()
+ inline bool jj_3R_155()
{
if (jj_done) return true;
- if (jj_3R_310()) return true;
+ if (jj_3R_321()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_322()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_59()
+ inline bool jj_3R_62()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_147()) {
+ if (!jj_3R_155()) return false;
jj_scanpos = xsp;
- if (jj_3R_148()) {
+ if (!jj_3R_156()) return false;
jj_scanpos = xsp;
- if (jj_3R_149()) return true;
- }
- }
- xsp = jj_scanpos;
- if (jj_3R_150()) jj_scanpos = xsp;
+ if (jj_3R_157()) return true;
return false;
}
- inline bool jj_3R_281()
+ inline bool jj_3R_290()
{
if (jj_done) return true;
if (jj_scan_token(REM_T)) return true;
return false;
}
- inline bool jj_3R_280()
+ inline bool jj_3R_289()
{
if (jj_done) return true;
if (jj_scan_token(MOD_T)) return true;
return false;
}
- inline bool jj_3R_279()
+ inline bool jj_3R_288()
{
if (jj_done) return true;
if (jj_scan_token(SLASH_T)) return true;
return false;
}
- inline bool jj_3R_134()
+ inline bool jj_3R_139()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_278()) {
+ if (!jj_3R_287()) return false;
jj_scanpos = xsp;
- if (jj_3R_279()) {
+ if (!jj_3R_288()) return false;
jj_scanpos = xsp;
- if (jj_3R_280()) {
+ if (!jj_3R_289()) return false;
jj_scanpos = xsp;
- if (jj_3R_281()) return true;
- }
- }
- }
+ if (jj_3R_290()) return true;
return false;
}
- inline bool jj_3R_278()
+ inline bool jj_3R_287()
{
if (jj_done) return true;
if (jj_scan_token(MULT_T)) return true;
return false;
}
- inline bool jj_3R_629()
+ inline bool jj_3R_651()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
return false;
}
- inline bool jj_3R_431()
+ inline bool jj_3R_453()
{
if (jj_done) return true;
if (jj_scan_token(LINKAGE_T)) return true;
return false;
}
- inline bool jj_3R_430()
+ inline bool jj_3R_452()
{
if (jj_done) return true;
if (jj_scan_token(BUFFER_T)) return true;
return false;
}
- inline bool jj_3R_429()
+ inline bool jj_3R_451()
{
if (jj_done) return true;
if (jj_scan_token(INOUT_T)) return true;
return false;
}
- inline bool jj_3R_428()
+ inline bool jj_3R_450()
{
if (jj_done) return true;
if (jj_scan_token(OUT_T)) return true;
return false;
}
- inline bool jj_3R_346()
+ inline bool jj_3R_365()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_427()) {
+ if (!jj_3R_449()) return false;
jj_scanpos = xsp;
- if (jj_3R_428()) {
+ if (!jj_3R_450()) return false;
jj_scanpos = xsp;
- if (jj_3R_429()) {
+ if (!jj_3R_451()) return false;
jj_scanpos = xsp;
- if (jj_3R_430()) {
+ if (!jj_3R_452()) return false;
jj_scanpos = xsp;
- if (jj_3R_431()) return true;
- }
- }
- }
- }
+ if (jj_3R_453()) return true;
return false;
}
- inline bool jj_3R_427()
+ inline bool jj_3R_449()
{
if (jj_done) return true;
if (jj_scan_token(IN_T)) return true;
return false;
}
- inline bool jj_3R_258()
+ inline bool jj_3R_267()
{
if (jj_done) return true;
- if (jj_3R_367()) return true;
+ if (jj_3R_387()) return true;
return false;
}
- inline bool jj_3R_257()
+ inline bool jj_3R_266()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_73()) return true;
if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3R_122()
+ inline bool jj_3R_126()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_257()) jj_scanpos = xsp;
+ if (jj_3R_266()) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_258()) jj_scanpos = xsp;
+ if (jj_3R_267()) jj_scanpos = xsp;
if (jj_scan_token(LOOP_T)) return true;
- if (jj_3R_259()) return true;
+ if (jj_3R_268()) return true;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(LOOP_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_629()) jj_scanpos = xsp;
+ if (jj_3R_651()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_46()
+ inline bool jj_3_49()
{
if (jj_done) return true;
- if (jj_3R_96()) return true;
+ if (jj_3R_100()) return true;
return false;
}
- inline bool jj_3_45()
+ inline bool jj_3_48()
{
if (jj_done) return true;
- if (jj_3R_95()) return true;
+ if (jj_3R_99()) return true;
return false;
}
- inline bool jj_3R_233()
+ inline bool jj_3R_241()
{
if (jj_done) return true;
if (jj_scan_token(NULL_T)) return true;
return false;
}
- inline bool jj_3_44()
+ inline bool jj_3_47()
{
if (jj_done) return true;
- if (jj_3R_94()) return true;
+ if (jj_3R_98()) return true;
return false;
}
- inline bool jj_3R_232()
+ inline bool jj_3R_240()
{
if (jj_done) return true;
- if (jj_3R_356()) return true;
+ if (jj_3R_376()) return true;
return false;
}
- inline bool jj_3R_231()
+ inline bool jj_3R_239()
{
if (jj_done) return true;
- if (jj_3R_96()) return true;
+ if (jj_3R_100()) return true;
return false;
}
- inline bool jj_3R_230()
+ inline bool jj_3R_238()
{
if (jj_done) return true;
- if (jj_3R_95()) return true;
+ if (jj_3R_99()) return true;
return false;
}
- inline bool jj_3R_106()
+ inline bool jj_3R_110()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_229()) {
+ if (!jj_3R_237()) return false;
jj_scanpos = xsp;
- if (jj_3R_230()) {
+ if (!jj_3R_238()) return false;
jj_scanpos = xsp;
- if (jj_3R_231()) {
+ if (!jj_3R_239()) return false;
jj_scanpos = xsp;
- if (jj_3R_232()) {
+ if (!jj_3R_240()) return false;
jj_scanpos = xsp;
- if (jj_3R_233()) return true;
- }
- }
- }
- }
+ if (jj_3R_241()) return true;
return false;
}
- inline bool jj_3R_229()
+ inline bool jj_3R_237()
{
if (jj_done) return true;
- if (jj_3R_94()) return true;
+ if (jj_3R_98()) return true;
return false;
}
- inline bool jj_3_43()
+ inline bool jj_3_46()
{
if (jj_done) return true;
- if (jj_3R_93()) return true;
+ if (jj_3R_97()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_349()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(LIBRARY_T)) return true;
+ if (jj_3R_203()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
public:
- TokenManager *token_source;
- CharStream *jj_input_stream;
+ void setErrorHandler(ErrorHandler *eh) {
+ if (errorHandler) delete errorHandler;
+ errorHandler = eh;
+ }
+
+ TokenManager *token_source = nullptr;
+ CharStream *jj_input_stream = nullptr;
/** Current token. */
- Token *token;
+ Token *token = nullptr;
/** Next token. */
- Token *jj_nt;
+ Token *jj_nt = nullptr;
+
private:
int jj_ntk;
- JJCalls jj_2_rtns[117];
+ JJCalls jj_2_rtns[126];
bool jj_rescan;
int jj_gc;
Token *jj_scanpos, *jj_lastpos;
@@ -8913,58 +8823,40 @@ private:
bool jj_lookingAhead;
bool jj_semLA;
int jj_gen;
- int jj_la1[294];
- ErrorHandler *errorHandler;
- bool errorHandlerCreated;
+ int jj_la1[301];
+ ErrorHandler *errorHandler = nullptr;
+
protected:
bool hasError;
-public:
- void setErrorHandler(ErrorHandler *eh) {
- if (errorHandlerCreated) delete errorHandler;
- errorHandler = eh;
- errorHandlerCreated = false;
- }
+
Token *head;
public:
-
- VhdlParser(TokenManager *tm);
+ VhdlParser(TokenManager *tokenManager);
virtual ~VhdlParser();
-
-void ReInit(TokenManager *tm);
-
+void ReInit(TokenManager* tokenManager);
void clear();
-
Token * jj_consume_token(int kind);
-
bool jj_scan_token(int kind);
-
Token * getNextToken();
-
Token * getToken(int index);
-
int jj_ntk_f();
private:
int jj_kind;
int **jj_expentries;
int *jj_expentry;
-
void jj_add_error_token(int kind, int pos);
protected:
/** Generate ParseException. */
-
virtual void parseError();
private:
- int trace_indent;
- bool trace_enabled;
+ int indent; // trace indentation
+ bool trace = false; // trace enabled if true
public:
-
+ bool trace_enabled();
void enable_tracing();
-
void disable_tracing();
-
void jj_rescan_token();
-
void jj_save(int index, int xla);
struct SharedState
{
diff --git a/vhdlparser/VhdlParserConstants.h b/vhdlparser/VhdlParserConstants.h
index 882402b..e87567f 100644
--- a/vhdlparser/VhdlParserConstants.h
+++ b/vhdlparser/VhdlParserConstants.h
@@ -2,7 +2,7 @@
/**
* Token literal values and constants.
- * Generated by org.javacc.parser.OtherFilesGen#start()
+ * Generated by org.javacc.parser.OtherFilesGenCPP#start()
*/
#ifndef VHDLPARSERCONSTANTS_H
#define VHDLPARSERCONSTANTS_H
@@ -382,392 +382,396 @@ const int LOWER_CASE_LETTER = 187;
const int QUOTE = 188;
/** RegularExpression Id. */
const int VHDL2008TOOLDIR = 189;
+ /** RegularExpression Id. */
+const int SPEC_ATTR = 190;
/** Lexical state. */
const int DEFAULT = 0;
/** Literal token values. */
- static JAVACC_CHAR_TYPE tokenImage_arr_0[] =
+ static const JJChar tokenImage_arr_0[] =
{0x3c, 0x45, 0x4f, 0x46, 0x3e, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_1[] =
+ static const JJChar tokenImage_arr_1[] =
{0x22, 0x20, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_2[] =
+ static const JJChar tokenImage_arr_2[] =
{0x22, 0x9, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_3[] =
+ static const JJChar tokenImage_arr_3[] =
{0x22, 0xa, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_4[] =
+ static const JJChar tokenImage_arr_4[] =
{0x22, 0xd, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_5[] =
+ static const JJChar tokenImage_arr_5[] =
{0x22, 0x3c, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_6[] =
+ static const JJChar tokenImage_arr_6[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_7[] =
+ static const JJChar tokenImage_arr_7[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x46, 0x4c, 0x4f, 0x57, 0x43, 0x48, 0x41, 0x52, 0x54, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_8[] =
+ static const JJChar tokenImage_arr_8[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_9[] =
+ static const JJChar tokenImage_arr_9[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x5f, 0x32, 0x30, 0x30, 0x38, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_10[] =
+ static const JJChar tokenImage_arr_10[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x32, 0x30, 0x30, 0x38, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_11[] =
+ static const JJChar tokenImage_arr_11[] =
{0x22, 0x61, 0x62, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_12[] =
+ static const JJChar tokenImage_arr_12[] =
{0x22, 0x61, 0x63, 0x63, 0x65, 0x73, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_13[] =
+ static const JJChar tokenImage_arr_13[] =
{0x22, 0x61, 0x66, 0x74, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_14[] =
+ static const JJChar tokenImage_arr_14[] =
{0x22, 0x61, 0x6c, 0x69, 0x61, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_15[] =
+ static const JJChar tokenImage_arr_15[] =
{0x22, 0x61, 0x6c, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_16[] =
+ static const JJChar tokenImage_arr_16[] =
{0x22, 0x61, 0x6e, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_17[] =
+ static const JJChar tokenImage_arr_17[] =
{0x22, 0x61, 0x72, 0x63, 0x68, 0x69, 0x74, 0x65, 0x63, 0x74, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_18[] =
+ static const JJChar tokenImage_arr_18[] =
{0x22, 0x61, 0x72, 0x72, 0x61, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_19[] =
+ static const JJChar tokenImage_arr_19[] =
{0x22, 0x61, 0x73, 0x73, 0x65, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_20[] =
+ static const JJChar tokenImage_arr_20[] =
{0x22, 0x61, 0x73, 0x73, 0x75, 0x6d, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_21[] =
+ static const JJChar tokenImage_arr_21[] =
{0x22, 0x61, 0x73, 0x73, 0x75, 0x6d, 0x65, 0x5f, 0x67, 0x75, 0x61, 0x72, 0x61, 0x6e, 0x74, 0x65, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_22[] =
+ static const JJChar tokenImage_arr_22[] =
{0x22, 0x61, 0x74, 0x74, 0x72, 0x69, 0x62, 0x75, 0x74, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_23[] =
+ static const JJChar tokenImage_arr_23[] =
{0x22, 0x62, 0x65, 0x67, 0x69, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_24[] =
+ static const JJChar tokenImage_arr_24[] =
{0x22, 0x62, 0x6c, 0x6f, 0x63, 0x6b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_25[] =
+ static const JJChar tokenImage_arr_25[] =
{0x22, 0x62, 0x6f, 0x64, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_26[] =
+ static const JJChar tokenImage_arr_26[] =
{0x22, 0x62, 0x75, 0x66, 0x66, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_27[] =
+ static const JJChar tokenImage_arr_27[] =
{0x22, 0x62, 0x75, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_28[] =
+ static const JJChar tokenImage_arr_28[] =
{0x22, 0x63, 0x6f, 0x6d, 0x70, 0x6f, 0x6e, 0x65, 0x6e, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_29[] =
+ static const JJChar tokenImage_arr_29[] =
{0x22, 0x63, 0x61, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_30[] =
+ static const JJChar tokenImage_arr_30[] =
{0x22, 0x63, 0x6f, 0x6e, 0x66, 0x69, 0x67, 0x75, 0x72, 0x61, 0x74, 0x69, 0x6f, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_31[] =
+ static const JJChar tokenImage_arr_31[] =
{0x22, 0x63, 0x6f, 0x6e, 0x73, 0x74, 0x61, 0x6e, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_32[] =
+ static const JJChar tokenImage_arr_32[] =
{0x22, 0x63, 0x6f, 0x6e, 0x74, 0x65, 0x78, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_33[] =
+ static const JJChar tokenImage_arr_33[] =
{0x22, 0x63, 0x6f, 0x76, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_34[] =
+ static const JJChar tokenImage_arr_34[] =
{0x22, 0x64, 0x65, 0x66, 0x61, 0x75, 0x6c, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_35[] =
+ static const JJChar tokenImage_arr_35[] =
{0x22, 0x64, 0x69, 0x73, 0x63, 0x6f, 0x6e, 0x6e, 0x65, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_36[] =
+ static const JJChar tokenImage_arr_36[] =
{0x22, 0x64, 0x6f, 0x77, 0x6e, 0x74, 0x6f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_37[] =
+ static const JJChar tokenImage_arr_37[] =
{0x22, 0x65, 0x6c, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_38[] =
+ static const JJChar tokenImage_arr_38[] =
{0x22, 0x65, 0x6c, 0x73, 0x69, 0x66, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_39[] =
+ static const JJChar tokenImage_arr_39[] =
{0x22, 0x65, 0x6e, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_40[] =
+ static const JJChar tokenImage_arr_40[] =
{0x22, 0x65, 0x6e, 0x74, 0x69, 0x74, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_41[] =
+ static const JJChar tokenImage_arr_41[] =
{0x22, 0x65, 0x78, 0x69, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_42[] =
+ static const JJChar tokenImage_arr_42[] =
{0x22, 0x66, 0x61, 0x69, 0x72, 0x6e, 0x65, 0x73, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_43[] =
+ static const JJChar tokenImage_arr_43[] =
{0x22, 0x66, 0x69, 0x6c, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_44[] =
+ static const JJChar tokenImage_arr_44[] =
{0x22, 0x66, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_45[] =
+ static const JJChar tokenImage_arr_45[] =
{0x22, 0x66, 0x6f, 0x72, 0x63, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_46[] =
+ static const JJChar tokenImage_arr_46[] =
{0x22, 0x66, 0x75, 0x6e, 0x63, 0x74, 0x69, 0x6f, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_47[] =
+ static const JJChar tokenImage_arr_47[] =
{0x22, 0x67, 0x65, 0x6e, 0x65, 0x72, 0x61, 0x74, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_48[] =
+ static const JJChar tokenImage_arr_48[] =
{0x22, 0x67, 0x65, 0x6e, 0x65, 0x72, 0x69, 0x63, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_49[] =
+ static const JJChar tokenImage_arr_49[] =
{0x22, 0x67, 0x72, 0x6f, 0x75, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_50[] =
+ static const JJChar tokenImage_arr_50[] =
{0x22, 0x67, 0x75, 0x61, 0x72, 0x64, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_51[] =
+ static const JJChar tokenImage_arr_51[] =
{0x22, 0x69, 0x66, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_52[] =
+ static const JJChar tokenImage_arr_52[] =
{0x22, 0x69, 0x6d, 0x70, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_53[] =
+ static const JJChar tokenImage_arr_53[] =
{0x22, 0x69, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_54[] =
+ static const JJChar tokenImage_arr_54[] =
{0x22, 0x69, 0x6e, 0x65, 0x72, 0x74, 0x69, 0x61, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_55[] =
+ static const JJChar tokenImage_arr_55[] =
{0x22, 0x69, 0x6e, 0x6f, 0x75, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_56[] =
+ static const JJChar tokenImage_arr_56[] =
{0x22, 0x69, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_57[] =
+ static const JJChar tokenImage_arr_57[] =
{0x22, 0x6c, 0x61, 0x62, 0x65, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_58[] =
+ static const JJChar tokenImage_arr_58[] =
{0x22, 0x6c, 0x69, 0x62, 0x72, 0x61, 0x72, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_59[] =
+ static const JJChar tokenImage_arr_59[] =
{0x22, 0x6c, 0x69, 0x6e, 0x6b, 0x61, 0x67, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_60[] =
+ static const JJChar tokenImage_arr_60[] =
{0x22, 0x6c, 0x69, 0x74, 0x65, 0x72, 0x61, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_61[] =
+ static const JJChar tokenImage_arr_61[] =
{0x22, 0x6c, 0x6f, 0x6f, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_62[] =
+ static const JJChar tokenImage_arr_62[] =
{0x22, 0x6d, 0x61, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_63[] =
+ static const JJChar tokenImage_arr_63[] =
{0x22, 0x6d, 0x6f, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_64[] =
+ static const JJChar tokenImage_arr_64[] =
{0x22, 0x6e, 0x61, 0x6e, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_65[] =
+ static const JJChar tokenImage_arr_65[] =
{0x22, 0x6e, 0x65, 0x77, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_66[] =
+ static const JJChar tokenImage_arr_66[] =
{0x22, 0x6e, 0x65, 0x78, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_67[] =
+ static const JJChar tokenImage_arr_67[] =
{0x22, 0x6e, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_68[] =
+ static const JJChar tokenImage_arr_68[] =
{0x22, 0x6e, 0x6f, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_69[] =
+ static const JJChar tokenImage_arr_69[] =
{0x22, 0x6e, 0x75, 0x6c, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_70[] =
+ static const JJChar tokenImage_arr_70[] =
{0x22, 0x6f, 0x66, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_71[] =
+ static const JJChar tokenImage_arr_71[] =
{0x22, 0x6f, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_72[] =
+ static const JJChar tokenImage_arr_72[] =
{0x22, 0x6f, 0x70, 0x65, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_73[] =
+ static const JJChar tokenImage_arr_73[] =
{0x22, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_74[] =
+ static const JJChar tokenImage_arr_74[] =
{0x22, 0x6f, 0x74, 0x68, 0x65, 0x72, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_75[] =
+ static const JJChar tokenImage_arr_75[] =
{0x22, 0x6f, 0x75, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_76[] =
+ static const JJChar tokenImage_arr_76[] =
{0x22, 0x70, 0x61, 0x63, 0x6b, 0x61, 0x67, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_77[] =
+ static const JJChar tokenImage_arr_77[] =
{0x22, 0x70, 0x61, 0x72, 0x61, 0x6d, 0x65, 0x74, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_78[] =
+ static const JJChar tokenImage_arr_78[] =
{0x22, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_79[] =
+ static const JJChar tokenImage_arr_79[] =
{0x22, 0x70, 0x6f, 0x73, 0x74, 0x70, 0x6f, 0x6e, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_80[] =
+ static const JJChar tokenImage_arr_80[] =
{0x22, 0x70, 0x72, 0x6f, 0x63, 0x65, 0x64, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_81[] =
+ static const JJChar tokenImage_arr_81[] =
{0x22, 0x70, 0x72, 0x6f, 0x63, 0x65, 0x73, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_82[] =
+ static const JJChar tokenImage_arr_82[] =
{0x22, 0x70, 0x72, 0x6f, 0x70, 0x65, 0x72, 0x74, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_83[] =
+ static const JJChar tokenImage_arr_83[] =
{0x22, 0x70, 0x72, 0x6f, 0x74, 0x65, 0x63, 0x74, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_84[] =
+ static const JJChar tokenImage_arr_84[] =
{0x22, 0x70, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_85[] =
+ static const JJChar tokenImage_arr_85[] =
{0x22, 0x72, 0x61, 0x6e, 0x67, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_86[] =
+ static const JJChar tokenImage_arr_86[] =
{0x22, 0x72, 0x65, 0x63, 0x6f, 0x72, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_87[] =
+ static const JJChar tokenImage_arr_87[] =
{0x22, 0x72, 0x65, 0x67, 0x69, 0x73, 0x74, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_88[] =
+ static const JJChar tokenImage_arr_88[] =
{0x22, 0x72, 0x65, 0x6a, 0x65, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_89[] =
+ static const JJChar tokenImage_arr_89[] =
{0x22, 0x72, 0x65, 0x6c, 0x65, 0x61, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_90[] =
+ static const JJChar tokenImage_arr_90[] =
{0x22, 0x72, 0x65, 0x73, 0x74, 0x72, 0x69, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_91[] =
+ static const JJChar tokenImage_arr_91[] =
{0x22, 0x72, 0x65, 0x73, 0x74, 0x72, 0x69, 0x63, 0x74, 0x5f, 0x67, 0x75, 0x61, 0x72, 0x61, 0x6e, 0x74, 0x65, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_92[] =
+ static const JJChar tokenImage_arr_92[] =
{0x22, 0x72, 0x65, 0x6d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_93[] =
+ static const JJChar tokenImage_arr_93[] =
{0x22, 0x72, 0x65, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_94[] =
+ static const JJChar tokenImage_arr_94[] =
{0x22, 0x72, 0x6f, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_95[] =
+ static const JJChar tokenImage_arr_95[] =
{0x22, 0x72, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_96[] =
+ static const JJChar tokenImage_arr_96[] =
{0x22, 0x72, 0x65, 0x74, 0x75, 0x72, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_97[] =
+ static const JJChar tokenImage_arr_97[] =
{0x22, 0x73, 0x65, 0x6c, 0x65, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_98[] =
+ static const JJChar tokenImage_arr_98[] =
{0x22, 0x73, 0x65, 0x71, 0x75, 0x65, 0x6e, 0x63, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_99[] =
+ static const JJChar tokenImage_arr_99[] =
{0x22, 0x73, 0x65, 0x76, 0x65, 0x72, 0x69, 0x74, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_100[] =
+ static const JJChar tokenImage_arr_100[] =
{0x22, 0x73, 0x69, 0x67, 0x6e, 0x61, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_101[] =
+ static const JJChar tokenImage_arr_101[] =
{0x22, 0x73, 0x68, 0x61, 0x72, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_102[] =
+ static const JJChar tokenImage_arr_102[] =
{0x22, 0x73, 0x6c, 0x61, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_103[] =
+ static const JJChar tokenImage_arr_103[] =
{0x22, 0x73, 0x6c, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_104[] =
+ static const JJChar tokenImage_arr_104[] =
{0x22, 0x73, 0x72, 0x61, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_105[] =
+ static const JJChar tokenImage_arr_105[] =
{0x22, 0x73, 0x72, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_106[] =
+ static const JJChar tokenImage_arr_106[] =
{0x22, 0x73, 0x74, 0x72, 0x6f, 0x6e, 0x67, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_107[] =
+ static const JJChar tokenImage_arr_107[] =
{0x22, 0x73, 0x75, 0x62, 0x74, 0x79, 0x70, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_108[] =
+ static const JJChar tokenImage_arr_108[] =
{0x22, 0x74, 0x68, 0x65, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_109[] =
+ static const JJChar tokenImage_arr_109[] =
{0x22, 0x74, 0x6f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_110[] =
+ static const JJChar tokenImage_arr_110[] =
{0x22, 0x74, 0x72, 0x61, 0x6e, 0x73, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_111[] =
+ static const JJChar tokenImage_arr_111[] =
{0x22, 0x74, 0x79, 0x70, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_112[] =
+ static const JJChar tokenImage_arr_112[] =
{0x22, 0x75, 0x6e, 0x61, 0x66, 0x66, 0x65, 0x63, 0x74, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_113[] =
+ static const JJChar tokenImage_arr_113[] =
{0x22, 0x75, 0x6e, 0x69, 0x74, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_114[] =
+ static const JJChar tokenImage_arr_114[] =
{0x22, 0x75, 0x6e, 0x74, 0x69, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_115[] =
+ static const JJChar tokenImage_arr_115[] =
{0x22, 0x75, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_116[] =
+ static const JJChar tokenImage_arr_116[] =
{0x22, 0x76, 0x61, 0x72, 0x69, 0x61, 0x62, 0x6c, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_117[] =
+ static const JJChar tokenImage_arr_117[] =
{0x22, 0x76, 0x6d, 0x6f, 0x64, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_118[] =
+ static const JJChar tokenImage_arr_118[] =
{0x22, 0x76, 0x70, 0x72, 0x6f, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_119[] =
+ static const JJChar tokenImage_arr_119[] =
{0x22, 0x76, 0x75, 0x6e, 0x69, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_120[] =
+ static const JJChar tokenImage_arr_120[] =
{0x22, 0x77, 0x61, 0x69, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_121[] =
+ static const JJChar tokenImage_arr_121[] =
{0x22, 0x77, 0x68, 0x65, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_122[] =
+ static const JJChar tokenImage_arr_122[] =
{0x22, 0x77, 0x68, 0x69, 0x6c, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_123[] =
+ static const JJChar tokenImage_arr_123[] =
{0x22, 0x77, 0x69, 0x74, 0x68, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_124[] =
+ static const JJChar tokenImage_arr_124[] =
{0x22, 0x78, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_125[] =
+ static const JJChar tokenImage_arr_125[] =
{0x22, 0x78, 0x6e, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_126[] =
+ static const JJChar tokenImage_arr_126[] =
{0x22, 0x26, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_127[] =
+ static const JJChar tokenImage_arr_127[] =
{0x22, 0x27, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_128[] =
+ static const JJChar tokenImage_arr_128[] =
{0x22, 0x28, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_129[] =
+ static const JJChar tokenImage_arr_129[] =
{0x22, 0x29, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_130[] =
+ static const JJChar tokenImage_arr_130[] =
{0x22, 0x2a, 0x2a, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_131[] =
+ static const JJChar tokenImage_arr_131[] =
{0x22, 0x2a, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_132[] =
+ static const JJChar tokenImage_arr_132[] =
{0x22, 0x2b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_133[] =
+ static const JJChar tokenImage_arr_133[] =
{0x22, 0x2d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_134[] =
+ static const JJChar tokenImage_arr_134[] =
{0x22, 0x2c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_135[] =
+ static const JJChar tokenImage_arr_135[] =
{0x22, 0x3a, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_136[] =
+ static const JJChar tokenImage_arr_136[] =
{0x22, 0x3a, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_137[] =
+ static const JJChar tokenImage_arr_137[] =
{0x22, 0x3b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_138[] =
+ static const JJChar tokenImage_arr_138[] =
{0x22, 0x3c, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_139[] =
+ static const JJChar tokenImage_arr_139[] =
{0x22, 0x3e, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_140[] =
+ static const JJChar tokenImage_arr_140[] =
{0x22, 0x3c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_141[] =
+ static const JJChar tokenImage_arr_141[] =
{0x22, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_142[] =
+ static const JJChar tokenImage_arr_142[] =
{0x22, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_143[] =
+ static const JJChar tokenImage_arr_143[] =
{0x22, 0x2f, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_144[] =
+ static const JJChar tokenImage_arr_144[] =
{0x22, 0x3d, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_145[] =
+ static const JJChar tokenImage_arr_145[] =
{0x22, 0x3c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_146[] =
+ static const JJChar tokenImage_arr_146[] =
{0x22, 0x3c, 0x3c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_147[] =
+ static const JJChar tokenImage_arr_147[] =
{0x22, 0x3e, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_148[] =
+ static const JJChar tokenImage_arr_148[] =
{0x22, 0x3f, 0x3f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_149[] =
+ static const JJChar tokenImage_arr_149[] =
{0x22, 0x3f, 0x3e, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_150[] =
+ static const JJChar tokenImage_arr_150[] =
{0x22, 0x3f, 0x3c, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_151[] =
+ static const JJChar tokenImage_arr_151[] =
{0x22, 0x3f, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_152[] =
+ static const JJChar tokenImage_arr_152[] =
{0x22, 0x3f, 0x3c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_153[] =
+ static const JJChar tokenImage_arr_153[] =
{0x22, 0x3f, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_154[] =
+ static const JJChar tokenImage_arr_154[] =
{0x22, 0x3f, 0x2f, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_155[] =
+ static const JJChar tokenImage_arr_155[] =
{0x22, 0x3f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_156[] =
+ static const JJChar tokenImage_arr_156[] =
{0x22, 0x7c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_157[] =
+ static const JJChar tokenImage_arr_157[] =
{0x22, 0x2e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_158[] =
+ static const JJChar tokenImage_arr_158[] =
{0x22, 0x2f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_159[] =
+ static const JJChar tokenImage_arr_159[] =
{0x22, 0x40, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_160[] =
+ static const JJChar tokenImage_arr_160[] =
{0x22, 0x5e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_161[] =
+ static const JJChar tokenImage_arr_161[] =
{0x22, 0x5b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_162[] =
+ static const JJChar tokenImage_arr_162[] =
{0x22, 0x5d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_163[] =
+ static const JJChar tokenImage_arr_163[] =
{0x22, 0x7b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_164[] =
+ static const JJChar tokenImage_arr_164[] =
{0x22, 0x7d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_165[] =
+ static const JJChar tokenImage_arr_165[] =
{0x22, 0x3c, 0x49, 0x4e, 0x54, 0x45, 0x47, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_166[] =
+ static const JJChar tokenImage_arr_166[] =
{0x22, 0x3c, 0x53, 0x54, 0x52, 0x49, 0x4e, 0x47, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_167[] =
+ static const JJChar tokenImage_arr_167[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x49, 0x43, 0x5f, 0x49, 0x44, 0x45, 0x4e, 0x54, 0x49, 0x46, 0x49, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_168[] =
+ static const JJChar tokenImage_arr_168[] =
{0x22, 0x3c, 0x45, 0x58, 0x54, 0x45, 0x4e, 0x44, 0x45, 0x44, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_169[] =
+ static const JJChar tokenImage_arr_169[] =
{0x22, 0x3c, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_170[] =
+ static const JJChar tokenImage_arr_170[] =
{0x22, 0x3c, 0x44, 0x45, 0x43, 0x49, 0x4d, 0x41, 0x4c, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_171[] =
+ static const JJChar tokenImage_arr_171[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x44, 0x5f, 0x49, 0x4e, 0x54, 0x45, 0x47, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_172[] =
+ static const JJChar tokenImage_arr_172[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x44, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_173[] =
+ static const JJChar tokenImage_arr_173[] =
{0x22, 0x3c, 0x45, 0x58, 0x50, 0x4f, 0x4e, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_174[] =
+ static const JJChar tokenImage_arr_174[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x49, 0x43, 0x5f, 0x47, 0x52, 0x41, 0x50, 0x48, 0x49, 0x43, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_175[] =
+ static const JJChar tokenImage_arr_175[] =
{0x22, 0x3c, 0x47, 0x52, 0x41, 0x50, 0x48, 0x49, 0x43, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_176[] =
+ static const JJChar tokenImage_arr_176[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x5f, 0x4f, 0x52, 0x5f, 0x44, 0x49, 0x47, 0x49, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_177[] =
+ static const JJChar tokenImage_arr_177[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x5f, 0x4f, 0x52, 0x5f, 0x44, 0x49, 0x47, 0x49, 0x54, 0x5f, 0x4f, 0x52, 0x5f, 0x53, 0x54, 0x44, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_178[] =
+ static const JJChar tokenImage_arr_178[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_179[] =
+ static const JJChar tokenImage_arr_179[] =
{0x22, 0x3c, 0x55, 0x50, 0x50, 0x45, 0x52, 0x5f, 0x43, 0x41, 0x53, 0x45, 0x5f, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_180[] =
+ static const JJChar tokenImage_arr_180[] =
{0x22, 0x3c, 0x42, 0x49, 0x54, 0x5f, 0x53, 0x54, 0x52, 0x49, 0x4e, 0x47, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_181[] =
+ static const JJChar tokenImage_arr_181[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x5f, 0x53, 0x50, 0x45, 0x43, 0x49, 0x46, 0x49, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_182[] =
+ static const JJChar tokenImage_arr_182[] =
{0x22, 0x3c, 0x53, 0x54, 0x44, 0x5f, 0x4c, 0x4f, 0x47, 0x49, 0x43, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_183[] =
+ static const JJChar tokenImage_arr_183[] =
{0x22, 0x3c, 0x44, 0x49, 0x47, 0x49, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_184[] =
+ static const JJChar tokenImage_arr_184[] =
{0x22, 0x3c, 0x53, 0x50, 0x45, 0x43, 0x49, 0x41, 0x4c, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_185[] =
+ static const JJChar tokenImage_arr_185[] =
{0x22, 0x3c, 0x4f, 0x54, 0x48, 0x45, 0x52, 0x5f, 0x53, 0x50, 0x45, 0x43, 0x49, 0x41, 0x4c, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_186[] =
+ static const JJChar tokenImage_arr_186[] =
{0x22, 0x3c, 0x53, 0x50, 0x41, 0x43, 0x45, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_187[] =
+ static const JJChar tokenImage_arr_187[] =
{0x22, 0x3c, 0x4c, 0x4f, 0x57, 0x45, 0x52, 0x5f, 0x43, 0x41, 0x53, 0x45, 0x5f, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_188[] =
+ static const JJChar tokenImage_arr_188[] =
{0x22, 0x3c, 0x51, 0x55, 0x4f, 0x54, 0x45, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_189[] =
+ static const JJChar tokenImage_arr_189[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x32, 0x30, 0x30, 0x38, 0x54, 0x4f, 0x4f, 0x4c, 0x44, 0x49, 0x52, 0x3e, 0x22, 0};
- static JAVACC_STRING_TYPE tokenImage[] = {
+ static const JJChar tokenImage_arr_190[] =
+{0x22, 0x3c, 0x53, 0x50, 0x45, 0x43, 0x5f, 0x41, 0x54, 0x54, 0x52, 0x3e, 0x22, 0};
+ static const JJChar* const tokenImage[] = {
tokenImage_arr_0,
tokenImage_arr_1,
tokenImage_arr_2,
@@ -958,6 +962,7 @@ tokenImage_arr_186,
tokenImage_arr_187,
tokenImage_arr_188,
tokenImage_arr_189,
+tokenImage_arr_190,
};
}
diff --git a/vhdlparser/VhdlParserTokenManager.cc b/vhdlparser/VhdlParserTokenManager.cc
index 2970785..aa56e43 100644
--- a/vhdlparser/VhdlParserTokenManager.cc
+++ b/vhdlparser/VhdlParserTokenManager.cc
@@ -13,232 +13,234 @@ static const unsigned long long jjbitVec3[] = {
0x0ULL, 0x0ULL, 0xffffffff00000000ULL, 0xffffffffffffffffULL
};
static const int jjnextStates[] = {
- 58, 59, 60, 61, 62, 65, 69, 70, 71, 80, 21, 50, 51, 2, 37, 38,
- 0, 3, 4, 5, 7, 12, 13, 15, 16, 24, 23, 25, 33, 34, 36, 39,
- 40, 42, 46, 47, 49, 53, 54, 56, 60, 61, 62, 65, 64, 63, 65, 69,
- 70, 71, 72, 73, 75, 9, 10, 28, 29, 27, 30,
+ 56, 57, 58, 59, 60, 63, 67, 68, 69, 78, 18, 19, 79, 81, 83, 48,
+ 49, 2, 35, 36, 0, 3, 4, 5, 7, 12, 13, 15, 16, 22, 21, 23,
+ 31, 32, 34, 37, 38, 40, 44, 45, 47, 51, 52, 54, 58, 59, 60, 63,
+ 62, 61, 63, 67, 68, 69, 70, 71, 73, 78, 18, 19, 78, 18, 19, 79,
+ 9, 10, 26, 27, 25, 28,
};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_0[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_1[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_2[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_3[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_4[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_5[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_6[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_7[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_8[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_9[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_10[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_11[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_12[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_13[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_14[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_15[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_16[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_17[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_18[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_19[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_20[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_21[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_22[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_23[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_24[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_25[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_26[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_27[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_28[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_29[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_30[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_31[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_32[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_33[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_34[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_35[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_36[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_37[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_38[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_39[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_40[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_41[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_42[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_43[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_44[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_45[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_46[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_47[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_48[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_49[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_50[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_51[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_52[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_53[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_54[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_55[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_56[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_57[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_58[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_59[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_60[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_61[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_62[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_63[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_64[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_65[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_66[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_67[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_68[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_69[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_70[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_71[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_72[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_73[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_74[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_75[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_76[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_77[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_78[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_79[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_80[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_81[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_82[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_83[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_84[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_85[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_86[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_87[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_88[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_89[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_90[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_91[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_92[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_93[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_94[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_95[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_96[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_97[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_98[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_99[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_100[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_101[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_102[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_103[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_104[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_105[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_106[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_107[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_108[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_109[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_110[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_111[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_112[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_113[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_114[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_115[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_116[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_117[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_118[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_119[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_120[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_121[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_122[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_123[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_124[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_125[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_126[] = {0x26, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_127[] = {0x27, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_128[] = {0x28, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_129[] = {0x29, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_130[] = {0x2a, 0x2a, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_131[] = {0x2a, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_132[] = {0x2b, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_133[] = {0x2d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_134[] = {0x2c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_135[] = {0x3a, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_136[] = {0x3a, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_137[] = {0x3b, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_138[] = {0x3c, 0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_139[] = {0x3e, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_140[] = {0x3c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_141[] = {0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_142[] = {0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_143[] = {0x2f, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_144[] = {0x3d, 0x3e, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_145[] = {0x3c, 0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_146[] = {0x3c, 0x3c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_147[] = {0x3e, 0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_148[] = {0x3f, 0x3f, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_149[] = {0x3f, 0x3e, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_150[] = {0x3f, 0x3c, 0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_151[] = {0x3f, 0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_152[] = {0x3f, 0x3c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_153[] = {0x3f, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_154[] = {0x3f, 0x2f, 0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_155[] = {0x3f, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_156[] = {0x7c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_157[] = {0x2e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_158[] = {0x2f, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_159[] = {0x40, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_160[] = {0x5e, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_161[] = {0x5b, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_162[] = {0x5d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_163[] = {0x7b, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_164[] = {0x7d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_165[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_166[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_167[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_168[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_169[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_170[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_171[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_172[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_173[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_174[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_175[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_176[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_177[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_178[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_179[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_180[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_181[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_182[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_183[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_184[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_185[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_186[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_187[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_188[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_189[] = {0};
-static const JAVACC_STRING_TYPE jjstrLiteralImages[] = {
+static JJChar jjstrLiteralChars_0[] = {0};
+static JJChar jjstrLiteralChars_1[] = {0};
+static JJChar jjstrLiteralChars_2[] = {0};
+static JJChar jjstrLiteralChars_3[] = {0};
+static JJChar jjstrLiteralChars_4[] = {0};
+static JJChar jjstrLiteralChars_5[] = {0};
+static JJChar jjstrLiteralChars_6[] = {0};
+
+static JJChar jjstrLiteralChars_7[] = {0};
+static JJChar jjstrLiteralChars_8[] = {0};
+static JJChar jjstrLiteralChars_9[] = {0};
+static JJChar jjstrLiteralChars_10[] = {0};
+static JJChar jjstrLiteralChars_11[] = {0};
+static JJChar jjstrLiteralChars_12[] = {0};
+static JJChar jjstrLiteralChars_13[] = {0};
+static JJChar jjstrLiteralChars_14[] = {0};
+static JJChar jjstrLiteralChars_15[] = {0};
+static JJChar jjstrLiteralChars_16[] = {0};
+static JJChar jjstrLiteralChars_17[] = {0};
+static JJChar jjstrLiteralChars_18[] = {0};
+static JJChar jjstrLiteralChars_19[] = {0};
+static JJChar jjstrLiteralChars_20[] = {0};
+
+static JJChar jjstrLiteralChars_21[] = {0};
+static JJChar jjstrLiteralChars_22[] = {0};
+static JJChar jjstrLiteralChars_23[] = {0};
+static JJChar jjstrLiteralChars_24[] = {0};
+static JJChar jjstrLiteralChars_25[] = {0};
+static JJChar jjstrLiteralChars_26[] = {0};
+static JJChar jjstrLiteralChars_27[] = {0};
+static JJChar jjstrLiteralChars_28[] = {0};
+static JJChar jjstrLiteralChars_29[] = {0};
+static JJChar jjstrLiteralChars_30[] = {0};
+static JJChar jjstrLiteralChars_31[] = {0};
+static JJChar jjstrLiteralChars_32[] = {0};
+static JJChar jjstrLiteralChars_33[] = {0};
+static JJChar jjstrLiteralChars_34[] = {0};
+
+static JJChar jjstrLiteralChars_35[] = {0};
+static JJChar jjstrLiteralChars_36[] = {0};
+static JJChar jjstrLiteralChars_37[] = {0};
+static JJChar jjstrLiteralChars_38[] = {0};
+static JJChar jjstrLiteralChars_39[] = {0};
+static JJChar jjstrLiteralChars_40[] = {0};
+static JJChar jjstrLiteralChars_41[] = {0};
+static JJChar jjstrLiteralChars_42[] = {0};
+static JJChar jjstrLiteralChars_43[] = {0};
+static JJChar jjstrLiteralChars_44[] = {0};
+static JJChar jjstrLiteralChars_45[] = {0};
+static JJChar jjstrLiteralChars_46[] = {0};
+static JJChar jjstrLiteralChars_47[] = {0};
+static JJChar jjstrLiteralChars_48[] = {0};
+
+static JJChar jjstrLiteralChars_49[] = {0};
+static JJChar jjstrLiteralChars_50[] = {0};
+static JJChar jjstrLiteralChars_51[] = {0};
+static JJChar jjstrLiteralChars_52[] = {0};
+static JJChar jjstrLiteralChars_53[] = {0};
+static JJChar jjstrLiteralChars_54[] = {0};
+static JJChar jjstrLiteralChars_55[] = {0};
+static JJChar jjstrLiteralChars_56[] = {0};
+static JJChar jjstrLiteralChars_57[] = {0};
+static JJChar jjstrLiteralChars_58[] = {0};
+static JJChar jjstrLiteralChars_59[] = {0};
+static JJChar jjstrLiteralChars_60[] = {0};
+static JJChar jjstrLiteralChars_61[] = {0};
+static JJChar jjstrLiteralChars_62[] = {0};
+
+static JJChar jjstrLiteralChars_63[] = {0};
+static JJChar jjstrLiteralChars_64[] = {0};
+static JJChar jjstrLiteralChars_65[] = {0};
+static JJChar jjstrLiteralChars_66[] = {0};
+static JJChar jjstrLiteralChars_67[] = {0};
+static JJChar jjstrLiteralChars_68[] = {0};
+static JJChar jjstrLiteralChars_69[] = {0};
+static JJChar jjstrLiteralChars_70[] = {0};
+static JJChar jjstrLiteralChars_71[] = {0};
+static JJChar jjstrLiteralChars_72[] = {0};
+static JJChar jjstrLiteralChars_73[] = {0};
+static JJChar jjstrLiteralChars_74[] = {0};
+static JJChar jjstrLiteralChars_75[] = {0};
+static JJChar jjstrLiteralChars_76[] = {0};
+
+static JJChar jjstrLiteralChars_77[] = {0};
+static JJChar jjstrLiteralChars_78[] = {0};
+static JJChar jjstrLiteralChars_79[] = {0};
+static JJChar jjstrLiteralChars_80[] = {0};
+static JJChar jjstrLiteralChars_81[] = {0};
+static JJChar jjstrLiteralChars_82[] = {0};
+static JJChar jjstrLiteralChars_83[] = {0};
+static JJChar jjstrLiteralChars_84[] = {0};
+static JJChar jjstrLiteralChars_85[] = {0};
+static JJChar jjstrLiteralChars_86[] = {0};
+static JJChar jjstrLiteralChars_87[] = {0};
+static JJChar jjstrLiteralChars_88[] = {0};
+static JJChar jjstrLiteralChars_89[] = {0};
+static JJChar jjstrLiteralChars_90[] = {0};
+
+static JJChar jjstrLiteralChars_91[] = {0};
+static JJChar jjstrLiteralChars_92[] = {0};
+static JJChar jjstrLiteralChars_93[] = {0};
+static JJChar jjstrLiteralChars_94[] = {0};
+static JJChar jjstrLiteralChars_95[] = {0};
+static JJChar jjstrLiteralChars_96[] = {0};
+static JJChar jjstrLiteralChars_97[] = {0};
+static JJChar jjstrLiteralChars_98[] = {0};
+static JJChar jjstrLiteralChars_99[] = {0};
+static JJChar jjstrLiteralChars_100[] = {0};
+static JJChar jjstrLiteralChars_101[] = {0};
+static JJChar jjstrLiteralChars_102[] = {0};
+static JJChar jjstrLiteralChars_103[] = {0};
+static JJChar jjstrLiteralChars_104[] = {0};
+
+static JJChar jjstrLiteralChars_105[] = {0};
+static JJChar jjstrLiteralChars_106[] = {0};
+static JJChar jjstrLiteralChars_107[] = {0};
+static JJChar jjstrLiteralChars_108[] = {0};
+static JJChar jjstrLiteralChars_109[] = {0};
+static JJChar jjstrLiteralChars_110[] = {0};
+static JJChar jjstrLiteralChars_111[] = {0};
+static JJChar jjstrLiteralChars_112[] = {0};
+static JJChar jjstrLiteralChars_113[] = {0};
+static JJChar jjstrLiteralChars_114[] = {0};
+static JJChar jjstrLiteralChars_115[] = {0};
+static JJChar jjstrLiteralChars_116[] = {0};
+static JJChar jjstrLiteralChars_117[] = {0};
+static JJChar jjstrLiteralChars_118[] = {0};
+
+static JJChar jjstrLiteralChars_119[] = {0};
+static JJChar jjstrLiteralChars_120[] = {0};
+static JJChar jjstrLiteralChars_121[] = {0};
+static JJChar jjstrLiteralChars_122[] = {0};
+static JJChar jjstrLiteralChars_123[] = {0};
+static JJChar jjstrLiteralChars_124[] = {0};
+static JJChar jjstrLiteralChars_125[] = {0};
+
+static JJChar jjstrLiteralChars_126[] = {0x26, 0};
+static JJChar jjstrLiteralChars_127[] = {0x27, 0};
+
+static JJChar jjstrLiteralChars_128[] = {0x28, 0};
+static JJChar jjstrLiteralChars_129[] = {0x29, 0};
+
+static JJChar jjstrLiteralChars_130[] = {0x2a, 0x2a, 0};
+static JJChar jjstrLiteralChars_131[] = {0x2a, 0};
+
+static JJChar jjstrLiteralChars_132[] = {0x2b, 0};
+static JJChar jjstrLiteralChars_133[] = {0x2d, 0};
+
+static JJChar jjstrLiteralChars_134[] = {0x2c, 0};
+static JJChar jjstrLiteralChars_135[] = {0x3a, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_136[] = {0x3a, 0};
+static JJChar jjstrLiteralChars_137[] = {0x3b, 0};
+
+static JJChar jjstrLiteralChars_138[] = {0x3c, 0x3d, 0};
+static JJChar jjstrLiteralChars_139[] = {0x3e, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_140[] = {0x3c, 0};
+static JJChar jjstrLiteralChars_141[] = {0x3e, 0};
+
+static JJChar jjstrLiteralChars_142[] = {0x3d, 0};
+static JJChar jjstrLiteralChars_143[] = {0x2f, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_144[] = {0x3d, 0x3e, 0};
+static JJChar jjstrLiteralChars_145[] = {0x3c, 0x3e, 0};
+
+static JJChar jjstrLiteralChars_146[] = {0x3c, 0x3c, 0};
+static JJChar jjstrLiteralChars_147[] = {0x3e, 0x3e, 0};
+
+static JJChar jjstrLiteralChars_148[] = {0x3f, 0x3f, 0};
+static JJChar jjstrLiteralChars_149[] = {0x3f, 0x3e, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_150[] = {0x3f, 0x3c, 0x3d, 0};
+static JJChar jjstrLiteralChars_151[] = {0x3f, 0x3e, 0};
+
+static JJChar jjstrLiteralChars_152[] = {0x3f, 0x3c, 0};
+static JJChar jjstrLiteralChars_153[] = {0x3f, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_154[] = {0x3f, 0x2f, 0x3d, 0};
+static JJChar jjstrLiteralChars_155[] = {0x3f, 0};
+
+static JJChar jjstrLiteralChars_156[] = {0x7c, 0};
+static JJChar jjstrLiteralChars_157[] = {0x2e, 0};
+
+static JJChar jjstrLiteralChars_158[] = {0x2f, 0};
+static JJChar jjstrLiteralChars_159[] = {0x40, 0};
+
+static JJChar jjstrLiteralChars_160[] = {0x5e, 0};
+static JJChar jjstrLiteralChars_161[] = {0x5b, 0};
+
+static JJChar jjstrLiteralChars_162[] = {0x5d, 0};
+static JJChar jjstrLiteralChars_163[] = {0x7b, 0};
+
+static JJChar jjstrLiteralChars_164[] = {0x7d, 0};
+static JJChar jjstrLiteralChars_165[] = {0};
+static JJChar jjstrLiteralChars_166[] = {0};
+static JJChar jjstrLiteralChars_167[] = {0};
+static JJChar jjstrLiteralChars_168[] = {0};
+static JJChar jjstrLiteralChars_169[] = {0};
+static JJChar jjstrLiteralChars_170[] = {0};
+static JJChar jjstrLiteralChars_171[] = {0};
+static JJChar jjstrLiteralChars_172[] = {0};
+static JJChar jjstrLiteralChars_173[] = {0};
+static JJChar jjstrLiteralChars_174[] = {0};
+static JJChar jjstrLiteralChars_175[] = {0};
+static JJChar jjstrLiteralChars_176[] = {0};
+static JJChar jjstrLiteralChars_177[] = {0};
+
+static JJChar jjstrLiteralChars_178[] = {0};
+static JJChar jjstrLiteralChars_179[] = {0};
+static JJChar jjstrLiteralChars_180[] = {0};
+static JJChar jjstrLiteralChars_181[] = {0};
+static JJChar jjstrLiteralChars_182[] = {0};
+static JJChar jjstrLiteralChars_183[] = {0};
+static JJChar jjstrLiteralChars_184[] = {0};
+static JJChar jjstrLiteralChars_185[] = {0};
+static JJChar jjstrLiteralChars_186[] = {0};
+static JJChar jjstrLiteralChars_187[] = {0};
+static JJChar jjstrLiteralChars_188[] = {0};
+static JJChar jjstrLiteralChars_189[] = {0};
+static JJChar jjstrLiteralChars_190[] = {0};
+static const JJString jjstrLiteralImages[] = {
jjstrLiteralChars_0,
jjstrLiteralChars_1,
jjstrLiteralChars_2,
@@ -429,22 +431,23 @@ jjstrLiteralChars_186,
jjstrLiteralChars_187,
jjstrLiteralChars_188,
jjstrLiteralChars_189,
+jjstrLiteralChars_190,
};
/** Lexer state names. */
-static const JAVACC_CHAR_TYPE lexStateNames_arr_0[] =
+static const JJChar lexStateNames_arr_0[] =
{0x44, 0x45, 0x46, 0x41, 0x55, 0x4c, 0x54, 0};
-static const JAVACC_STRING_TYPE lexStateNames[] = {
+static const JJString lexStateNames[] = {
lexStateNames_arr_0,
};
static const unsigned long long jjtoToken[] = {
- 0xfffffffffffff801ULL, 0xffffffffffffffffULL, 0x20101fffffffffffULL,
+ 0xfffffffffffff801ULL, 0xffffffffffffffffULL, 0x60101fffffffffffULL,
};
static const unsigned long long jjtoSkip[] = {
0x7deULL, 0x0ULL, 0x0ULL,
};
-void VhdlParserTokenManager::setDebugStream(FILE *ds){ debugStream = ds; }
+ void VhdlParserTokenManager::setDebugStream(FILE *ds){ debugStream = ds; }
int VhdlParserTokenManager::jjStopAtPos(int pos, int kind){
jjmatchedKind = kind;
@@ -2687,7 +2690,7 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
curChar = input_stream->readChar();
curPos = 0;
int startsAt = 0;
- jjnewStateCnt = 81;
+ jjnewStateCnt = 87;
int i = 1;
jjstateSet[0] = startState;
int kind = 0x7fffffff;
@@ -2708,23 +2711,23 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
{
if (kind > 165)
kind = 165;
- { jjCheckNAddStates(0, 10); }
+ { jjCheckNAddStates(0, 12); }
}
else if ((0x100000200ULL & l) != 0L)
{ jjCheckNAddTwoStates(0, 3); }
+ else if (curChar == 39)
+ { jjAddStates(13, 14); }
else if (curChar == 47)
- { jjAddStates(11, 12); }
+ { jjAddStates(15, 16); }
else if (curChar == 45)
- { jjCheckNAddStates(13, 15); }
- else if (curChar == 39)
- jjstateSet[jjnewStateCnt++] = 18;
+ { jjCheckNAddStates(17, 19); }
else if (curChar == 34)
{ jjCheckNAddTwoStates(9, 10); }
if ((0x3ff000000000000ULL & l) != 0L)
{
if (kind > 171)
kind = 171;
- { jjCheckNAdd(20); }
+ { jjCheckNAdd(17); }
}
break;
case 0:
@@ -2736,7 +2739,7 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
break;
if (kind > 6)
kind = 6;
- { jjCheckNAddStates(16, 20); }
+ { jjCheckNAddStates(20, 24); }
break;
case 2:
if (curChar == 45)
@@ -2751,7 +2754,7 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
break;
if (kind > 6)
kind = 6;
- { jjCheckNAddStates(16, 20); }
+ { jjCheckNAddStates(20, 24); }
break;
case 5:
if ((0x2400ULL & l) == 0L)
@@ -2791,265 +2794,285 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
break;
if (kind > 167)
kind = 167;
- { jjAddStates(21, 22); }
+ { jjAddStates(25, 26); }
break;
case 15:
if ((0xfffffffb00000200ULL & l) != 0L)
- { jjAddStates(23, 24); }
+ { jjAddStates(27, 28); }
break;
case 17:
- if (curChar == 39)
- jjstateSet[jjnewStateCnt++] = 18;
- break;
- case 18:
- if ((0xffffffff00000200ULL & l) != 0L)
- jjstateSet[jjnewStateCnt++] = 19;
- break;
- case 19:
- if (curChar == 39 && kind > 169)
- kind = 169;
- break;
- case 20:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 171)
kind = 171;
- { jjCheckNAdd(20); }
+ { jjCheckNAdd(17); }
break;
- case 22:
+ case 20:
if (curChar == 34)
- { jjCheckNAdd(23); }
+ { jjCheckNAdd(21); }
break;
- case 23:
+ case 21:
if ((0x3ff200000000000ULL & l) != 0L)
- { jjCheckNAddStates(25, 27); }
+ { jjCheckNAddStates(29, 31); }
break;
- case 25:
+ case 23:
if (curChar == 34 && kind > 180)
kind = 180;
break;
- case 27:
+ case 25:
if (curChar == 34)
- { jjCheckNAddTwoStates(28, 29); }
+ { jjCheckNAddTwoStates(26, 27); }
break;
- case 28:
+ case 26:
if ((0xfffffffb00000200ULL & l) != 0L)
- { jjCheckNAddTwoStates(28, 29); }
+ { jjCheckNAddTwoStates(26, 27); }
break;
- case 29:
+ case 27:
if (curChar != 34)
break;
if (kind > 189)
kind = 189;
- { jjCheckNAddTwoStates(27, 30); }
+ { jjCheckNAddTwoStates(25, 28); }
break;
- case 30:
+ case 28:
if ((0xfffffffb00000200ULL & l) == 0L)
break;
if (kind > 189)
kind = 189;
- { jjCheckNAddTwoStates(27, 30); }
+ { jjCheckNAddTwoStates(25, 28); }
break;
- case 31:
+ case 29:
if (curChar == 45)
- { jjCheckNAddStates(13, 15); }
+ { jjCheckNAddStates(17, 19); }
break;
- case 32:
+ case 30:
if (curChar != 35)
break;
if (kind > 7)
kind = 7;
- { jjCheckNAddStates(28, 30); }
+ { jjCheckNAddStates(32, 34); }
break;
- case 33:
+ case 31:
if ((0xffffffffffffdbffULL & l) == 0L)
break;
if (kind > 7)
kind = 7;
- { jjCheckNAddStates(28, 30); }
+ { jjCheckNAddStates(32, 34); }
break;
- case 34:
+ case 32:
if ((0x2400ULL & l) != 0L && kind > 7)
kind = 7;
break;
- case 35:
+ case 33:
if (curChar == 10 && kind > 7)
kind = 7;
break;
- case 36:
+ case 34:
if (curChar == 13)
- jjstateSet[jjnewStateCnt++] = 35;
+ jjstateSet[jjnewStateCnt++] = 33;
break;
- case 37:
+ case 35:
if (curChar == 45)
- jjstateSet[jjnewStateCnt++] = 32;
+ jjstateSet[jjnewStateCnt++] = 30;
break;
- case 38:
+ case 36:
if (curChar != 45)
break;
if (kind > 8)
kind = 8;
- { jjCheckNAddStates(31, 33); }
+ { jjCheckNAddStates(35, 37); }
break;
- case 39:
+ case 37:
if ((0xffffffffffffdbffULL & l) == 0L)
break;
if (kind > 8)
kind = 8;
- { jjCheckNAddStates(31, 33); }
+ { jjCheckNAddStates(35, 37); }
break;
- case 40:
+ case 38:
if ((0x2400ULL & l) != 0L && kind > 8)
kind = 8;
break;
- case 41:
+ case 39:
if (curChar == 10 && kind > 8)
kind = 8;
break;
- case 42:
+ case 40:
if (curChar == 13)
- jjstateSet[jjnewStateCnt++] = 41;
+ jjstateSet[jjnewStateCnt++] = 39;
break;
- case 43:
+ case 41:
if (curChar == 47)
- { jjAddStates(11, 12); }
+ { jjAddStates(15, 16); }
break;
- case 44:
+ case 42:
if (curChar == 33)
- { jjCheckNAddTwoStates(45, 46); }
+ { jjCheckNAddTwoStates(43, 44); }
break;
- case 45:
+ case 43:
if ((0xfffffbffffffffffULL & l) != 0L)
- { jjCheckNAddTwoStates(45, 46); }
+ { jjCheckNAddTwoStates(43, 44); }
break;
- case 46:
+ case 44:
if (curChar == 42)
- { jjCheckNAddStates(34, 36); }
+ { jjCheckNAddStates(38, 40); }
break;
- case 47:
+ case 45:
if ((0xffff7bffffffffffULL & l) != 0L)
- { jjCheckNAddTwoStates(48, 46); }
+ { jjCheckNAddTwoStates(46, 44); }
break;
- case 48:
+ case 46:
if ((0xfffffbffffffffffULL & l) != 0L)
- { jjCheckNAddTwoStates(48, 46); }
+ { jjCheckNAddTwoStates(46, 44); }
break;
- case 49:
+ case 47:
if (curChar == 47 && kind > 9)
kind = 9;
break;
- case 50:
+ case 48:
if (curChar == 42)
- jjstateSet[jjnewStateCnt++] = 44;
+ jjstateSet[jjnewStateCnt++] = 42;
break;
- case 51:
+ case 49:
if (curChar == 42)
- { jjCheckNAddTwoStates(52, 53); }
+ { jjCheckNAddTwoStates(50, 51); }
break;
- case 52:
+ case 50:
if ((0xfffffbffffffffffULL & l) != 0L)
- { jjCheckNAddTwoStates(52, 53); }
+ { jjCheckNAddTwoStates(50, 51); }
break;
- case 53:
+ case 51:
if (curChar == 42)
- { jjCheckNAddStates(37, 39); }
+ { jjCheckNAddStates(41, 43); }
break;
- case 54:
+ case 52:
if ((0xffff7bffffffffffULL & l) != 0L)
- { jjCheckNAddTwoStates(55, 53); }
+ { jjCheckNAddTwoStates(53, 51); }
break;
- case 55:
+ case 53:
if ((0xfffffbffffffffffULL & l) != 0L)
- { jjCheckNAddTwoStates(55, 53); }
+ { jjCheckNAddTwoStates(53, 51); }
break;
- case 56:
+ case 54:
if (curChar == 47 && kind > 10)
kind = 10;
break;
- case 57:
+ case 55:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 165)
kind = 165;
- { jjCheckNAddStates(0, 10); }
+ { jjCheckNAddStates(0, 12); }
break;
- case 59:
+ case 57:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 165)
kind = 165;
- { jjCheckNAddTwoStates(58, 59); }
+ { jjCheckNAddTwoStates(56, 57); }
break;
- case 61:
+ case 59:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 170)
kind = 170;
- { jjCheckNAddStates(40, 43); }
+ { jjCheckNAddStates(44, 47); }
break;
- case 62:
+ case 60:
if (curChar == 46)
- { jjCheckNAdd(63); }
+ { jjCheckNAdd(61); }
break;
- case 63:
+ case 61:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 170)
kind = 170;
- { jjCheckNAddStates(44, 46); }
+ { jjCheckNAddStates(48, 50); }
break;
- case 66:
+ case 64:
if ((0x280000000000ULL & l) != 0L)
- { jjCheckNAdd(67); }
+ { jjCheckNAdd(65); }
break;
- case 67:
+ case 65:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 170)
kind = 170;
- { jjCheckNAddTwoStates(68, 67); }
+ { jjCheckNAddTwoStates(66, 65); }
break;
- case 70:
+ case 68:
if ((0x3ff000000000000ULL & l) != 0L)
- { jjCheckNAddStates(47, 49); }
+ { jjCheckNAddStates(51, 53); }
break;
- case 71:
+ case 69:
if (curChar == 35)
- { jjCheckNAdd(72); }
+ { jjCheckNAdd(70); }
break;
- case 72:
+ case 70:
if ((0x3ff000000000000ULL & l) != 0L)
- { jjCheckNAddStates(50, 52); }
+ { jjCheckNAddStates(54, 56); }
break;
- case 73:
+ case 71:
if (curChar == 46)
- { jjCheckNAdd(74); }
+ { jjCheckNAdd(72); }
break;
- case 74:
+ case 72:
if ((0x3ff000000000000ULL & l) != 0L)
- { jjCheckNAddTwoStates(74, 75); }
+ { jjCheckNAddTwoStates(72, 73); }
break;
- case 75:
+ case 73:
if (curChar != 35)
break;
if (kind > 172)
kind = 172;
- jjstateSet[jjnewStateCnt++] = 76;
+ jjstateSet[jjnewStateCnt++] = 74;
break;
- case 77:
+ case 75:
if ((0x280000000000ULL & l) != 0L)
- { jjCheckNAdd(78); }
+ { jjCheckNAdd(76); }
break;
- case 78:
+ case 76:
if ((0x3ff000000000000ULL & l) == 0L)
break;
if (kind > 172)
kind = 172;
- { jjCheckNAddTwoStates(79, 78); }
+ { jjCheckNAddTwoStates(77, 76); }
break;
- case 80:
+ case 78:
if ((0x3ff000000000000ULL & l) != 0L)
- { jjCheckNAddTwoStates(80, 21); }
+ { jjCheckNAddStates(57, 59); }
+ break;
+ case 79:
+ if ((0x3ff000000000000ULL & l) != 0L)
+ { jjCheckNAddStates(60, 63); }
+ break;
+ case 80:
+ if (curChar == 39)
+ { jjAddStates(13, 14); }
+ break;
+ case 81:
+ if ((0xffffffff00000200ULL & l) != 0L)
+ jjstateSet[jjnewStateCnt++] = 82;
+ break;
+ case 82:
+ if (curChar == 39 && kind > 169)
+ kind = 169;
+ break;
+ case 83:
+ if (curChar == 40)
+ jjstateSet[jjnewStateCnt++] = 84;
+ break;
+ case 84:
+ if (curChar == 39)
+ jjstateSet[jjnewStateCnt++] = 85;
+ break;
+ case 85:
+ if ((0xfffffffb00000200ULL & l) != 0L)
+ jjstateSet[jjnewStateCnt++] = 86;
+ break;
+ case 86:
+ if (curChar == 39 && kind > 190)
+ kind = 190;
break;
default : break;
}
@@ -3068,10 +3091,10 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
{
if (kind > 171)
kind = 171;
- { jjCheckNAdd(20); }
+ { jjCheckNAdd(17); }
}
else if (curChar == 96)
- { jjCheckNAddTwoStates(27, 30); }
+ { jjCheckNAddTwoStates(25, 28); }
else if (curChar == 92)
{ jjCheckNAddTwoStates(15, 16); }
if ((0x7fffffe07fffffeULL & l) != 0L)
@@ -3081,16 +3104,18 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
{ jjCheckNAddTwoStates(12, 13); }
}
if ((0x100801401008014ULL & l) != 0L)
- jjstateSet[jjnewStateCnt++] = 22;
+ jjstateSet[jjnewStateCnt++] = 20;
+ else if ((0x280000ULL & l) != 0L)
+ { jjCheckNAddTwoStates(18, 19); }
break;
case 4:
if (kind > 6)
kind = 6;
- { jjAddStates(16, 20); }
+ { jjAddStates(20, 24); }
break;
case 9:
if ((0x7fffffffffffffffULL & l) != 0L)
- { jjAddStates(53, 54); }
+ { jjAddStates(64, 65); }
break;
case 12:
if (curChar == 95)
@@ -3115,107 +3140,115 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
if (curChar == 92 && kind > 168)
kind = 168;
break;
- case 18:
- if ((0x7fffffffffffffffULL & l) != 0L)
- jjstateSet[jjnewStateCnt++] = 19;
- break;
- case 20:
+ case 17:
if ((0x7fffffe07fffffeULL & l) == 0L)
break;
if (kind > 171)
kind = 171;
- { jjCheckNAdd(20); }
+ { jjCheckNAdd(17); }
break;
- case 21:
+ case 18:
+ if ((0x280000ULL & l) != 0L)
+ { jjCheckNAddTwoStates(18, 19); }
+ break;
+ case 19:
if ((0x100801401008014ULL & l) != 0L)
- jjstateSet[jjnewStateCnt++] = 22;
+ jjstateSet[jjnewStateCnt++] = 20;
break;
- case 23:
+ case 21:
if ((0x7fffffe07fffffeULL & l) != 0L)
- { jjCheckNAddStates(25, 27); }
+ { jjCheckNAddStates(29, 31); }
break;
- case 24:
+ case 22:
if (curChar == 95)
- { jjCheckNAddTwoStates(24, 23); }
+ { jjCheckNAddTwoStates(22, 21); }
break;
- case 26:
+ case 24:
if (curChar == 96)
- { jjCheckNAddTwoStates(27, 30); }
+ { jjCheckNAddTwoStates(25, 28); }
break;
- case 28:
+ case 26:
if ((0x7fffffffffffffffULL & l) != 0L)
- { jjAddStates(55, 56); }
+ { jjAddStates(66, 67); }
break;
- case 30:
+ case 28:
if ((0x7fffffffffffffffULL & l) == 0L)
break;
if (kind > 189)
kind = 189;
- { jjCheckNAddTwoStates(27, 30); }
+ { jjCheckNAddTwoStates(25, 28); }
break;
- case 33:
+ case 31:
if (kind > 7)
kind = 7;
- { jjAddStates(28, 30); }
+ { jjAddStates(32, 34); }
break;
- case 39:
+ case 37:
if (kind > 8)
kind = 8;
- { jjAddStates(31, 33); }
+ { jjAddStates(35, 37); }
+ break;
+ case 43:
+ { jjCheckNAddTwoStates(43, 44); }
break;
case 45:
- { jjCheckNAddTwoStates(45, 46); }
+ case 46:
+ { jjCheckNAddTwoStates(46, 44); }
break;
- case 47:
- case 48:
- { jjCheckNAddTwoStates(48, 46); }
+ case 50:
+ { jjCheckNAddTwoStates(50, 51); }
break;
case 52:
- { jjCheckNAddTwoStates(52, 53); }
+ case 53:
+ { jjCheckNAddTwoStates(53, 51); }
break;
- case 54:
- case 55:
- { jjCheckNAddTwoStates(55, 53); }
+ case 56:
+ if (curChar == 95)
+ jjstateSet[jjnewStateCnt++] = 57;
break;
case 58:
if (curChar == 95)
jjstateSet[jjnewStateCnt++] = 59;
break;
- case 60:
+ case 62:
if (curChar == 95)
jjstateSet[jjnewStateCnt++] = 61;
break;
- case 64:
- if (curChar == 95)
- jjstateSet[jjnewStateCnt++] = 63;
- break;
- case 65:
+ case 63:
if ((0x2000000020ULL & l) != 0L)
- { jjCheckNAddTwoStates(66, 67); }
+ { jjCheckNAddTwoStates(64, 65); }
break;
- case 68:
+ case 66:
if (curChar == 95)
- { jjCheckNAdd(67); }
+ { jjCheckNAdd(65); }
break;
- case 69:
+ case 67:
if (curChar == 95)
- jjstateSet[jjnewStateCnt++] = 70;
+ jjstateSet[jjnewStateCnt++] = 68;
break;
- case 72:
+ case 70:
if ((0x7fffffe07fffffeULL & l) != 0L)
- { jjCheckNAddStates(50, 52); }
+ { jjCheckNAddStates(54, 56); }
break;
- case 74:
+ case 72:
if ((0x7fffffe07fffffeULL & l) != 0L)
- { jjCheckNAddTwoStates(74, 75); }
+ { jjCheckNAddTwoStates(72, 73); }
break;
- case 76:
+ case 74:
if ((0x2000000020ULL & l) != 0L)
- { jjCheckNAddTwoStates(77, 78); }
+ { jjCheckNAddTwoStates(75, 76); }
break;
- case 79:
+ case 77:
if (curChar == 95)
- { jjCheckNAdd(78); }
+ { jjCheckNAdd(76); }
+ break;
+ case 81:
+ if ((0x7fffffffffffffffULL & l) != 0L)
+ jjstateSet[jjnewStateCnt++] = 82;
+ break;
+ case 85:
+ if ((0x7fffffffffffffffULL & l) != 0L)
+ jjstateSet[jjnewStateCnt++] = 86;
break;
default : break;
}
@@ -3237,62 +3270,66 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
break;
if (kind > 6)
kind = 6;
- { jjAddStates(16, 20); }
+ { jjAddStates(20, 24); }
break;
case 9:
if (jjCanMove_1(hiByte, i1, i2, l1, l2))
- { jjAddStates(53, 54); }
+ { jjAddStates(64, 65); }
break;
case 15:
if (jjCanMove_1(hiByte, i1, i2, l1, l2))
- { jjAddStates(23, 24); }
+ { jjAddStates(27, 28); }
break;
- case 18:
+ case 26:
if (jjCanMove_1(hiByte, i1, i2, l1, l2))
- jjstateSet[jjnewStateCnt++] = 19;
+ { jjAddStates(66, 67); }
break;
case 28:
- if (jjCanMove_1(hiByte, i1, i2, l1, l2))
- { jjAddStates(55, 56); }
- break;
- case 30:
if (!jjCanMove_1(hiByte, i1, i2, l1, l2))
break;
if (kind > 189)
kind = 189;
- { jjAddStates(57, 58); }
+ { jjAddStates(68, 69); }
break;
- case 33:
+ case 31:
if (!jjCanMove_0(hiByte, i1, i2, l1, l2))
break;
if (kind > 7)
kind = 7;
- { jjAddStates(28, 30); }
+ { jjAddStates(32, 34); }
break;
- case 39:
+ case 37:
if (!jjCanMove_0(hiByte, i1, i2, l1, l2))
break;
if (kind > 8)
kind = 8;
- { jjAddStates(31, 33); }
+ { jjAddStates(35, 37); }
+ break;
+ case 43:
+ if (jjCanMove_0(hiByte, i1, i2, l1, l2))
+ { jjCheckNAddTwoStates(43, 44); }
break;
case 45:
+ case 46:
if (jjCanMove_0(hiByte, i1, i2, l1, l2))
- { jjCheckNAddTwoStates(45, 46); }
+ { jjCheckNAddTwoStates(46, 44); }
break;
- case 47:
- case 48:
+ case 50:
if (jjCanMove_0(hiByte, i1, i2, l1, l2))
- { jjCheckNAddTwoStates(48, 46); }
+ { jjCheckNAddTwoStates(50, 51); }
break;
case 52:
+ case 53:
if (jjCanMove_0(hiByte, i1, i2, l1, l2))
- { jjCheckNAddTwoStates(52, 53); }
+ { jjCheckNAddTwoStates(53, 51); }
break;
- case 54:
- case 55:
- if (jjCanMove_0(hiByte, i1, i2, l1, l2))
- { jjCheckNAddTwoStates(55, 53); }
+ case 81:
+ if (jjCanMove_1(hiByte, i1, i2, l1, l2))
+ jjstateSet[jjnewStateCnt++] = 82;
+ break;
+ case 85:
+ if (jjCanMove_1(hiByte, i1, i2, l1, l2))
+ jjstateSet[jjnewStateCnt++] = 86;
break;
default : if (i1 == 0 || l1 == 0 || i2 == 0 || l2 == 0) break; else break;
}
@@ -3305,7 +3342,7 @@ int VhdlParserTokenManager::jjMoveNfa_0(int startState, int curPos){
kind = 0x7fffffff;
}
++curPos;
- if ((i = jjnewStateCnt), (jjnewStateCnt = startsAt), (i == (startsAt = 81 - startsAt)))
+ if ((i = jjnewStateCnt), (jjnewStateCnt = startsAt), (i == (startsAt = 87 - startsAt)))
break;
if (input_stream->endOfInput()) { break; }
curChar = input_stream->readChar();
@@ -3357,12 +3394,12 @@ bool VhdlParserTokenManager::jjCanMove_1(int hiByte, int i1, int i2, unsigned lo
Token * VhdlParserTokenManager::jjFillToken(){
Token *t;
- JAVACC_STRING_TYPE curTokenImage;
+ JJString curTokenImage;
int beginLine = -1;
int endLine = -1;
int beginColumn = -1;
int endColumn = -1;
- JAVACC_STRING_TYPE im = jjstrLiteralImages[jjmatchedKind];
+ JJString im = jjstrLiteralImages[jjmatchedKind];
curTokenImage = (im.length() == 0) ? input_stream->GetImage() : im;
if (input_stream->getTrackLineColumn()) {
beginLine = input_stream->getBeginLine();
@@ -3373,8 +3410,8 @@ Token * VhdlParserTokenManager::jjFillToken(){
t = Token::newToken(jjmatchedKind);
t->kind = jjmatchedKind;
t->image = curTokenImage;
- t->specialToken = NULL;
- t->next = NULL;
+ t->specialToken = nullptr;
+ t->next = nullptr;
if (input_stream->getTrackLineColumn()) {
t->beginLine = beginLine;
@@ -3389,7 +3426,7 @@ const int defaultLexState = 0;
/** Get the next Token. */
Token * VhdlParserTokenManager::getNextToken(){
- Token *matchedToken;
+ Token *matchedToken = nullptr;
int curPos = 0;
for (;;)
@@ -3422,13 +3459,13 @@ Token * VhdlParserTokenManager::getNextToken(){
}
else
{
- SkipLexicalActions(NULL);
+ SkipLexicalActions(nullptr);
goto EOFLoop;
}
}
int error_line = input_stream->getEndLine();
int error_column = input_stream->getEndColumn();
- JAVACC_STRING_TYPE error_after;
+ JJString error_after;
bool EOFSeen = false;
if (input_stream->endOfInput()) {
EOFSeen = true;
@@ -3627,7 +3664,7 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
}
}
/** Reinitialise parser. */
- void VhdlParserTokenManager::ReInit(JAVACC_CHARSTREAM *stream, int lexState, VhdlParser *parserArg) {
+ void VhdlParserTokenManager::ReInit(JAVACC_CHARSTREAM *stream, int lexState) {
clear();
jjmatchedPos = jjnewStateCnt = 0;
curLexState = lexState;
@@ -3635,22 +3672,20 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
ReInitRounds();
debugStream = stdout; // init
SwitchTo(lexState);
- parser = parserArg;
errorHandler = new TokenManagerErrorHandler();
- errorHandlerCreated = true;
}
void VhdlParserTokenManager::ReInitRounds() {
int i;
jjround = 0x80000001;
- for (i = 81; i-- > 0;)
+ for (i = 87; i-- > 0;)
jjrounds[i] = 0x80000000;
}
/** Switch to specified lex state. */
void VhdlParserTokenManager::SwitchTo(int lexState) {
if (lexState >= 1 || lexState < 0) {
- JAVACC_STRING_TYPE message;
+ JJString message;
#ifdef WIDE_CHAR
message += L"Error: Ignoring invalid lexical state : ";
message += lexState; message += L". State unchanged.";
@@ -3664,11 +3699,11 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
}
/** Constructor. */
- VhdlParserTokenManager::VhdlParserTokenManager (JAVACC_CHARSTREAM *stream, int lexState, VhdlParser *parserArg)
+ VhdlParserTokenManager::VhdlParserTokenManager (JAVACC_CHARSTREAM *stream, int lexState)
+ : TokenParser()
{
- input_stream = NULL;
- errorHandlerCreated = false;
- ReInit(stream, lexState, parserArg);
+ input_stream = nullptr;
+ ReInit(stream, lexState);
}
// Destructor
@@ -3681,7 +3716,7 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
//Since input_stream was generated outside of TokenManager
//TokenManager should not take care of deleting it
//if (input_stream) delete input_stream;
- if (errorHandlerCreated) delete errorHandler;
+ if (errorHandler) delete errorHandler, errorHandler = nullptr;
}
diff --git a/vhdlparser/VhdlParserTokenManager.h b/vhdlparser/VhdlParserTokenManager.h
index 96a2449..2837737 100644
--- a/vhdlparser/VhdlParserTokenManager.h
+++ b/vhdlparser/VhdlParserTokenManager.h
@@ -7,79 +7,52 @@
#include "ErrorHandler.h"
#include "TokenManager.h"
#include "VhdlParserConstants.h"
-#include "VhdlParser.h"
+#include "vhdlstring.h"
+
namespace vhdl {
namespace parser {
class VhdlParser;
/** Token Manager. */
-class VhdlParserTokenManager : public TokenManager {
- public:
+class VhdlParserTokenManager : public TokenManager, public TokenParser {
+public:
- /** Debug output. */
FILE *debugStream;
- /** Set debug output. */
-
-void setDebugStream(FILE *ds);
-
+ void setDebugStream(FILE *ds);
int jjStopAtPos(int pos, int kind);
-
int jjMoveStringLiteralDfa0_0();
-
int jjMoveStringLiteralDfa1_0(unsigned long long active0, unsigned long long active1, unsigned long long active2);
-
int jjMoveStringLiteralDfa2_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1, unsigned long long old2, unsigned long long active2);
-
int jjMoveStringLiteralDfa3_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1, unsigned long long old2, unsigned long long active2);
-
int jjMoveStringLiteralDfa4_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa5_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa6_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa7_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa8_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa9_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa10_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa11_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa12_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa13_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa14_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa15_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa16_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa17_0(unsigned long long old1, unsigned long long active1);
-
int jjMoveNfa_0(int startState, int curPos);
-
bool jjCanMove_0(int hiByte, int i1, int i2, unsigned long long l1, unsigned long long l2);
-
bool jjCanMove_1(int hiByte, int i1, int i2, unsigned long long l1, unsigned long long l2);
-
Token * jjFillToken();
-public: int curLexState;
-public: int jjnewStateCnt;
-public: int jjround;
-public: int jjmatchedPos;
-public: int jjmatchedKind;
-
+public:
+ int curLexState;
+ int jjnewStateCnt;
+ int jjround;
+ int jjmatchedPos;
+ int jjmatchedKind;
Token * getNextToken();
-
void SkipLexicalActions(Token *matchedToken);
-
void TokenLexicalActions(Token *matchedToken);
#define jjCheckNAdd(state)\
{\
@@ -111,31 +84,33 @@ void TokenLexicalActions(Token *matchedToken);
#ifndef JAVACC_CHARSTREAM
#define JAVACC_CHARSTREAM CharStream
#endif
- private: VhdlParser*parser;
- private: void ReInitRounds();
- public: VhdlParserTokenManager(JAVACC_CHARSTREAM *stream, int lexState = 0, VhdlParser *parserArg = NULL);
- public: virtual ~VhdlParserTokenManager();
- void ReInit(JAVACC_CHARSTREAM *stream, int lexState = 0, VhdlParser *parserArg = NULL);
+
+private:
+ void ReInitRounds();
+
+public:
+ VhdlParserTokenManager(JAVACC_CHARSTREAM *stream, int lexState = 0);
+ virtual ~VhdlParserTokenManager();
+ void ReInit(JAVACC_CHARSTREAM *stream, int lexState = 0);
void SwitchTo(int lexState);
void clear();
- const JAVACC_SIMPLE_STRING jjKindsForBitVector(int i, unsigned long long vec);
- const JAVACC_SIMPLE_STRING jjKindsForStateVector(int lexState, int vec[], int start, int end);
+ const JJSimpleString jjKindsForBitVector(int i, unsigned long long vec);
+ const JJSimpleString jjKindsForStateVector(int lexState, int vec[], int start, int end);
+
JAVACC_CHARSTREAM* input_stream;
- int jjrounds[81];
- int jjstateSet[2 * 81];
- JAVACC_STRING_TYPE jjimage;
- JAVACC_STRING_TYPE image;
+ int jjrounds[87];
+ int jjstateSet[2 * 87];
+ JJString jjimage;
+ JJString image;
int jjimageLen;
int lengthOfMatch;
- JAVACC_CHAR_TYPE curChar;
- TokenManagerErrorHandler* errorHandler;
- bool errorHandlerCreated;
+ JJChar curChar;
+ TokenManagerErrorHandler* errorHandler = nullptr;
public:
void setErrorHandler(TokenManagerErrorHandler *eh) {
- if (errorHandlerCreated && errorHandler != NULL) delete errorHandler;
+ if (errorHandler) delete errorHandler, errorHandler = nullptr;
errorHandler = eh;
- errorHandlerCreated = false;
}
};
diff --git a/vhdlparser/vhdlparser.jj b/vhdlparser/vhdlparser.jj
index 7b3f5e7..5ef15ba 100644..100755
--- a/vhdlparser/vhdlparser.jj
+++ b/vhdlparser/vhdlparser.jj
@@ -15,8 +15,10 @@ options {
NAMESPACE = "vhdl::parser";
STATIC=false;
PARSER_INCLUDES="vhdljjparser.h";
- TOKEN_MANAGER_INCLUDES="VhdlParser.h";
-
+ TOKEN_MANAGER_INCLUDES="vhdlstring.h";
+ // TOKEN_MANAGER_USES_PARSER = true;
+ TOKEN_MANAGER_SUPER_CLASS = "TokenParser";
+ // DEBUG_PARSER = true;
//OUTPUT_DIRECTORY = ".";
//DEBUG_PARSER=true;
//DEBUG_LOOKAHEAD=true;
@@ -316,7 +318,7 @@ TOKEN:
| < #LETTER: (<UPPER_CASE_LETTER>|<LOWER_CASE_LETTER>) >
| < #UPPER_CASE_LETTER: ["A"-"Z"] >
| <BIT_STRING_LITERAL : (<DIGIT>)*<BASE_SPECIFIER>["\""](<LETTER_OR_DIGIT_OR_STD>((["_"])*<LETTER_OR_DIGIT_OR_STD>)*)["\""] >
- | <#BASE_SPECIFIER:["B","O","X","b","o","x","d","D"]>
+ | <#BASE_SPECIFIER: (<DIGIT>)*(["S","U"])*["B","O","X","b","o","x","d","D"] >
| <#STD_LOGIC:["0","1","L","H","X","Z","W","-","l","h","x","z","w"]>
| < #DIGIT: ["0"-"9"] >
| < #SPECIAL_CHARACTER: ["#","&","'","(",")","*","+",",","-",".","/",":",";","<","=",">","_","|"] >
@@ -325,6 +327,7 @@ TOKEN:
| < #LOWER_CASE_LETTER: ["a"-"z"] >
| < #QUOTE: ["\""] >
| <VHDL2008TOOLDIR : ["`"](<GRAPHIC_CHARACTER>|<STRINGLITERAL>)+ >
+ | <SPEC_ATTR: (["'"]["("]["'"](<GRAPHIC_CHARACTER>)["'"]) >
}
@@ -342,6 +345,7 @@ QCString access_type_definition() :
tok=<ACCESS_T> str1=subtype_indication() { str=tok->image.c_str(); return str+str1; }
}
+
QCString actual_designator() :
{QCString str;Token *t=0;}
{
@@ -349,11 +353,13 @@ t=<OPEN_T> { return t->image.c_str(); }
|
LOOKAHEAD(expression())
str=expression() { return str; }
-|
- LOOKAHEAD(name())
- str=name() { return str; }
+ |
+ str=identifier(){return str;}
}
+
+
+
QCString actual_parameter_part() :
{QCString s;}
{
@@ -368,6 +374,7 @@ QCString actual_part() :
|
<BOX_T> { return "<>";}
|
+ LOOKAHEAD(name() <LPAREN_T>)
s=name() <LPAREN_T> s1=actual_designator() <RPAREN_T> {s+="(";s+=s1+")";return s;}
}
@@ -452,12 +459,15 @@ void architecture_statement_part() : {}
QCString array_type_definition (): { QCString s;}
{
- LOOKAHEAD(unconstraint_array_definition())
+ LOOKAHEAD(<ARRAY_T> index_constraint() <OF_T>)
+ s=constraint_array_definition() {return s;}
+ |
+ s=unconstraint_array_definition() {return s;}
+
+}
+
- s=unconstraint_array_definition() {return s;}
- | s=constraint_array_definition() {return s;}
-}
QCString assertion() : {QCString s,s1,s2;Token *t=0;Token *t1=0;}
{
@@ -504,11 +514,11 @@ QCString attribute_designator (): {QCString s;Token *tok=0;}
| tok=<RANGE_T> { return tok->image.c_str(); }
}
+
QCString attribute_name (): {QCString s,s1;}
{
- s=identifier() <APOSTROPHE_T> s1=name(){ s+="'"+s1; }[LOOKAHEAD(1)<LPAREN_T>s1=expression() <RPAREN_T> {s+"("+s1+")";}] { return s; }
-}
-
+ s=identifier() <APOSTROPHE_T> (<RANGE_T> | s1=name()) { s+=s1; }[LOOKAHEAD(1)<LPAREN_T>s1=expression() <RPAREN_T> {s+=s1;}] { return s; }
+ }
QCString attribute_specification(): {QCString s,s1,s2;}
{
@@ -580,7 +590,6 @@ void block_configuration() : {}
void block_declarative_item (): {}
{
subprogram_declaration()
-//| subprogram_body()
| type_declaration()
| subtype_declaration()
| constant_declaration()
@@ -616,7 +625,7 @@ void block_header() : {}
void block_specification() : {}
{
- name()[LOOKAHEAD(1) <LPAREN_T> index_specification() <RPAREN_T>]
+ name() [ <LPAREN_T> index_specification() <RPAREN_T> ]
}
void block_statement() : {QCString s;}
@@ -640,7 +649,7 @@ void block_statement_part() : {}
void case_statement() : {QCString s;}
{
-[ identifier() <COLON_T> ]
+[ identifier() [<Q_T>] <COLON_T> ]
<CASE_T> s=expression()
{
QCString ca="case "+s;
@@ -674,17 +683,20 @@ QCString character_literal() : {Token *tok=0;}
QCString choice() : {QCString s;}
{
- LOOKAHEAD(discrete_range())
- s=discrete_range(){ return s; }
+ LOOKAHEAD(simple_expression() direction ())
+ s=range() { return s;}
|
LOOKAHEAD(simple_expression())
s=simple_expression(){ return s; }
+ |
+ LOOKAHEAD(discrete_range())
+ s=discrete_range(){ return s; }
|
- LOOKAHEAD(identifier())
- s=identifier(){ return s; }
+ s=identifier(){ return s; }
| <OTHER_T> { return " others "; }
}
+
QCString choices() : {QCString s,s1;}
{
s=choice() (<BAR_T> s1=choice(){s+="|";s+=s1;})* { return s; }
@@ -788,12 +800,7 @@ component_instantiation_statement()
LOOKAHEAD(concurrent_procedure_call_statement())
concurrent_procedure_call_statement()
| <VHDL2008TOOLDIR>
- /*
- catch( ParseException e )
- {
- outlineParser()->error_skipto(SEMI_T, "syntax error in declarative item");
- }
- */
+
}
QCString condition() : {QCString s;}
@@ -823,13 +830,7 @@ waveform()
[ <WHEN_T> condition() ]
}
-// ( waveform() < WHEN_T> condition() <ELSE_T> )*
-// waveform() [ <WHEN_T> condition() ]
-//waveform()
- // ( LOOKAHEAD( <WHEN> condition() <ELSE>)
- // <WHEN> condition() <ELSE> waveform() )*
- //[ <WHEN> condition() ]
void configuration_declaration() : {QCString s,s1;}
{
@@ -888,9 +889,10 @@ QCString constraint_array_definition (): {QCString s,s1;}
<ARRAY_T> s=index_constraint() <OF_T> s1=subtype_indication(){ return s+" "+s1;}
}
+
void context_clause (): {}
{
- (context_item())*
+ (LOOKAHEAD(3) context_item())*
}
QCString constraint () :{QCString s;}
@@ -906,6 +908,7 @@ void context_item() : {}
{
library_clause()
| use_clause()
+| context_ref()
}
QCString decimal_literal() : {Token *tok=0;}
@@ -1085,13 +1088,15 @@ void entity_header() : {}
[ { m_sharedState->currP=VhdlDocGen::PORT; } port_clause()]
}
-QCString entity_name_list() : {QCString s,s1;}
+QCString entity_name_list() : {QCString s,s1,s2;}
{
-(s1=entity_designator() {s+=s1;})+ { return s;}
+(s1=entity_designator() ) (<COMMA_T> s=entity_designator() { s2+=s; } )* { return s2;}
| <OTHER_T> { return "other";}
| <ALL_T> {return "all";}
}
+
+
QCString entity_specification() : {QCString s,s1;}
{
s=entity_name_list() <COLON_T> s1=entity_class(){ return s+":"+s1;}
@@ -1172,6 +1177,9 @@ QCString factor(): {QCString s,s1;}
s=primary() [LOOKAHEAD(1) <DOUBLEMULT_T> s1=primary(){ s+="**";s+=s1;} ] { return s;}
| <ABS_T> s=primary(){ s1 = "abs "; return s1+s; }
| <NOT_T> s=primary(){s1="not ";return s1+s;}
+| <QQ_T> s=primary(){s1="?? ";return s1;}
+| s=logop() s1=primary() { return s;}
+
}
QCString file_declaration() : {QCString s,s1,s2,s3;}
@@ -1191,7 +1199,7 @@ QCString file_logical_name(): {QCString s;}
QCString file_open_information() : {QCString s,s1,s2;}
{
- [ <OPEN_T> s=expression() ] <IS_T> s1=file_logical_name() {s2="open "+s+" is "+s1; return s2; }
+ [ <OPEN_T> s=expression() ] <IS_T> [inout_stat()] s1=file_logical_name() {s2="open "+s+" is "+s1; return s2; }
}
QCString file_type_definition() : {QCString s,s1;}
@@ -1278,7 +1286,7 @@ s=identifier() <COLON_T>
void generate_scheme() : {}
{
<FOR_T> parameter_specification()
-| <IF_T> condition()
+| <IF_T> [LOOKAHEAD(2) identifier() <COLON_T> ] condition()
}
void generic_clause() : {QCString s;}
@@ -1336,7 +1344,7 @@ QCString identifier() : {Token *tok=0;}
QCString identifier_list() : {QCString str,str1;}
{
- str=identifier() (<COMMA_T> str1=identifier() {str+=",";str+=str1;})* { return str; }
+ str=name() (<COMMA_T> str1=name() {str+=",";str+=str1;})* { return str; }
}
void if_statement() : {QCString s,s1;}
@@ -1423,8 +1431,9 @@ QCString interface_declaration() : {QCString s,s1;}
LOOKAHEAD(5)
s=interface_subprogram_declaration() { return s;}
-
-|interface_package_declaration() { return s;}
+|
+LOOKAHEAD(5)
+interface_package_declaration() { return s;}
|
LOOKAHEAD(5)
s=interface_variable_declaration() { return s;}
@@ -1542,7 +1551,7 @@ QCString library_unit() : {QCString s;}
LOOKAHEAD(2)
primary_unit() { return s; }
| secondary_unit() { return s; }
-| context_declaration()
+
}
@@ -1614,15 +1623,17 @@ tok=<MULT_T> { return tok->image.c_str(); }
}
- QCString name() : {QCString s,s1;}
+QCString name() : {QCString s,s1;}
{
(
- s=operator_symbol()
- | s=identifier()| s=external_name()
+ s=operator_symbol() [LOOKAHEAD(name_ext1()) s1=name_ext1(){ s+=s1;}] { return s; }
+ |s=external_name() [LOOKAHEAD(name_ext1()) s1=name_ext1(){ s+=s1;}] { return s; }
+ | s=identifier() [LOOKAHEAD(name_ext1()) s1=name_ext1(){ s+=s1;}] { return s; }
)
- [LOOKAHEAD(name_ext1()) s1=name_ext1(){ s+=s1;}] { return s; }
+
}
+
QCString name_ext1() : {QCString s,s1,s2;}
{
s=name_ext() (LOOKAHEAD(name_ext()) s1=name_ext(){s+=s1;})* { return s;}
@@ -1633,22 +1644,22 @@ QCString name_ext1() : {QCString s,s1,s2;}
(
LOOKAHEAD(<APOSTROPHE_T><SUBTYPE_T>)
- <APOSTROPHE_T><SUBTYPE_T>{s+="'subtype";}
+ <APOSTROPHE_T><SUBTYPE_T>{s+="'subtype"; return s;}
|
LOOKAHEAD(<DOT_T> suffix())
- <DOT_T> s1=suffix(){s+=".";s+=s1;}
+ <DOT_T> s1=suffix(){s+=".";s+=s1; return s;}
|
LOOKAHEAD(test_att_name())
- s1=test_att_name() { s+=s1;}
+ s1=test_att_name() { s+=s1;return s;}
|
LOOKAHEAD( <LPAREN_T> discrete_range() <RPAREN_T>)
- <LPAREN_T> s1=discrete_range() <RPAREN_T> {s+="(";s+=s1;s+=")";}
+ <LPAREN_T> s1=discrete_range() <RPAREN_T> {s+="(";s+=s1;s+=")";return s;}
|
LOOKAHEAD( "(" expression() ("," expression() )* ")" )
- <LPAREN_T> s1=expression() {s+="(";s+=s1;} (LOOKAHEAD(1) <COMMA_T> s1=expression(){s+=",";s+=s1;})* <RPAREN_T> { s+=")";}
+ <LPAREN_T> s1=expression() {s+="(";s+=s1;} (LOOKAHEAD(1) <COMMA_T> s1=expression(){s+=",";s+=s1;})* <RPAREN_T> { s+=")";return s;}
)
- {return s;}
+
}
QCString test_att_name() : {QCString s,s1;}
@@ -1666,8 +1677,8 @@ QCString name_ext1() : {QCString s,s1,s2;}
QCString next_statement() : {QCString s,s1,s2;Token *t=0;Token *t1=0;}
{
-[LOOKAHEAD(1) s=identifier() t=<COLON_T> ] <NEXT_T> [ s1=identifier() ]
-[LOOKAHEAD(1) t1=<WHEN_T> s2=condition() ] <SEMI_T>
+[ s=identifier() t=<COLON_T> ] <NEXT_T> [ s1=identifier() ]
+[ t1=<WHEN_T> s2=condition() ] <SEMI_T>
{
if(t) s+=":";
FlowChart::addFlowChart(FlowChart::NEXT_NO,"next ",s2.data(),s1.data());
@@ -1726,7 +1737,7 @@ void package_body() : {QCString s;}
void package_body_declarative_item() : {}
{
subprogram_declaration()
-//| subprogram_body()
+
| type_declaration()
| subtype_declaration()
| constant_declaration()
@@ -1745,6 +1756,12 @@ void package_body_declarative_part() : {}
(package_body_declarative_item() )*
}
+void package_header(): {QCString s;}
+{
+ [ generic_clause() [ generic_map_aspect() <SEMI_T> ] ]
+}
+
+
void package_declaration(): {QCString s;}
{
@@ -1761,6 +1778,7 @@ void package_declaration(): {QCString s;}
m_sharedState->current_root->moveToSubEntryAndKeep(clone);
outlineParser()->addVhdlType(s,outlineParser()->getLine(PACKAGE_T),Entry::CLASS_SEC,VhdlDocGen::PACKAGE,0,0,Package);
}
+ package_header()
package_declarative_part()
<END_T> [ <PACKAGE_T>] [ name() ] <SEMI_T>
{ m_sharedState->lastEntity=0;m_sharedState->lastCompound=0; m_sharedState->genLabels.resize(0); }
@@ -1773,8 +1791,7 @@ void geninter():{}
void package_declarative_item() : {}
{
-//LOOKAHEAD(3)
-//interface_subprogram_declaration()
+
subprogram_declaration()
| type_declaration()
| subtype_declaration()
@@ -1863,6 +1880,7 @@ s=literal() { s.prepend(" ");return s;}
LOOKAHEAD(name())
s=name() { return s;}
|
+LOOKAHEAD(allocator())
allocator() { return "";}
|
s=aggregate() { return s; }
@@ -1880,6 +1898,7 @@ package_instantiation_declaration()
LOOKAHEAD(4)
interface_package_declaration()
| package_declaration()
+| context_declaration()
}
@@ -2018,6 +2037,13 @@ QCString relation_operator() : {}
|<GREATERTHAN_T> {return ">=";}
|<LESSTHAN_T> {return "<=";}
|<NOTEQU_T> {return "/=";}
+ |<QGT_T> { return "?>=";}
+ |<QLT_T> { return "?<=";}
+ |<QG_T> { return "?>";}
+ |<QL_T> { return "?<";}
+ |<QEQU_T> { return "?=";}
+ |<QNEQU_T> {return "?/="; }
+
}
@@ -2042,7 +2068,7 @@ QCString return_statement() : {QCString s,s1;}
QCString scalar_type_definition() : {QCString s,s1;}
{
-
+LOOKAHEAD(enumeration_type_definition())
s=enumeration_type_definition(){ return s;}
| s=range_constraint() [LOOKAHEAD( physical_type_definition()) s1=physical_type_definition()] { return s+" "+s1+"%";}
}
@@ -2357,11 +2383,17 @@ QCString subtype_declaration() : {QCString s,s1;}
}
}
+QCString reslution_indication(): {QCString s;}
+{
+ <LPAREN_T> s=expression() <RPAREN_T> { return "("+s+")"; }
+ // |s=name() { return s;}
+}
+//[LOOKAHEAD (reslution_indication()) s=reslution_indication()]
+// [ resolution_indication ] type_mark [ constraint ]
QCString subtype_indication() : {QCString s,s1,s2;}
{
- s=name()
- [LOOKAHEAD (name()) s1=name()] [LOOKAHEAD(constraint() ) s2=constraint()]
- { return s+" "+s1+" "+s2; }
+ [LOOKAHEAD(5) s=reslution_indication()] (s1=name())+ [LOOKAHEAD(constraint() ) s2=constraint()]
+ {return s+" "+s1+" "+s2;}
}
QCString suffix() : { QCString s; }
@@ -2581,7 +2613,7 @@ void protected_type_declarative_part() : {}
QCString context_ref() : {QCString s;}
{
- <CONTEXT_T> s=identifier_list() <SEMI_T>
+ <CONTEXT_T> s=name() <SEMI_T>
{
return "context "+s ;
}
@@ -2673,17 +2705,31 @@ void ttend(): {}
void generate_statement_body() : {}
{
- <BEGIN_T> generate_statement_body()
+ [(LOOKAHEAD(block_declarative_item())block_declarative_item() )* <BEGIN_T>] (concurrent_statement())*
}
void generate_statement_body1() : {}
{
- // [LOOKAHEAD(block_declarative_item()<BEGIN_T> )(block_declarative_item() )* <BEGIN_T>] (concurrent_statement())*
+ generate_statement_body() generate_scheme_1() [generate_scheme_2()]
+}
+
+void generate_scheme_1() : {}
+{
+ ( generate_scheme_3())*
+}
- [(LOOKAHEAD(block_declarative_item())block_declarative_item() )* <BEGIN_T>] (concurrent_statement())*
- // | (concurrent_statement())*
+void generate_scheme_2() : {}
+{
+<ELSE_T> [LOOKAHEAD(2) identifier() <COLON_T> ] <GENERATE_T> generate_statement_body()
}
+void generate_scheme_3() : {}
+{
+ <ELSIF_T>[LOOKAHEAD(2) identifier() <COLON_T> ] expression() <GENERATE_T> generate_statement_body()
+}
+
+
+
QCString external_name(): {QCString s,s1,s2;}
{
<SLSL_T> s=sig_stat() s1=external_pathname() <COLON_T> s2=subtype_indication() <RSRS_T>
diff --git a/vhdlparser/vhdlstring.h b/vhdlparser/vhdlstring.h
index 4c64440..ee01b06 100644..100755
--- a/vhdlparser/vhdlstring.h
+++ b/vhdlparser/vhdlstring.h
@@ -5,6 +5,26 @@
#include <stdlib.h>
#include <string.h>
+#include "VhdlParser.h"
+// super class for VhdlParserTokenManager
+// is generated in vhdlparser.jj
+// -option TOKEN_MANAGER_SUPER_CLASS = "TokenParser"
+// sets the Vhdlparser in vhdljjparser.cpp
+// tokenManager->setLexParser(vhdlParser);
+
+namespace vhdl {
+ namespace parser {
+ class TokenParser {
+ public:
+ VhdlParser* parser = nullptr;
+ void setLexParser(VhdlParser* p)
+ {
+ parser = p;
+ }
+ };
+ }
+}
+
/** @brief Minimal string class with std::string like behaviour that fulfills the JavaCC
* string requirements.