summaryrefslogtreecommitdiffstats
path: root/examples/mux.vhdl
diff options
context:
space:
mode:
Diffstat (limited to 'examples/mux.vhdl')
-rw-r--r--examples/mux.vhdl2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/mux.vhdl b/examples/mux.vhdl
index 211e56e..e2c6345 100644
--- a/examples/mux.vhdl
+++ b/examples/mux.vhdl
@@ -21,7 +21,7 @@ entity mux_using_with is
);
end entity;
---! @brief Architure definition of the MUX
+--! @brief Architecture definition of the MUX
--! @details More details about this mux element.
architecture behavior of mux_using_with is
begin