diff options
Diffstat (limited to 'trunk/examples')
66 files changed, 1676 insertions, 0 deletions
diff --git a/trunk/examples/Makefile.in b/trunk/examples/Makefile.in new file mode 100644 index 0000000..26b7c24 --- /dev/null +++ b/trunk/examples/Makefile.in @@ -0,0 +1,130 @@ + +all: class/html/index.html \ + define/html/index.html \ + enum/html/index.html \ + file/html/index.html \ + func/html/index.html \ + page/html/index.html \ + relates/html/index.html \ + author/html/index.html \ + par/html/index.html \ + overload/html/index.html \ + example/html/index.html \ + include/html/index.html \ + qtstyle/html/index.html \ + jdstyle/html/index.html \ + structcmd/html/index.html \ + autolink/html/index.html \ + restypedef/html/index.html \ + afterdoc/html/index.html \ + template/html/index.html \ + tag/html/index.html \ + group/html/index.html \ + diagrams/html/index.html \ + memgrp/html/index.html \ + docstring/html/index.html \ + pyexample/html/index.html \ + tclexample/html/index.html \ + mux/html/index.html \ + manual/html/index.html + +# Disabled since it is broken :-( +# dbusxml/html/index.html + +clean: + rm -rf class define enum file func page relates author \ + par overload example include qtstyle jdstyle structcmd \ + autolink tag restypedef afterdoc template tag group diagrams \ + memgrp docstring pyexample mux manual dbusxml tclexample + +class/html/index.html: class.h class.cfg + $(DOXYGEN)/bin/doxygen class.cfg + +define/html/index.html: define.h define.cfg + $(DOXYGEN)/bin/doxygen define.cfg + +enum/html/index.html: enum.h enum.cfg + $(DOXYGEN)/bin/doxygen enum.cfg + +file/html/index.html: file.h file.cfg + $(DOXYGEN)/bin/doxygen file.cfg + +func/html/index.html: func.h func.cfg + $(DOXYGEN)/bin/doxygen func.cfg + +page/html/index.html: page.doc page.cfg + $(DOXYGEN)/bin/doxygen page.cfg + +relates/html/index.html: relates.cpp relates.cfg + $(DOXYGEN)/bin/doxygen relates.cfg + +author/html/index.html: author.cpp author.cfg + $(DOXYGEN)/bin/doxygen author.cfg + +par/html/index.html: par.cpp par.cfg + $(DOXYGEN)/bin/doxygen par.cfg + +overload/html/index.html: overload.cpp overload.cfg + $(DOXYGEN)/bin/doxygen overload.cfg + +example/html/index.html: example.cpp example_test.cpp example.cfg + $(DOXYGEN)/bin/doxygen example.cfg + +include/html/index.html: include.cpp example_test.cpp include.cfg + $(DOXYGEN)/bin/doxygen include.cfg + +qtstyle/html/index.html: qtstyle.cpp qtstyle.cfg + $(DOXYGEN)/bin/doxygen qtstyle.cfg + +jdstyle/html/index.html: jdstyle.cpp jdstyle.cfg + $(DOXYGEN)/bin/doxygen jdstyle.cfg + +structcmd/html/index.html: structcmd.h structcmd.cfg + $(DOXYGEN)/bin/doxygen structcmd.cfg + +autolink/html/index.html: autolink.cpp autolink.cfg + $(DOXYGEN)/bin/doxygen autolink.cfg + +tag/html/index.html: tag.cpp tag.cfg example/html/index.html + $(DOXYGEN)/bin/doxygen tag.cfg +# sed -e "1,1s#perl#$(PERL)#g" tag/html/installdox >tag/html/installdox.perl +# cd tag/html ; $(PERL) installdox.perl -lexample.tag@../../example/html + +restypedef/html/index.html: restypedef.cpp restypedef.cfg + $(DOXYGEN)/bin/doxygen restypedef.cfg + +afterdoc/html/index.html: afterdoc.h afterdoc.cfg + $(DOXYGEN)/bin/doxygen afterdoc.cfg + +template/html/index.html: templ.cpp templ.cfg + $(DOXYGEN)/bin/doxygen templ.cfg + +group/html/index.html: group.cpp group.cfg + $(DOXYGEN)/bin/doxygen group.cfg + +memgrp/html/index.html: memgrp.cpp memgrp.cfg + $(DOXYGEN)/bin/doxygen memgrp.cfg + +pyexample/html/index.html: pyexample.py pyexample.cfg + $(DOXYGEN)/bin/doxygen pyexample.cfg + +tclexample/html/index.html: tclexample.tcl tclexample.cfg + $(DOXYGEN)/bin/doxygen tclexample.cfg + +mux/html/index.html: mux.vhdl mux.cfg + $(DOXYGEN)/bin/doxygen mux.cfg + +manual/html/index.html: manual.c manual.cfg + $(DOXYGEN)/bin/doxygen manual.cfg + +docstring/html/index.html: docstring.py docstring.cfg + $(DOXYGEN)/bin/doxygen docstring.cfg + +#dbusxml/html/index.html: dbusxml.xml dbusxml.cfg +# $(DOXYGEN)/bin/doxygen dbusxml.cfg + +diagrams/html/index.html: diagrams_a.h diagrams_b.h diagrams_c.h diagrams_d.h diagrams_e.h diagrams.cfg +ifneq ($(HAVE_DOT),) + $(DOXYGEN)/bin/doxygen diagrams.cfg +endif + diff --git a/trunk/examples/Makefile.win.in b/trunk/examples/Makefile.win.in new file mode 100644 index 0000000..914c5ed --- /dev/null +++ b/trunk/examples/Makefile.win.in @@ -0,0 +1,122 @@ +DOXYDIR = ..\bin + +all: class/html/index.html \ + define/html/index.html \ + enum/html/index.html \ + file/html/index.html \ + func/html/index.html \ + page/html/index.html \ + relates/html/index.html \ + author/html/index.html \ + par/html/index.html \ + overload/html/index.html \ + example/html/index.html \ + include/html/index.html \ + qtstyle/html/index.html \ + jdstyle/html/index.html \ + structcmd/html/index.html \ + autolink/html/index.html \ + restypedef/html/index.html \ + afterdoc/html/index.html \ + template/html/index.html \ + tag/html/index.html \ + group/html/index.html \ + diagrams/html/index.html \ + memgrp/html/index.html \ + docstring/html/index.html \ + pyexample/html/index.html \ + tclexample/html/index.html \ + mux/html/index.html \ + manual/html/index.html + +clean: + del /s/y class define enum file pyexample tclexample docstring + del /s/y func page relates author + del /s/y par overload example include qtstyle + del /s/y jdstyle structcmd autolink resdefine mux manual + del /s/y restypedef afterdoc template tag group diagrams memgrp + +class/html/index.html: class.h class.cfg + $(DOXYDIR)\doxygen class.cfg + +define/html/index.html: define.h define.cfg + $(DOXYDIR)\doxygen define.cfg + +enum/html/index.html: enum.h enum.cfg + $(DOXYDIR)\doxygen enum.cfg + +file/html/index.html: file.h file.cfg + $(DOXYDIR)\doxygen file.cfg + +func/html/index.html: func.h func.cfg + $(DOXYDIR)\doxygen func.cfg + +page/html/index.html: page.doc page.cfg + $(DOXYDIR)\doxygen page.cfg + +relates/html/index.html: relates.cpp relates.cfg + $(DOXYDIR)\doxygen relates.cfg + +author/html/index.html: author.cpp author.cfg + $(DOXYDIR)\doxygen author.cfg + +par/html/index.html: par.cpp par.cfg + $(DOXYDIR)\doxygen par.cfg + +overload/html/index.html: overload.cpp overload.cfg + $(DOXYDIR)\doxygen overload.cfg + +example/html/index.html: example.cpp example_test.cpp example.cfg + $(DOXYDIR)\doxygen example.cfg + +include/html/index.html: include.cpp example_test.cpp include.cfg + $(DOXYDIR)\doxygen include.cfg + +qtstyle/html/index.html: qtstyle.cpp qtstyle.cfg + $(DOXYDIR)\doxygen qtstyle.cfg + +jdstyle/html/index.html: jdstyle.cpp jdstyle.cfg + $(DOXYDIR)\doxygen jdstyle.cfg + +structcmd/html/index.html: structcmd.h structcmd.cfg + $(DOXYDIR)\doxygen structcmd.cfg + +autolink/html/index.html: autolink.cpp autolink.cfg + $(DOXYDIR)\doxygen autolink.cfg + +tag/html/index.html: tag.cpp tag.cfg + $(DOXYDIR)\doxygen tag.cfg + +restypedef/html/index.html: restypedef.cpp restypedef.cfg + $(DOXYDIR)\doxygen restypedef.cfg + +afterdoc/html/index.html: afterdoc.h afterdoc.cfg + $(DOXYDIR)\doxygen afterdoc.cfg + +template/html/index.html: templ.cpp templ.cfg + $(DOXYDIR)\doxygen templ.cfg + +group/html/index.html: group.cpp group.cfg + $(DOXYDIR)\doxygen group.cfg + +memgrp/html/index.html: memgrp.cpp memgrp.cfg + $(DOXYDIR)\doxygen memgrp.cfg + +pyexample/html/index.html: pyexample.py pyexample.cfg + $(DOXYDIR)\doxygen pyexample.cfg + +tclexample/html/index.html: tclexample.tcl tclexample.cfg + $(DOXYDIR)\doxygen tclexample.cfg + +mux/html/index.html: mux.vhdl mux.cfg + $(DOXYDIR)\doxygen mux.cfg + +manual/html/index.html: manual.c manual.cfg + $(DOXYDIR)\doxygen manual.cfg + +docstring/html/index.html: docstring.py docstring.cfg + $(DOXYDIR)\doxygen docstring.cfg + +diagrams/html/index.html: diagrams_a.h diagrams_b.h diagrams_c.h diagrams_d.h diagrams_e.h diagrams.cfg + $(DOXYDIR)\doxygen diagrams.cfg + diff --git a/trunk/examples/afterdoc.cfg b/trunk/examples/afterdoc.cfg new file mode 100644 index 0000000..fcdbcae --- /dev/null +++ b/trunk/examples/afterdoc.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "AfterDocs" +OUTPUT_DIRECTORY = afterdoc +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = afterdoc.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/afterdoc.h b/trunk/examples/afterdoc.h new file mode 100644 index 0000000..907dabe --- /dev/null +++ b/trunk/examples/afterdoc.h @@ -0,0 +1,18 @@ +/*! A test class */ + +class Test +{ + public: + /** An enum type. + * The documentation block cannot be put after the enum! + */ + enum EnumType + { + int EVal1, /**< enum value 1 */ + int EVal2 /**< enum value 2 */ + }; + void member(); //!< a member function. + + protected: + int value; /*!< an integer value */ +}; diff --git a/trunk/examples/author.cfg b/trunk/examples/author.cfg new file mode 100644 index 0000000..8bb04f7 --- /dev/null +++ b/trunk/examples/author.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Author Command" +OUTPUT_DIRECTORY = author +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = author.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/author.cpp b/trunk/examples/author.cpp new file mode 100644 index 0000000..709354f --- /dev/null +++ b/trunk/examples/author.cpp @@ -0,0 +1,13 @@ +/*! + * \brief Pretty nice class. + * \details This class is used to demonstrate a number of section commands. + * \author John Doe + * \author Jan Doe + * \version 4.1a + * \date 1990-2011 + * \pre First initialize the system. + * \bug Not all memory is freed when deleting an object of this class. + * \warning Improper use can crash your application + * \copyright GNU Public License. + */ +class SomeNiceClass {}; diff --git a/trunk/examples/autolink.cfg b/trunk/examples/autolink.cfg new file mode 100644 index 0000000..406d52c --- /dev/null +++ b/trunk/examples/autolink.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Automatic link generation" +OUTPUT_DIRECTORY = autolink +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = autolink.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/autolink.cpp b/trunk/examples/autolink.cpp new file mode 100644 index 0000000..e028f22 --- /dev/null +++ b/trunk/examples/autolink.cpp @@ -0,0 +1,99 @@ +/*! \file autolink.cpp + Testing automatic link generation. + + A link to a member of the Test class: Test::member, + + More specific links to the each of the overloaded members: + Test::member(int) and Test#member(int,int) + + A link to a protected member variable of Test: Test#var, + + A link to the global enumeration type #GlobEnum. + + A link to the define #ABS(x). + + A link to the destructor of the Test class: Test::~Test, + + A link to the typedef ::B. + + A link to the enumeration type Test::EType + + A link to some enumeration values Test::Val1 and ::GVal2 +*/ + +/*! + Since this documentation block belongs to the class Test no link to + Test is generated. + + Two ways to link to a constructor are: #Test and Test(). + + Links to the destructor are: #~Test and ~Test(). + + A link to a member in this class: member(). + + More specific links to the each of the overloaded members: + member(int) and member(int,int). + + A link to the variable #var. + + A link to the global typedef ::B. + + A link to the global enumeration type #GlobEnum. + + A link to the define ABS(x). + + A link to a variable \link #var using another text\endlink as a link. + + A link to the enumeration type #EType. + + A link to some enumeration values: \link Test::Val1 Val1 \endlink and ::GVal1. + + And last but not least a link to a file: autolink.cpp. + + \sa Inside a see also section any word is checked, so EType, + Val1, GVal1, ~Test and member will be replaced by links in HTML. +*/ + +class Test +{ + public: + Test(); //!< constructor + ~Test(); //!< destructor + void member(int); /**< A member function. Details. */ + void member(int,int); /**< An overloaded member function. Details */ + + /** An enum type. More details */ + enum EType { + Val1, /**< enum value 1 */ + Val2 /**< enum value 2 */ + }; + + protected: + int var; /**< A member variable */ +}; + +/*! details. */ +Test::Test() { } + +/*! details. */ +Test::~Test() { } + +/*! A global variable. */ +int globVar; + +/*! A global enum. */ +enum GlobEnum { + GVal1, /*!< global enum value 1 */ + GVal2 /*!< global enum value 2 */ + }; + +/*! + * A macro definition. + */ +#define ABS(x) (((x)>0)?(x):-(x)) + +typedef Test B; + +/*! \fn typedef Test B + * A type definition. + */ diff --git a/trunk/examples/class.cfg b/trunk/examples/class.cfg new file mode 100644 index 0000000..f2c4796 --- /dev/null +++ b/trunk/examples/class.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Class Command" +OUTPUT_DIRECTORY = class +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = class.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/class.h b/trunk/examples/class.h new file mode 100644 index 0000000..e5a9121 --- /dev/null +++ b/trunk/examples/class.h @@ -0,0 +1,11 @@ +/* A dummy class */ + +class Test +{ +}; + +/*! \class Test class.h "inc/class.h" + * \brief This is a test class. + * + * Some details about the Test class + */ diff --git a/trunk/examples/dbusxml.cfg b/trunk/examples/dbusxml.cfg new file mode 100644 index 0000000..23b9dea --- /dev/null +++ b/trunk/examples/dbusxml.cfg @@ -0,0 +1,12 @@ +PROJECT_NAME = "DBusXMLDocs" +OUTPUT_DIRECTORY = dbusxml +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = dbusxml.xml +QUIET = YES +JAVADOC_AUTOBRIEF = YES +EXTRACT_ALL = YES +SEARCHENGINE = NO +EXTENSION_MAPPING = xml=dbusxml diff --git a/trunk/examples/dbusxml.xml b/trunk/examples/dbusxml.xml new file mode 100644 index 0000000..4ab7f78 --- /dev/null +++ b/trunk/examples/dbusxml.xml @@ -0,0 +1,78 @@ +<?xml version="1.0" ?> +<!-- Comment --> +<!--*< File comment --> +<node name="/SomeNode" xmlns:dx="http://psiamp.org/dtd/doxygen_dbusxml.dtd"> + <!--* test struct outside a namespace and interface --> + <dx:struct name="StructOutsideNamespace"> + <!--* member 1 --> + <dx:member name="member1" type="s"/> + <!--* complex member 1 --> + <dx:member name="complexMember1" type="(ssu)"/> + </dx:struct> + + <!--* Test flag set --> + <dx:flagset name="flagset"> + <!--* Flag 1 of flagset. --> + <dx:value name="FLAG1"/> + </dx:flagset> + + <!--* namespace comment --> + <dx:namespace name="SomeNamespace"> + <!--* struct inside a namespace --> + <dx:struct name="StructInNamespace"> + <!--* member 2 --> + <dx:member name="member2" type="s"/> + </dx:struct> + </dx:namespace> + <!--* Documentation on the interface --> + <interface name="nl.stack.doxygen.test.interface"> + <!--* Test Enum documentation --> + <dx:enum name="TestEnum"> + <!--* key 1 with value 13 --> + <dx:value name="KEY1" value="13"/> + <!--* key 2 without a value --> + <dx:value name="KEY2"/> + </dx:enum> + + <!--* struct inside a interface --> + <dx:struct name="StructInInterface"> + <!--* member 3 --> + <dx:member name="member3" type="s"/> + <!--* Struct in a struct --> + <dx:struct name="StructInAStruct"> + <!--* member4 --> + <dx:member name="member4" type="s"/> + </dx:struct> + <!--* struct member --> + <dx:member name="structMembor" type="(s)" named-type="StructInAStruct"/> + </dx:struct> + <!--* Document method + + Some extended documentation for the method. + + @param[in] input blah. + @param[out] output blub + --> + <method name="method"> + <arg direction="in" name="input" type="(s(s))" named-type="::nl::stack::doxygen::test::interface::StructInInterface"/> + <arg direction="out" type="v" name="output"/> + </method> + + <signal name="signal"> + <!--*< Documentation for signal. + + @param parameter some parameter. + --> + <arg name="parameter" type="s"/> + </signal> + + <!--* property documentation --> + <property name="property" type="s" access="readwrite"/> + + <!--* property documentation read-only --> + <property name="propertyRead" type="s" access="read"/> + <!--* property documentation write-only --> + <property name="propertyWrite" type="s" access="write"/> + </interface> +</node> +<!-- vim:set sw=2 sts=2 et ft=xml: --> diff --git a/trunk/examples/define.cfg b/trunk/examples/define.cfg new file mode 100644 index 0000000..547591e --- /dev/null +++ b/trunk/examples/define.cfg @@ -0,0 +1,11 @@ +PROJECT_NAME = "Define Command" +OUTPUT_DIRECTORY = define +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = define.h +ENABLE_PREPROCESSING = YES +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/define.h b/trunk/examples/define.h new file mode 100644 index 0000000..c330447 --- /dev/null +++ b/trunk/examples/define.h @@ -0,0 +1,18 @@ +/*! \file define.h + \brief testing defines + + This is to test the documentation of defines. +*/ + +/*! + \def MAX(x,y) + Computes the maximum of \a x and \a y. +*/ + +/*! + Computes the absolute value of its argument \a x. +*/ +#define ABS(x) (((x)>0)?(x):-(x)) +#define MAX(x,y) ((x)>(y)?(x):(y)) +#define MIN(x,y) ((x)>(y)?(y):(x)) + /*!< Computes the minimum of \a x and \a y. */ diff --git a/trunk/examples/diagrams.cfg b/trunk/examples/diagrams.cfg new file mode 100644 index 0000000..9bc5551 --- /dev/null +++ b/trunk/examples/diagrams.cfg @@ -0,0 +1,14 @@ +PROJECT_NAME = "Diagrams" +OUTPUT_DIRECTORY = diagrams +HAVE_DOT = YES +EXTRACT_ALL = YES +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +ENABLE_PREPROCESSING = YES +INPUT = . +FILE_PATTERNS = diagrams_*.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/diagrams_a.h b/trunk/examples/diagrams_a.h new file mode 100644 index 0000000..047a8ab --- /dev/null +++ b/trunk/examples/diagrams_a.h @@ -0,0 +1,4 @@ +#ifndef _DIAGRAMS_A_H +#define _DIAGRAMS_A_H +class A { public: A *m_self; }; +#endif diff --git a/trunk/examples/diagrams_b.h b/trunk/examples/diagrams_b.h new file mode 100644 index 0000000..5fcd247 --- /dev/null +++ b/trunk/examples/diagrams_b.h @@ -0,0 +1,5 @@ +#ifndef _DIAGRAMS_B_H +#define _DIAGRAMS_B_H +class A; +class B { public: A *m_a; }; +#endif diff --git a/trunk/examples/diagrams_c.h b/trunk/examples/diagrams_c.h new file mode 100644 index 0000000..e4ec11d --- /dev/null +++ b/trunk/examples/diagrams_c.h @@ -0,0 +1,6 @@ +#ifndef _DIAGRAMS_C_H +#define _DIAGRAMS_C_H +#include "diagrams_c.h" +class D; +class C : public A { public: D *m_d; }; +#endif diff --git a/trunk/examples/diagrams_d.h b/trunk/examples/diagrams_d.h new file mode 100644 index 0000000..3e635ce --- /dev/null +++ b/trunk/examples/diagrams_d.h @@ -0,0 +1,7 @@ +#ifndef _DIAGRAM_D_H +#define _DIAGRAM_D_H +#include "diagrams_a.h" +#include "diagrams_b.h" +class C; +class D : virtual protected A, private B { public: C m_c; }; +#endif diff --git a/trunk/examples/diagrams_e.h b/trunk/examples/diagrams_e.h new file mode 100644 index 0000000..5282388 --- /dev/null +++ b/trunk/examples/diagrams_e.h @@ -0,0 +1,5 @@ +#ifndef _DIAGRAM_E_H +#define _DIAGRAM_E_H +#include "diagrams_d.h" +class E : public D {}; +#endif diff --git a/trunk/examples/docstring.cfg b/trunk/examples/docstring.cfg new file mode 100644 index 0000000..2a5cd9a --- /dev/null +++ b/trunk/examples/docstring.cfg @@ -0,0 +1,11 @@ +PROJECT_NAME = "Python" +OUTPUT_DIRECTORY = docstring +EXTRACT_ALL = YES +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +OPTIMIZE_OUTPUT_JAVA = YES +INPUT = docstring.py +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/docstring.py b/trunk/examples/docstring.py new file mode 100644 index 0000000..07b13e0 --- /dev/null +++ b/trunk/examples/docstring.py @@ -0,0 +1,27 @@ +"""@package docstring +Documentation for this module. + +More details. +""" + +def func(): + """Documentation for a function. + + More details. + """ + pass + +class PyClass: + """Documentation for a class. + + More details. + """ + + def __init__(self): + """The constructor.""" + self._memVar = 0; + + def PyMethod(self): + """Documentation for a method.""" + pass + diff --git a/trunk/examples/enum.cfg b/trunk/examples/enum.cfg new file mode 100644 index 0000000..ed83670 --- /dev/null +++ b/trunk/examples/enum.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Enum Command" +OUTPUT_DIRECTORY = enum +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = enum.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/enum.h b/trunk/examples/enum.h new file mode 100644 index 0000000..4c54fab --- /dev/null +++ b/trunk/examples/enum.h @@ -0,0 +1,24 @@ +class Test +{ + public: + enum TEnum { Val1, Val2 }; + + /*! Another enum, with inline docs */ + enum AnotherEnum + { + V1, /*!< value 1 */ + V2 /*!< value 2 */ + }; +}; + +/*! \class Test + * The class description. + */ + +/*! \enum Test::TEnum + * A description of the enum type. + */ + +/*! \var Test::TEnum Test::Val1 + * The description of the first enum value. + */ diff --git a/trunk/examples/example.cfg b/trunk/examples/example.cfg new file mode 100644 index 0000000..4c5c869 --- /dev/null +++ b/trunk/examples/example.cfg @@ -0,0 +1,12 @@ +PROJECT_NAME = "Example Command" +OUTPUT_DIRECTORY = example +GENERATE_TAGFILE = example.tag +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = example.cpp +EXAMPLE_PATH = example_test.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/example.cpp b/trunk/examples/example.cpp new file mode 100644 index 0000000..230d6ec --- /dev/null +++ b/trunk/examples/example.cpp @@ -0,0 +1,19 @@ +/** A Test class. + * More details about this class. + */ + +class Test +{ + public: + /** An example member function. + * More details about this function. + */ + void example(); +}; + +void Test::example() {} + +/** \example example_test.cpp + * This is an example of how to use the Test class. + * More details about this example. + */ diff --git a/trunk/examples/example.tag b/trunk/examples/example.tag new file mode 100644 index 0000000..a38ab5c --- /dev/null +++ b/trunk/examples/example.tag @@ -0,0 +1,14 @@ +<?xml version='1.0' encoding='ISO-8859-1' standalone='yes' ?> +<tagfile> + <compound kind="class"> + <name>Test</name> + <filename>class_test.html</filename> + <member kind="function"> + <type>void</type> + <name>example</name> + <anchorfile>class_test.html</anchorfile> + <anchor>a47b775f65718978f1ffcd96376f8ecfa</anchor> + <arglist>()</arglist> + </member> + </compound> +</tagfile> diff --git a/trunk/examples/example_test.cpp b/trunk/examples/example_test.cpp new file mode 100644 index 0000000..a7e1643 --- /dev/null +++ b/trunk/examples/example_test.cpp @@ -0,0 +1,5 @@ +void main() +{ + Test t; + t.example(); +} diff --git a/trunk/examples/file.cfg b/trunk/examples/file.cfg new file mode 100644 index 0000000..e54b1c7 --- /dev/null +++ b/trunk/examples/file.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "File Command" +OUTPUT_DIRECTORY = file +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = file.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/file.h b/trunk/examples/file.h new file mode 100644 index 0000000..8dff6cb --- /dev/null +++ b/trunk/examples/file.h @@ -0,0 +1,10 @@ +/** \file file.h + * A brief file description. + * A more elaborated file description. + */ + +/** + * A global integer value. + * More details about this value. + */ +extern int globalValue; diff --git a/trunk/examples/func.cfg b/trunk/examples/func.cfg new file mode 100644 index 0000000..32c3190 --- /dev/null +++ b/trunk/examples/func.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Fn Command" +OUTPUT_DIRECTORY = func +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = func.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/func.h b/trunk/examples/func.h new file mode 100644 index 0000000..b335448 --- /dev/null +++ b/trunk/examples/func.h @@ -0,0 +1,21 @@ +class Test +{ + public: + const char *member(char,int) throw(std::out_of_range); +}; + +const char *Test::member(char c,int n) throw(std::out_of_range) {} + +/*! \class Test + * \brief Test class. + * + * Details about Test. + */ + +/*! \fn const char *Test::member(char c,int n) + * \brief A member function. + * \param c a character. + * \param n an integer. + * \exception std::out_of_range parameter is out of range. + * \return a character pointer. + */ diff --git a/trunk/examples/group.cfg b/trunk/examples/group.cfg new file mode 100644 index 0000000..98cc27e --- /dev/null +++ b/trunk/examples/group.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Grouping" +OUTPUT_DIRECTORY = group +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = group.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/group.cpp b/trunk/examples/group.cpp new file mode 100644 index 0000000..b120b90 --- /dev/null +++ b/trunk/examples/group.cpp @@ -0,0 +1,88 @@ +/** @defgroup group1 The First Group + * This is the first group + * @{ + */ + +/** @brief class C1 in group 1 */ +class C1 {}; + +/** @brief class C2 in group 1 */ +class C2 {}; + +/** function in group 1 */ +void func() {} + +/** @} */ // end of group1 + +/** + * @defgroup group2 The Second Group + * This is the second group + */ + +/** @defgroup group3 The Third Group + * This is the third group + */ + +/** @defgroup group4 The Fourth Group + * @ingroup group3 + * Group 4 is a subgroup of group 3 + */ + +/** + * @ingroup group2 + * @brief class C3 in group 2 + */ +class C3 {}; + +/** @ingroup group2 + * @brief class C4 in group 2 + */ +class C4 {}; + +/** @ingroup group3 + * @brief class C5 in @link group3 the third group@endlink. + */ +class C5 {}; + +/** @ingroup group1 group2 group3 group4 + * namespace N1 is in four groups + * @sa @link group1 The first group@endlink, group2, group3, group4 + * + * Also see @ref mypage2 + */ +namespace N1 {}; + +/** @file + * @ingroup group3 + * @brief this file in group 3 + */ + +/** @defgroup group5 The Fifth Group + * This is the fifth group + * @{ + */ + +/** @page mypage1 This is a section in group 5 + * Text of the first section + */ + +/** @page mypage2 This is another section in group 5 + * Text of the second section + */ + +/** @} */ // end of group5 + +/** @addtogroup group1 + * + * More documentation for the first group. + * @{ + */ + +/** another function in group 1 */ +void func2() {} + +/** yet another function in group 1 */ +void func3() {} + +/** @} */ // end of group1 + diff --git a/trunk/examples/include.cfg b/trunk/examples/include.cfg new file mode 100644 index 0000000..8516b32 --- /dev/null +++ b/trunk/examples/include.cfg @@ -0,0 +1,11 @@ +PROJECT_NAME = "Include Command" +OUTPUT_DIRECTORY = include +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = include.cpp +EXAMPLE_PATH = example_test.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/include.cpp b/trunk/examples/include.cpp new file mode 100644 index 0000000..c50ab96 --- /dev/null +++ b/trunk/examples/include.cpp @@ -0,0 +1,22 @@ + +/*! A test class. */ + +class Test +{ + public: + /// a member function + void example(); +}; + +/*! \page example + * \dontinclude example_test.cpp + * Our main function starts like this: + * \skip main + * \until { + * First we create a object \c t of the Test class. + * \skipline Test + * Then we call the example member function + * \line example + * After that our little test routine ends. + * \line } + */ diff --git a/trunk/examples/jdstyle.cfg b/trunk/examples/jdstyle.cfg new file mode 100644 index 0000000..02bf18c --- /dev/null +++ b/trunk/examples/jdstyle.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "JavaDoc Style" +OUTPUT_DIRECTORY = jdstyle +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = jdstyle.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/jdstyle.cpp b/trunk/examples/jdstyle.cpp new file mode 100644 index 0000000..bd8b9a7 --- /dev/null +++ b/trunk/examples/jdstyle.cpp @@ -0,0 +1,66 @@ +/** + * A test class. A more elaborate class description. + */ + +class Test +{ + public: + + /** + * An enum. + * More detailed enum description. + */ + + enum TEnum { + TVal1, /**< enum value TVal1. */ + TVal2, /**< enum value TVal2. */ + TVal3 /**< enum value TVal3. */ + } + *enumPtr, /**< enum pointer. Details. */ + enumVar; /**< enum variable. Details. */ + + /** + * A constructor. + * A more elaborate description of the constructor. + */ + Test(); + + /** + * A destructor. + * A more elaborate description of the destructor. + */ + ~Test(); + + /** + * a normal member taking two arguments and returning an integer value. + * @param a an integer argument. + * @param s a constant character pointer. + * @see Test() + * @see ~Test() + * @see testMeToo() + * @see publicVar() + * @return The test results + */ + int testMe(int a,const char *s); + + /** + * A pure virtual member. + * @see testMe() + * @param c1 the first argument. + * @param c2 the second argument. + */ + virtual void testMeToo(char c1,char c2) = 0; + + /** + * a public variable. + * Details. + */ + int publicVar; + + /** + * a function variable. + * Details. + */ + int (*handler)(int a,int b); +}; + diff --git a/trunk/examples/manual.c b/trunk/examples/manual.c new file mode 100644 index 0000000..fac6832 --- /dev/null +++ b/trunk/examples/manual.c @@ -0,0 +1,87 @@ +/** + * \file manual.c + */ + +typedef struct Object Object; //!< Object type +typedef struct Vehicle Vehicle; //!< Vehicle type +typedef struct Car Car; //!< Car type +typedef struct Truck Truck; //!< Truck type + +/*! + * Base object class. + */ +struct Object +{ + int ref; //!< \private Reference count. +}; + + +/*! + * Increments object reference count by one. + * \public \memberof Object + */ +static Object * objRef(Object *obj); + + +/*! + * Decrements object reference count by one. + * \public \memberof Object + */ +static Object * objUnref(Object *obj); + + +/*! + * Vehicle class. + * \extends Object + */ +struct Vehicle +{ + Object base; //!< \protected Base class. +}; + + +/*! + * Starts the vehicle. + * \public \memberof Vehicle + */ +void vehicleStart(Vehicle *obj); + + +/*! + * Stops the vehicle. + * \public \memberof Vehicle + */ +void vehicleStop(Vehicle *obj); + + +/*! + * Car class. + * \extends Vehicle + */ +struct Car +{ + Vehicle base; //!< \protected Base class. +}; + + +/*! + * Truck class. + * \extends Vehicle + */ +struct Truck +{ + Vehicle base; //!< \protected Base class. +}; + + +/*! + * Main function. + * + * Ref vehicleStart(), objRef(), objUnref(). + */ +int main(void) +{ + Car c; + vehicleStart((Vehicle*) &c); +} + diff --git a/trunk/examples/manual.cfg b/trunk/examples/manual.cfg new file mode 100644 index 0000000..9359842 --- /dev/null +++ b/trunk/examples/manual.cfg @@ -0,0 +1,16 @@ +PROJECT_NAME = "Manual inheritance and membership" +OUTPUT_DIRECTORY = manual +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = manual.c +QUIET = YES +JAVADOC_AUTOBRIEF = YES +EXTRACT_PRIVATE = YES +EXTRACT_STATIC = YES +TYPEDEF_HIDES_STRUCT = YES +INLINE_SOURCES = YES +REFERENCED_BY_RELATION = YES +REFERENCES_RELATION = YES +SEARCHENGINE = NO diff --git a/trunk/examples/memgrp.cfg b/trunk/examples/memgrp.cfg new file mode 100644 index 0000000..a69c33c --- /dev/null +++ b/trunk/examples/memgrp.cfg @@ -0,0 +1,11 @@ +PROJECT_NAME = "Member Grouping" +OUTPUT_DIRECTORY = memgrp +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = memgrp.cpp +QUIET = YES +DISTRIBUTE_GROUP_DOC = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/memgrp.cpp b/trunk/examples/memgrp.cpp new file mode 100644 index 0000000..77f03a4 --- /dev/null +++ b/trunk/examples/memgrp.cpp @@ -0,0 +1,41 @@ +/** A class. Details */ +class Test +{ + public: + //@{ + /** Same documentation for both members. Details */ + void func1InGroup1(); + void func2InGroup1(); + //@} + + /** Function without group. Details. */ + void ungroupedFunction(); + void func1InGroup2(); + protected: + void func2InGroup2(); +}; + +void Test::func1InGroup1() {} +void Test::func2InGroup1() {} + +/** @name Group2 + * Description of group 2. + */ +///@{ +/** Function 2 in group 2. Details. */ +void Test::func2InGroup2() {} +/** Function 1 in group 2. Details. */ +void Test::func1InGroup2() {} +///@} + +/*! \file + * docs for this file + */ + +//!@{ +//! one description for all members of this group +//! (because DISTRIBUTE_GROUP_DOC is YES in the config file) +#define A 1 +#define B 2 +void glob_func(); +//!@} diff --git a/trunk/examples/mux.cfg b/trunk/examples/mux.cfg new file mode 100644 index 0000000..86abd9e --- /dev/null +++ b/trunk/examples/mux.cfg @@ -0,0 +1,14 @@ +PROJECT_NAME = Mux +OUTPUT_DIRECTORY = mux +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = mux.vhdl +OPTIMIZE_OUTPUT_VHDL = YES +QUIET = YES +INHERIT_DOCS = YES +EXTRACT_PRIVATE = YES +HIDE_SCOPE_NAMES = YES +INHERIT_DOCS = NO +SEARCHENGINE = NO diff --git a/trunk/examples/mux.vhdl b/trunk/examples/mux.vhdl new file mode 100644 index 0000000..211e56e --- /dev/null +++ b/trunk/examples/mux.vhdl @@ -0,0 +1,32 @@ +------------------------------------------------------- +--! @file +--! @brief 2:1 Mux using with-select +------------------------------------------------------- + +--! Use standard library +library ieee; +--! Use logic elements + use ieee.std_logic_1164.all; + +--! Mux entity brief description + +--! Detailed description of this +--! mux design element. +entity mux_using_with is + port ( + din_0 : in std_logic; --! Mux first input + din_1 : in std_logic; --! Mux Second input + sel : in std_logic; --! Select input + mux_out : out std_logic --! Mux output + ); +end entity; + +--! @brief Architure definition of the MUX +--! @details More details about this mux element. +architecture behavior of mux_using_with is +begin + with (sel) select + mux_out <= din_0 when '0', + din_1 when others; +end architecture; + diff --git a/trunk/examples/overload.cfg b/trunk/examples/overload.cfg new file mode 100644 index 0000000..d29cb9c --- /dev/null +++ b/trunk/examples/overload.cfg @@ -0,0 +1,11 @@ +PROJECT_NAME = "Overloaded Command" +OUTPUT_DIRECTORY = overload +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +SORT_MEMBER_DOCS = NO +INPUT = overload.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/overload.cpp b/trunk/examples/overload.cpp new file mode 100644 index 0000000..02bcced --- /dev/null +++ b/trunk/examples/overload.cpp @@ -0,0 +1,25 @@ +class Test +{ + public: + void drawRect(int,int,int,int); + void drawRect(const Rect &r); +}; + +void Test::drawRect(int x,int y,int w,int h) {} +void Test::drawRect(const Rect &r) {} + +/*! \class Test + * \brief A short description. + * + * More text. + */ + +/*! \fn void Test::drawRect(int x,int y,int w,int h) + * This command draws a rectangle with a left upper corner at ( \a x , \a y ), + * width \a w and height \a h. + */ + +/*! + * \overload void Test::drawRect(const Rect &r) + */ + diff --git a/trunk/examples/page.cfg b/trunk/examples/page.cfg new file mode 100644 index 0000000..cd1ff84 --- /dev/null +++ b/trunk/examples/page.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Page Command" +OUTPUT_DIRECTORY = page +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = page.doc +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/page.doc b/trunk/examples/page.doc new file mode 100644 index 0000000..f83f896 --- /dev/null +++ b/trunk/examples/page.doc @@ -0,0 +1,15 @@ +/*! \page page1 A documentation page + \tableofcontents + Leading text. + \section sec An example section + This page contains the subsections \ref subsection1 and \ref subsection2. + For more info see page \ref page2. + \subsection subsection1 The first subsection + Text. + \subsection subsection2 The second subsection + More text. +*/ + +/*! \page page2 Another page + Even more info. +*/ diff --git a/trunk/examples/par.cfg b/trunk/examples/par.cfg new file mode 100644 index 0000000..de6caa9 --- /dev/null +++ b/trunk/examples/par.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Par Command" +OUTPUT_DIRECTORY = par +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = par.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/par.cpp b/trunk/examples/par.cpp new file mode 100644 index 0000000..6fd2c4e --- /dev/null +++ b/trunk/examples/par.cpp @@ -0,0 +1,20 @@ +/*! \class Test + * Normal text. + * + * \par User defined paragraph: + * Contents of the paragraph. + * + * \par + * New paragraph under the same heading. + * + * \note + * This note consists of two paragraphs. + * This is the first paragraph. + * + * \par + * And this is the second paragraph. + * + * More normal text. + */ + +class Test {}; diff --git a/trunk/examples/pyexample.cfg b/trunk/examples/pyexample.cfg new file mode 100644 index 0000000..27db0f7 --- /dev/null +++ b/trunk/examples/pyexample.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Python" +OUTPUT_DIRECTORY = pyexample +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +OPTIMIZE_OUTPUT_JAVA = YES +INPUT = pyexample.py +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/pyexample.py b/trunk/examples/pyexample.py new file mode 100644 index 0000000..666c25b --- /dev/null +++ b/trunk/examples/pyexample.py @@ -0,0 +1,30 @@ +## @package pyexample +# Documentation for this module. +# +# More details. + +## Documentation for a function. +# +# More details. +def func(): + pass + +## Documentation for a class. +# +# More details. +class PyClass: + + ## The constructor. + def __init__(self): + self._memVar = 0; + + ## Documentation for a method. + # @param self The object pointer. + def PyMethod(self): + pass + + ## A class variable. + classVar = 0; + + ## @var _memVar + # a member variable diff --git a/trunk/examples/qtstyle.cfg b/trunk/examples/qtstyle.cfg new file mode 100644 index 0000000..0a930be --- /dev/null +++ b/trunk/examples/qtstyle.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Qt Style" +OUTPUT_DIRECTORY = qtstyle +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = qtstyle.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/qtstyle.cpp b/trunk/examples/qtstyle.cpp new file mode 100644 index 0000000..e24d541 --- /dev/null +++ b/trunk/examples/qtstyle.cpp @@ -0,0 +1,65 @@ +//! A test class. +/*! + A more elaborate class description. +*/ + +class Test +{ + public: + + //! An enum. + /*! More detailed enum description. */ + enum TEnum { + TVal1, /*!< Enum value TVal1. */ + TVal2, /*!< Enum value TVal2. */ + TVal3 /*!< Enum value TVal3. */ + } + //! Enum pointer. + /*! Details. */ + *enumPtr, + //! Enum variable. + /*! Details. */ + enumVar; + + //! A constructor. + /*! + A more elaborate description of the constructor. + */ + Test(); + + //! A destructor. + /*! + A more elaborate description of the destructor. + */ + ~Test(); + + //! A normal member taking two arguments and returning an integer value. + /*! + \param a an integer argument. + \param s a constant character pointer. + \return The test results + \sa Test(), ~Test(), testMeToo() and publicVar() + */ + int testMe(int a,const char *s); + + //! A pure virtual member. + /*! + \sa testMe() + \param c1 the first argument. + \param c2 the second argument. + */ + virtual void testMeToo(char c1,char c2) = 0; + + //! A public variable. + /*! + Details. + */ + int publicVar; + + //! A function variable. + /*! + Details. + */ + int (*handler)(int a,int b); +}; + diff --git a/trunk/examples/relates.cfg b/trunk/examples/relates.cfg new file mode 100644 index 0000000..4e1d90b --- /dev/null +++ b/trunk/examples/relates.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Relates Command" +OUTPUT_DIRECTORY = relates +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = relates.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/relates.cpp b/trunk/examples/relates.cpp new file mode 100644 index 0000000..c6f7dc9 --- /dev/null +++ b/trunk/examples/relates.cpp @@ -0,0 +1,23 @@ +/*! + * A String class. + */ + +class String +{ + friend int strcmp(const String &,const String &); +}; + +/*! + * Compares two strings. + */ + +int strcmp(const String &s1,const String &s2) +{ +} + +/*! \relates String + * A string debug function. + */ +void stringDebug() +{ +} diff --git a/trunk/examples/restypedef.cfg b/trunk/examples/restypedef.cfg new file mode 100644 index 0000000..c55926e --- /dev/null +++ b/trunk/examples/restypedef.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Resolving Typedefs" +OUTPUT_DIRECTORY = restypedef +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = restypedef.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/restypedef.cpp b/trunk/examples/restypedef.cpp new file mode 100644 index 0000000..923cf70 --- /dev/null +++ b/trunk/examples/restypedef.cpp @@ -0,0 +1,25 @@ +/*! \file restypedef.cpp + * An example of resolving typedefs. + */ + +/*! \struct CoordStruct + * A coordinate pair. + */ +struct CoordStruct +{ + /*! The x coordinate */ + float x; + /*! The y coordinate */ + float y; +}; + +/*! Creates a type name for CoordStruct */ +typedef CoordStruct Coord; + +/*! + * This function returns the addition of \a c1 and \a c2, i.e: + * (c1.x+c2.x,c1.y+c2.y) + */ +Coord add(Coord c1,Coord c2) +{ +} diff --git a/trunk/examples/structcmd.cfg b/trunk/examples/structcmd.cfg new file mode 100644 index 0000000..f956ad4 --- /dev/null +++ b/trunk/examples/structcmd.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Structural commands" +OUTPUT_DIRECTORY = structcmd +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = structcmd.h +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/structcmd.h b/trunk/examples/structcmd.h new file mode 100644 index 0000000..3e27029 --- /dev/null +++ b/trunk/examples/structcmd.h @@ -0,0 +1,57 @@ +/*! \file structcmd.h + \brief A Documented file. + + Details. +*/ + +/*! \def MAX(a,b) + \brief A macro that returns the maximum of \a a and \a b. + + Details. +*/ + +/*! \var typedef unsigned int UINT32 + \brief A type definition for a . + + Details. +*/ + +/*! \var int errno + \brief Contains the last error code. + + \warning Not thread safe! +*/ + +/*! \fn int open(const char *pathname,int flags) + \brief Opens a file descriptor. + + \param pathname The name of the descriptor. + \param flags Opening flags. +*/ + +/*! \fn int close(int fd) + \brief Closes the file descriptor \a fd. + \param fd The descriptor to close. +*/ + +/*! \fn size_t write(int fd,const char *buf, size_t count) + \brief Writes \a count bytes from \a buf to the filedescriptor \a fd. + \param fd The descriptor to write to. + \param buf The data buffer to write. + \param count The number of bytes to write. +*/ + +/*! \fn int read(int fd,char *buf,size_t count) + \brief Read bytes from a file descriptor. + \param fd The descriptor to read from. + \param buf The buffer to read into. + \param count The number of bytes to read. +*/ + +#define MAX(a,b) (((a)>(b))?(a):(b)) +typedef unsigned int UINT32; +int errno; +int open(const char *,int); +int close(int); +size_t write(int,const char *, size_t); +int read(int,char *,size_t); diff --git a/trunk/examples/tag.cfg b/trunk/examples/tag.cfg new file mode 100644 index 0000000..3627281 --- /dev/null +++ b/trunk/examples/tag.cfg @@ -0,0 +1,12 @@ +PROJECT_NAME = "Tag Files" +OUTPUT_DIRECTORY = tag +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = tag.cpp +TAGFILES = example.tag=../../example/html +PERL_PATH = perl +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/tag.cpp b/trunk/examples/tag.cpp new file mode 100644 index 0000000..6497dd4 --- /dev/null +++ b/trunk/examples/tag.cpp @@ -0,0 +1,9 @@ +/*! A class that is inherited from the external class Test. +*/ + +class Tag : public Test +{ + public: + /*! an overloaded member. */ + void example(); +}; diff --git a/trunk/examples/tclexample.cfg b/trunk/examples/tclexample.cfg new file mode 100644 index 0000000..a02bb2b --- /dev/null +++ b/trunk/examples/tclexample.cfg @@ -0,0 +1,13 @@ +PROJECT_NAME = "Tcl" +OUTPUT_DIRECTORY = tclexample +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = tclexample.tcl +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO +INLINE_SOURCES = YES +EXTRACT_ALL = YES +EXTRACT_PRIVATE = YES diff --git a/trunk/examples/tclexample.tcl b/trunk/examples/tclexample.tcl new file mode 100644 index 0000000..6edef66 --- /dev/null +++ b/trunk/examples/tclexample.tcl @@ -0,0 +1,82 @@ +## \file tclexample.tcl +# File documentation. +#\verbatim + +# Startup code:\ +exec tclsh "$0" "$@" +#\endverbatim +## Documented namespace \c ns . +# The code is inserted here: +#\code +namespace eval ns { + ## Documented proc \c ns_proc . + # param[in] arg some argument + proc ns_proc {arg} {} + ## Documented var \c ns_var . + # Some documentation. + variable ns_var + ## Documented itcl class \c itcl_class . + itcl::class itcl_class { + ## Create object. + constructor {args} {eval $args} + ## Destroy object. + destructor {exit} + ## Documented itcl method \c itcl_method_x . + # param[in] arg Argument + private method itcl_method_x {arg} + ## Documented itcl method \c itcl_method_y . + # param[in] arg Argument + protected method itcl_method_y {arg} {} + ## Documented itcl method \c itcl_method_z . + # param[in] arg Argument + public method itcl_method_z {arg} {} + ## Documented common itcl var \c itcl_Var . + common itcl_Var + ## \protectedsection + + variable itcl_var1;#< Documented itcl var \c itcl_var1 . + variable itcl_var2;#< Documented itcl var \c itcl_var2 . + } + ## Documented oo class \c oo_class . + oo::class create oo_class { + ## Create object. + # Configure with args + constructor {args} {eval $args} + ## Destroy object. + # Exit. + destructor {exit} + ## Documented oo var \c oo_var . + # Defined inside class + variable oo_var + ## \private Documented oo method \c oo_method_x . + # param[in] arg Argument + method oo_method_x {arg} {} + ## \protected Documented oo method \c oo_method_y . + # param[in] arg Argument + method oo_method_y {arg} {} + ## \public Documented oo method \c oo_method_z . + # param[in] arg Argument + method oo_method_z {arg} {} + } +} +#\endcode + +itcl::body ::ns::itcl_class::itcl_method_x {argx} { + puts "$argx OK" +} + +oo::define ns::oo_class { + ## \public Outside defined variable \c oo_var_out . + # Inside oo_class + variable oo_var_out +} + +## Documented global proc \c glob_proc . +# param[in] arg Argument +proc glob_proc {arg} {puts $arg} + +variable glob_var;#< Documented global var \c glob_var\ + with newline +#< and continued line + +# end of file diff --git a/trunk/examples/templ.cfg b/trunk/examples/templ.cfg new file mode 100644 index 0000000..c8d9514 --- /dev/null +++ b/trunk/examples/templ.cfg @@ -0,0 +1,10 @@ +PROJECT_NAME = "Template Test" +OUTPUT_DIRECTORY = template +GENERATE_LATEX = NO +GENERATE_MAN = NO +GENERATE_RTF = NO +CASE_SENSE_NAMES = NO +INPUT = templ.cpp +QUIET = YES +JAVADOC_AUTOBRIEF = YES +SEARCHENGINE = NO diff --git a/trunk/examples/templ.cpp b/trunk/examples/templ.cpp new file mode 100644 index 0000000..9ed48fb --- /dev/null +++ b/trunk/examples/templ.cpp @@ -0,0 +1,35 @@ + +/*! A template class */ +template<class T,int i=100> class Test +{ + public: + Test(); + Test(const Test &); +}; + +/*! complete specialization */ +template<> class Test<void *,200> +{ + public: + Test(); +}; + +/*! A partial template specialization */ +template<class T> class Test<T *> : public Test<void *,200> +{ + public: + Test(); +}; + +/*! The constructor of the template class*/ +template<class T,int i> Test<T,i>::Test() {} + +/*! The copy constructor */ +template<class T,int i> Test<T,i>::Test(const Test &t) {} + +/*! The constructor of the partial specilization */ +template<class T> Test<T *>::Test() {} + +/*! The constructor of the specilization */ +template<> Test<void *,200>::Test() {} + |