summaryrefslogtreecommitdiffstats
path: root/src/commentcnv.l
Commit message (Collapse)AuthorAgeFilesLines
* Consistent way to show scanner statealbert-github2019-09-051-0/+3
| | | | | Create a consistent way to display the state mnemonics of the different scanners (analogous to the fortranscanner.l) Use an automatic procedure to generate the routine with the translation of the states to a string.
* Strange '*/' in Fortran nad Markdown with conditional sectionsalbert-github2019-08-171-1/+3
| | | | | | | | | | | | | | | | In case of a construct like (Markdown, analogous for Fortran): ``` test text @cond: after cond whatever @endcond some more text ``` we get a `*/` after `test text` that should not be present. Other places exclude besides Pyton and VHDL also Fortran and Markdown and that should happen here as well.
* Regression: python file with comment caused "Reached end of file while still ↵Dimitri van Heesch2019-08-061-0/+1
| | | | inside a comment" warning.
* Missing warning for "double comment"albert-github2019-07-141-9/+10
| | | | | | | | | | | | | | | | | | | | | | | | | | | | In case we have: ``` /** \file /** the second comment start line */ /** the docu */ void a_fie(void){} /** the docu ** double commented */ void a_fie2(void){} `` one would expect to get a warning regarding the ``` /** /** ``` but none is given. One would have expected: ``` aa.c:13: warning: Reached end of file while still inside a (nested) comment. Nesting level 1 (probable line reference: 1) ```
* Incorrect Reached end of file while still inside a (nested) comment for TCL ↵albert-github2019-05-031-1/+9
| | | | | | | | | | | | | | | | | / Python In case we have in the comment (or in the code) of a TCL file of Python file a construct like: ``` proc get_suite {dir {sort 1}} { set files [glob -nocomplain $dir/*.bin] set files [glob -nocomplain $dir/*.ps] } ``` we get the warning: ``` warning: Reached end of file while still inside a (nested) comment. Nesting level 2 (probable line reference: 3, 2) ``` although the '/*' construct has no special comment meaning in TCL / Python (comment signs '#' / '#' or '"""). So if a c-comment construct is found it is ignored for TCL and Python.
* Bug 616379 - doxygen result by nested comment incorrectlyalbert-github2018-11-281-4/+13
| | | | Improvement on handling `///` comments in relation to `*/` during comment conversion.
* Bug 796621 - @cond does not stop at @endcond Fortranalbert-github2018-06-191-2/+18
| | | | | The backslash (\) is in Fortran not an escape character but was handled as such, this has been corrected. Handling of the different Fortran string types ('...' and "...") made more consistent.
* Problem with \cond in normal comment of test 015albert-github2018-05-071-1/+1
| | | | | | | | In the \cond is also recognized in non-doxygen comment. - As a work around the \ has been removed - in case of e.g. pdf the enabled function is not shown as it does not have a doxygen comment - test file output update due to change in input code. - pre.l and commentcnv.l. better error message i.e. showing better the used condition
* Misc. typosluz.paz2018-04-251-2/+2
| | | | Found via `codespell`
* Debug output commentcnv independent of QUIET settingalbert-github2018-02-261-1/+3
| | | | The debug output of the commentcnv (-d commentcnv) should be independent of the setting of QUIET in the doxygen configuration file.
* Misc. typosluzpaz2017-12-231-1/+1
| | | | | Super trivial typos Some are in qtools/ which I know is a 3rd party dependency but as we know is now obsolete upstream. I reckon it wouldn't be much of an issue to merge neverthless Tacked on several more commits
* Bug 652086 - does ALIAS work for VHDL code?albert-github2017-06-041-13/+57
| | | | | Terminating of the VHDL comment was not found as a result of the test against python. Added handling of end of python '#' comment and VHDL '--!' comment
* flex: use %option instead of command line options.Adrian Negreanu2016-09-211-0/+2
|
* Bug 767171 - ALIASES stop working after verbatim with formula and /** */albert-github2016-06-051-3/+3
| | | | | | | A formula should not not end a "verbatim" type of block. A "verbatim" type of block should only stop at the corresponding end command. In case of a formula with an environment (i.e. f{) the name of the environment can be parsed separately. Corrected end condition for docbookonly.
* Bug 752712 - last entry missing in a @name group of typedefsDimitri van Heesch2016-02-061-0/+6
|
* Changed configuration mechanism to directly access options in order to ↵Dimitri van Heesch2016-01-171-3/+3
| | | | improve performance
* Markdown with @cond and @endcondalbert-github2015-11-221-0/+2
| | | | | In case markdown files are processed and they contain @cond and @endcond these are replaced wit C-style end and begin comments (and code in between is correctly removed). The C-Style comments should not be placed as they are not understood in a markdown context (analogous to what happens in Fortram, Pythone etc.). Note: in principle @cond should not be used as a markdown file is one "comment" block and thus @if should be used, though in any case no unwanted characters should be added.
* Bug 752712 - last entry missing in a @name group of typedefsDimitri van Heesch2015-07-261-0/+8
|
* drop #include <unistd.h>Adrian Negreanu2015-05-151-3/+2
| | | | Signed-off-by: Adrian Negreanu <adrian.m.negreanu@intel.com>
* Bug 746614 - Bogus warning regarding nested commentsalbert-github2015-03-221-0/+1
| | | | | In case the previous file gives a warning regarding the wrong used nested comments and the next file does not have any doxygen comment there is still the message that there is a nested comment but even without probable line numbers. The variable counting the nested comment level was not reset, this has been corrected with this patch.
* Bump copyright yearDimitri van Heesch2015-02-261-1/+1
|
* Bug 735584 - Reached end of file while still insided a (nested) comment in ↵Dimitri van Heesch2014-12-191-1/+1
| | | | Markdown
* Fixed problem finding \enduml when using /// style comments.Dimitri van Heesch2014-09-261-2/+9
|
* Bug 731947 - Support for PlantUMLDimitri van Heesch2014-08-101-3/+3
|
* Updated copyrightDimitri van Heesch2014-02-111-1/+1
|
* Fixed memory leak in nested comment administrationDimitri van Heesch2014-02-031-4/+4
|
* Add line numbers in case comment is not closed properly.albert-github2014-01-261-1/+40
| | | | | This bug fix is an extension to the bug fix: Add warning when encountering a nested comment start (/*) without matching end (*/). It is quite hard to find the place in the source file where the problem occurs. This bug fix helps to locate part of the problems, it is not perfect it only gives the starting comment tags that give a problem (other problems also occur e.g. when // is followed by */).
* Bug 683115 - Command ignored when using umlauts in markdown and also ↵Dimitri van Heesch2014-01-081-2/+2
| | | | C-comments in markdown didn't work properly
* Add warning when encountering a nested comment start (/*) without matching ↵Dimitri van Heesch2014-01-081-0/+5
| | | | end (*/).
* Bug 721032 - Trouble with /cond /endcondDimitri van Heesch2013-12-251-1/+1
|
* Debug output for lexical analyzerAlbert2013-11-131-0/+2
| | | | | | | | | | | | | | In case of error messages like: input buffer overflow, can't enlarge buffer because scanner uses REJECT it is not always directly clear from which lexical analyzer (.l file) this problem comes. This patch helps to find these problems and does the following things: - when using the option -d lex with doxygen each time a lexical analyzer is called at the start a line like the following line will be given: Entering lexical analyzer: pre.l (for: ..../file.c) and at the end: Finished lexical analyzer: pre.l (for: ..../file.c) - in case the lexical analyzer has been translated with the -d option of lex / flex the above mentioned lines will be given as part of the lexical analyzer output (to stderr) and look like: --entering lexical analyzer: pre.l (for: ..../file.c) --finished lexical analyzer: pre.l (for: ..../file.c)
* Removed redundant backslashes in regexp patternDimitri van Heesch2013-11-111-7/+7
|
* Merge branch 'master' of github.com:doxygen/doxygenDimitri van Heesch2013-11-111-3/+6
|\
| * Bug 711787 - Long initialization line in C stops doxygenAlbert2013-11-101-3/+6
| | | | | | | | An extra "breakpoint" in the input string has been created in the form of a , (comma), so for initialization lines the line will be shorter and the , (comma) will be copied later on.
* | Bug 711723 - Comment /**/ confuses doxygenDimitri van Heesch2013-11-111-0/+3
|/
* Bug 709706 - Terminating C comments within markdown files breaks aliasesDimitri van Heesch2013-10-121-3/+23
|
* Bug 701919 - VHDL cross-references fail when unpaired apostrophe presentDimitri van Heesch2013-08-041-1/+4
|
* Release-1.8.3.1-20130402Dimitri van Heesch2013-04-021-22/+4
|
* Release-1.8.3.1-20130324Dimitri van Heesch2013-03-241-1/+2
|
* Release-1.8.3.1-20130209Dimitri van Heesch2013-02-091-2/+2
|
* Release-1.8.3.1Dimitri van Heesch2013-01-201-17/+104
|
* Release-1.8.3Dimitri van Heesch2012-12-261-17/+30
|
* Release-1.8.2-20121118Dimitri van Heesch2012-11-181-15/+9
|
* Release-1.8.2-20120930Dimitri van Heesch2012-09-301-6/+35
|
* Release-1.8.2Dimitri van Heesch2012-08-111-1/+24
|
* Release-1.8.1.2-20120729Dimitri van Heesch2012-07-291-0/+2
|
* Release-1.8.1.2Dimitri van Heesch2012-07-121-58/+2
|
* Release-1.8.1.1Dimitri van Heesch2012-06-101-2/+3
|
* Release-1.8.0-20120408Dimitri van Heesch2012-04-081-1/+8
|
* Release-1.8.0Dimitri van Heesch2012-02-251-4/+14
|