summaryrefslogtreecommitdiffstats
path: root/src/vhdldocgen.h
Commit message (Collapse)AuthorAgeFilesLines
* Refactoring: remove implicit conversion from QCString to const char *Dimitri van Heesch2021-04-221-11/+10
| | | | | | | | | | | | | | | | | This commit changes the following in relation to string use - The implicit convert from 'QCString' to 'const char *' is removed - Strings parameters use 'const QCString &' as much as possible in favor over 'const char *' - 'if (s)' where s is a QCString has been replaced by 'if(!s.isEmpty())' - data() now always returns a valid C-string and not a 0-pointer. - when passing a string 's' to printf and related functions 'qPrint(s)' is used instead of 's.data()' - for empty string arguments 'QCString()' is used instead of '0' - The copy() operation has been removed - Where possible 'qstrcmp(a,b)==0' has been replaces by 'a==b' and 'qstrcmp(a,b)<0' has been replaced by 'a<b' - Parameters of string type that were default initialized with '= 0' are no initialized with '= QCString()'
* Refactoring: Add TextStream buffer to improve output writing performanceDimitri van Heesch2021-03-281-11/+12
| | | | | - direct use of std::stringstream and std::ostream gave a 30% drop in performance.
* Refactoring: make qcstring.h a local includeDimitri van Heesch2021-03-261-2/+2
|
* Refactoring: replace QFile/FTextStream with fstream/stringstreamDimitri van Heesch2021-03-181-12/+11
|
* Refactoring: replace QRegExp by std::regex in vhdldocgen.cppDimitri van Heesch2021-02-201-1/+1
|
* Fix size_t related compiler errors & warnings for win64Dimitri van Heesch2021-01-221-8/+7
|
* Refactoring: modernize vhdldocgenDimitri van Heesch2021-01-221-13/+10
|
* Refactoring: modernize MemberListDimitri van Heesch2021-01-221-3/+3
|
* Refactoring: replace ClassSDict by ClassLinkedMap/ClassLinkedRefMapDimitri van Heesch2020-12-191-2/+2
|
* Refactoring: replace QDict by std::set for classifying keywords in vhdldocgenDimitri van Heesch2020-11-291-1/+1
|
* Refactoring: Introduce immutable and mutable interfacesDimitri van Heesch2020-11-091-5/+6
| | | | | | Split Definition/ClassDef/NamespaceDef/MemberDef into a immutable and mutable part Aliases are immutable, other symbols are stored using an immutable interface but can be made mutable explicitly by dynamic casting.
* Replaced FileNameDict/FileNameList by FileNameLinkedMapDimitri van Heesch2020-04-061-20/+19
|
* vhdl-fixed-bug-7432hake2020-02-041-1/+1
|
* Make VHDL parser reentrantDimitri van Heesch2020-01-031-0/+27
|
* Coverity uninitializedalbert-github2019-12-021-4/+4
| | | | Fixing a number of uninitialized variables based on the coverity output of November 30, 2019
* Spelling corrections for src directoryalbert-github2019-11-051-1/+0
| | | | | | | | Spelling corrections as found by codespell and in #561. Some reported problems were already fixed, others are fixed here, with some exceptions (a,o.): - "referenceby" in defgen.cpp as this is in the output and I cannot oversee the consequences (looks like none, but ...) - "HANGEUL_CHARSET" left as is as in some MS documentation is written: 'HANGUL_CHARSET: Also spelled "Hangeul". Specifies the Hangul Korean character set.' (https://docs.microsoft.com/en-us/openspecs/windows_protocols/ms-wmf/0d0b32ac-a836-4bd2-a112-b6000a1b4fc9).
* Changed ArgumentList to be an STL containerDimitri van Heesch2019-10-271-12/+10
|
* Improved const correctness and added support for inline namespacesDimitri van Heesch2019-04-211-9/+11
|
* Add VHDL strings to Translator class and add german translations.Andreas Regel2018-01-081-26/+0
|
* Replace calls to trTypeString with trVhdlType in single mode, which is the ↵Andreas Regel2018-01-081-1/+0
| | | | | | default. Remove now unused method trTypeString.
* Cleanup: removed redundant =NULL from interfaces, or replaced by =0 where it ↵Dimitri van Heesch2016-11-281-1/+1
| | | | was needed.
* Bug 758495 - Bug in VHDL parser + other fixesDimitri van Heesch2015-12-201-0/+3
|
* Bump copyright yearDimitri van Heesch2015-02-261-1/+1
|
* Bug 736992 - Member functions omitted from tagfileDimitri van Heesch2014-09-221-0/+1
|
* Vhdl fixesDimitri van Heesch2014-08-111-3/+2
|
* New VHDL parser implementationDimitri van Heesch2014-08-021-115/+185
|
* Replaced the VHDL parser with the VHDL scanner from 1.7.5 to avoid potential ↵Dimitri van Heesch2014-05-181-184/+114
| | | | licensing issues
* Updated copyrightDimitri van Heesch2014-02-111-1/+1
|
* Release-1.8.3.1-20130402Dimitri van Heesch2013-04-021-14/+3
|
* Release-1.8.3.1-20130324Dimitri van Heesch2013-03-241-3/+12
|
* Release-1.8.3.1-20130209Dimitri van Heesch2013-02-091-2/+5
|
* Release-1.8.3.1Dimitri van Heesch2013-01-201-31/+29
|
* Release-1.8.2-20121118Dimitri van Heesch2012-11-181-65/+42
|
* Release-1.8.2-20120930Dimitri van Heesch2012-09-301-0/+95
|
* Release-1.8.1.1Dimitri van Heesch2012-06-101-17/+4
|
* Release-1.8.0-20120429Dimitri van Heesch2012-04-291-0/+3
|
* Release-1.8.0Dimitri van Heesch2012-03-171-3/+2
|
* Release-1.8.0Dimitri van Heesch2012-02-251-1/+1
|
* Release-1.7.6.1-20120220Dimitri van Heesch2012-02-201-1/+2
|
* Release-1.7.6.1-20120110Dimitri van Heesch2012-01-101-27/+13
|
* Release-1.7.6.1-20111226Dimitri van Heesch2011-12-261-0/+2
|
* Release-1.7.5.1-20111117Dimitri van Heesch2011-11-171-43/+59
|
* Release-1.7.4Dimitri van Heesch2011-03-281-3/+8
|
* Release-1.7.3-20110123Dimitri van Heesch2011-01-231-1/+1
|
* Release-1.6.3Dimitri van Heesch2010-02-211-1/+1
|
* Release-1.6.2-20100124Dimitri van Heesch2010-01-241-1/+1
|
* Release-1.6.1-20091004Dimitri van Heesch2009-10-041-1/+1
|
* Release-1.5.9-20090522Dimitri van Heesch2009-05-221-1/+1
|
* Release-1.5.7Dimitri van Heesch2008-09-281-6/+18
|
* Release-1.5.6-20080727Dimitri van Heesch2008-07-271-1/+0
|