summaryrefslogtreecommitdiffstats
path: root/vhdlparser/vhdlparser.jj
Commit message (Collapse)AuthorAgeFilesLines
* Warnings in generated VhdlParser.ccalbert-github2020-11-061-1/+1
| | | | | | | When compiling the generated VhdlParser.cc we get a number of warnings about the return type, these are no problems as they all follow an assert(false) but when "promoting" the missing to return type warning to an error (openSUSE) this is a problem. The best way would be that the problem is solved in javaCC though this will be probably a long process, so we use the workaround to overcome the problem. (The problem was detected as part of the reproducibility tests for openSUSE, see #8158)
* Vhdl improvements (ALIAS, translation) (#7813)powARman2020-08-031-1/+1
| | | | | | | | | | | | | * Support VHDL alias constructs. * Translate class to "Design Unit" for VHDL. * Fix compile error * Add new function trDesignUnitDocumentation() to translator. Adapt english and german translation to use the new function. Co-authored-by: Andreas Regel <andreas.regel@newayselectronics.com>
* missing instance-reworkhake2020-06-031-1/+1
|
* fixed if-generate-bug 7721hake2020-05-111-15/+15
|
* Fix 6342: Applying provided patchJonas Fingerling2020-03-261-2/+3
|
* vhdl-fixed-bug-7432hake2020-02-041-36/+35
|
* fixed some parser bugs,make parser ready for javacc 7.0.5hake2020-01-241-65/+111
|
* Make VHDL parser reentrantDimitri van Heesch2020-01-031-397/+420
|
* issue #7436 Incorrect handling of block comments in VHDLalbert-github2019-12-111-6/+6
| | | | | | The search for `/*` or /*!` ended at the last `*/` in a file and thus skipping other intermediate block end and new starts. Also the intermediate code was lost see as comment. The filter pattern used was incorrect and should have been `<"/*" (~["*"])* "*" ("*" | ~["*","/"] (~["*"])* "*")* "/">` (thanks to https://javacc.org/contrib/C.jj). Here also the space plus one or more `*` at the end beginning of the line are still incorporated as well as multiple `*` before the colosing `*/` this is also filtered.
* Merge pull request #7334 from albert-github/feature/issue_7313_vhdl_subtypeDimitri van Heesch2019-12-101-0/+3
|\ | | | | issue #7313 VHDL attribute 'subtype is not supported and breaks parser
| * issue #7313 VHDL attribute 'subtype is not supported and breaks parseralbert-github2019-10-181-0/+3
| | | | | | | | Add the `'subtype` construct for variables etc.
* | Changed std::unique_ptr<Entry> to std::shared_ptr<Entry> at avoid use after ↵Dimitri van Heesch2019-12-081-3/+2
| | | | | | | | free issues
* | Spelling corrections for vhdl directoryalbert-github2019-11-041-1/+1
|/ | | | | | | | Spelling corrections as found by codespell and in #561. `assume_guarentee` is not a vhdl keyword, `assume_guarantee` is. A number of spelling errors are in generated code (through javacc) and have been reported upstrean (https://github.com/javacc/javacc/pull/118) Corection of `guarded_signal_specificatio` to `guarded_signal_specification` is not possible as `guarded_signal_specification` exists as well.
* Discrepancy between vhdl input and generated sourcesalbert-github2019-10-171-2/+2
| | | | | | The generated vhdl code files (.cc and .h) and didn't match the vhdl input source (vhdlpardser.jj). When regenerating with javacc the vhdl code file they didn't compile. vhdlparser.jj has been corrected.
* Use smartpointers to manage the lifetime of Entry objectsDimitri van Heesch2019-10-071-11/+11
|
* Bug 691689 - Line numbers for examplesDimitri van Heesch2018-08-191-3/+3
|
* add decimal to base identifierogre2017-05-101-1/+1
|
* fixes vhdl literal bugogre2017-05-091-1/+3
|
* Bug fix for rendering the VHDL Hierarchy (thanks to a patch by Martin Kreis)Dimitri van Heesch2016-06-051-4/+12
|
* Changed configuration mechanism to directly access options in order to ↵Dimitri van Heesch2016-01-171-2/+2
| | | | improve performance
* Bug 758495 - Bug in VHDL parser + other fixesDimitri van Heesch2015-12-201-3/+3
|
* Various VHDL related fixesDimitri van Heesch2015-04-271-21/+51
|
* Various VHDL related fixesDimitri van Heesch2015-01-021-9/+15
|
* Fixed a couple of cases where sharing string data could lead to corruptionDimitri van Heesch2015-01-021-1/+1
| | | | | Also made dangerous string access more visible by introducing rawData(). This replaces data() which will now return a constant string.
* Various VHDL fixesDimitri van Heesch2014-11-061-558/+571
|
* Bug 735767 - [PATCH] Fix potential copy and paste issue in ↵Dimitri van Heesch2014-09-231-1/+1
| | | | vhdlparser/VhdlParser.cc
* Vhdl fixesDimitri van Heesch2014-08-111-93/+151
|
* New VHDL parser implementationDimitri van Heesch2014-08-021-0/+2758