From 98302da8f020c339960617761a8e5a4dd602f5ca Mon Sep 17 00:00:00 2001 From: albert-github Date: Sun, 8 Mar 2020 12:41:56 +0100 Subject: Function definitions at begin of a line When we have an Fortran source that needs preprocessing like: ``` INTEGER FUNCTION & BI() END FUNCTION BI ``` the preprocessor will output: ``` 00001 INTEGER FUNCTION & 00002 00003 END FUNCTION BI ``` we see that the function name (and argumentlist (`BI()`) are gone, resulting in the error: ``` Error in file .../test.F90 line: 4, state: 4(SubprogBody) ``` The original problem came from the `BIND` attribute (as found by Fossies in the HDF5 package), but the example has been reduced to the above example. --- src/pre.l | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/src/pre.l b/src/pre.l index 648c2c5..43de171 100644 --- a/src/pre.l +++ b/src/pre.l @@ -376,6 +376,7 @@ struct preYY_state bool skip; QStack condStack; bool insideCS; // C# has simpler preprocessor + bool insideFtn; bool isSource; int fenceSize = 0; @@ -495,7 +496,7 @@ CHARLIT (("'"\\[0-7]{1,3}"'")|("'"\\."'")|("'"[^'\\\n]{1,4}"'")) name=name.left(name.find('(')).stripWhiteSpace(); Define *def=0; - if (skipFuncMacros && + if (skipFuncMacros && !yyextra->insideFtn && name!="Q_PROPERTY" && !( (yyextra->includeStack.isEmpty() || yyextra->curlyCount>0) && @@ -1711,6 +1712,7 @@ static void setFileName(yyscan_t yyscanner,const char *name) // name,state->yyFileName.data(),state->yyFileDef); if (state->yyFileDef && state->yyFileDef->isReference()) state->yyFileDef=0; state->insideCS = getLanguageFromFileName(state->yyFileName)==SrcLangExt_CSharp; + state->insideFtn = getLanguageFromFileName(state->yyFileName)==SrcLangExt_Fortran; state->isSource = guessSection(state->yyFileName); } -- cgit v0.12 From f4a10139c6652a122912754ccc0b453e703c92bf Mon Sep 17 00:00:00 2001 From: albert-github Date: Wed, 25 Mar 2020 18:40:49 +0100 Subject: Incorrect determination of fixed form Fortran When we have the following small example, we see that the word `subroutine` doesn't start in column 7 but in column 8. ``` subroutine expan() c2345678 " " lb " " " end ``` so the code is not converted from fixed form to free form Fortran and in the example (due to the odd number of double quoutes) result in: ``` ******************************************************************** Error in file D:/speeltuin/bug_ftn_quote/small.f line: 5, state: 22(String) ******************************************************************** ``` The condition regarding the column number was to restrictive. --- src/fortranscanner.l | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/src/fortranscanner.l b/src/fortranscanner.l index df4941d..852c4d9 100644 --- a/src/fortranscanner.l +++ b/src/fortranscanner.l @@ -1496,7 +1496,6 @@ void truncatePrepass(yyscan_t yyscanner,int index) } // simplified way to know if this is fixed form -// duplicate in fortrancode.l bool recognizeFixedForm(const char* contents, FortranFormat format) { int column=0; @@ -1532,7 +1531,7 @@ bool recognizeFixedForm(const char* contents, FortranFormat format) break; default: if (skipLine) break; - if (column==7) return TRUE; + if (column>=7) return TRUE; return FALSE; } } -- cgit v0.12 From 323f983a7b3b76cd309acbc743391a9e6829c244 Mon Sep 17 00:00:00 2001 From: Jonas Fingerling Date: Thu, 26 Mar 2020 11:59:42 +0100 Subject: Fix 6342: Applying provided patch --- vhdlparser/CharStream.h | 4 +- vhdlparser/VhdlParser.cc | 770 ++++---- vhdlparser/VhdlParser.h | 4615 +++++++++++++++++++++++++--------------------- vhdlparser/vhdlparser.jj | 5 +- 4 files changed, 2885 insertions(+), 2509 deletions(-) diff --git a/vhdlparser/CharStream.h b/vhdlparser/CharStream.h index a955d8e..bdfc48c 100644 --- a/vhdlparser/CharStream.h +++ b/vhdlparser/CharStream.h @@ -203,7 +203,7 @@ public: tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false), available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true), inputStream(nullptr), deleteStream(false) { - ReInit(input_stream, startline, startcolumn, buffersize); + ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE); } CharStream(ReaderStream *input_stream, int startline, int startcolumn) : @@ -268,4 +268,4 @@ protected: } #endif -/* JavaCC - OriginalChecksum=c5b4b2e72393f865547f405cc9def169 (do not edit this line) */ +/* JavaCC - OriginalChecksum=c036d83020b072972d6b8ef26a294af9 (do not edit this line) */ diff --git a/vhdlparser/VhdlParser.cc b/vhdlparser/VhdlParser.cc index ab38310..9a6d097 100644 --- a/vhdlparser/VhdlParser.cc +++ b/vhdlparser/VhdlParser.cc @@ -4,17 +4,17 @@ namespace vhdl { namespace parser { unsigned int jj_la1_0[] = { -0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x90404000,0x20080000,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x90404000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x40000000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x40020000,0x40020001,0x0,0x0,0x0,0x40000000,0xd0020000,0x0,0x0,0x800000,0x0,0x0,0x80004000,0x400000,0x0,0x0,0x80404000,0x0,0x0,0x0,0x0,0x8000,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x10000,0x0,0x10800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x10000000,0x0,0x50000000,0x8000,0x80000000,0x0,0x80000000,0x80000000,0x4000000,0x8000000,0x0,0x0,0x20000,0x0,0x0,0x0,0x0,0x800,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x80004000,0x0,0x80004000,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x0,0x90404000,0x0,0x0,0x0,0x40000000,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x0,0x0,0x20000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x8000000,0x0,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x8000,0x0,0x0,0x41000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x2000,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90c04000,0x20080000,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,}; +0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x90404000,0x20080000,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x90404000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x40000000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x40020000,0x40020001,0x0,0x0,0x0,0x40000000,0xd0020000,0x0,0x0,0x800000,0x0,0x0,0x80004000,0x400000,0x0,0x0,0x80404000,0x0,0x0,0x0,0x0,0x8000,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x10000,0x0,0x10800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x10000000,0x0,0x50000000,0x8000,0x80000000,0x0,0x80000000,0x80000000,0x4000000,0x8000000,0x0,0x0,0x20000,0x0,0x0,0x0,0x0,0x800,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x80004000,0x0,0x80004000,0x0,0x0,0x0,0x0,0x0,0x0,0x90004000,0x400000,0x0,0x0,0x90404000,0x0,0x0,0x0,0x40000000,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x20080000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x0,0x0,0x20000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x8000000,0x0,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x0,0x0,0x0,0x0,0x0,0x20080000,0x0,0x8000,0x0,0x0,0x41000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x2000,0x0,0x80004000,0x400000,0x0,0x80404000,0x0,0x400000,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x90c04000,0x20080000,0x0,0x0,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,}; unsigned int jj_la1_1[] = { -0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x125808,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x0,0x0,0x1000,0x104800,0x1008,0x20000,0x125808,0x10000,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x1000,0x1000000,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x20000,0x1000,0x0,0x4000001,0x0,0x400000,0x4000101,0x4000101,0x0,0x10,0x0,0x100,0x12024900,0x0,0x0,0x0,0x100,0x0,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x200000,0x0,0x0,0x0,0x81000,0x0,0x0,0x0,0x0,0x0,0x40,0x20,0x0,0x0,0x0,0x0,0x0,0x100,0x0,0x800,0x0,0x0,0x0,0x8a00000,0x0,0x0,0x1000,0x0,0x0,0x0,0x1000,0x0,0x0,0x8a00000,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x40000,0x400000,0x0,0x0,0x104800,0x20000,0x124800,0x10000,0x10000,0x0,0x0,0x10000,0x10000,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x0,0x0,0x100,0x1,0x0,0x104800,0x0,0x20000,0x124800,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x20081200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x104000,0x1000000,0x1104800,0x0,0x20000,0x1124800,0x4000,0x0,0x100000,0x100000,0x0,0x104000,0x20081200,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000,0x0,0x0,0x0,0x0,0x1104800,0x0,0x20000,0x1124800,0x0,0x104000,0x104000,0x4000001,0x1,0x0,0x4000001,0x10000,0x10000,0x10000,0x0,0x0,0x125808,0x0,0x20,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x20,0x0,0x200000,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x200000,0x0,0x0,0x0,0x200000,0x0,0x20,0x104000,0x100000,0x100000,0x0,0x1000000,0x0,0x0,0x104000,}; +0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x125808,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x0,0x0,0x1000,0x104800,0x1008,0x20000,0x125808,0x10000,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x10000,0x1000,0x1000000,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x20000,0x1000,0x0,0x4000000,0x1,0x0,0x400000,0x4000101,0x4000101,0x0,0x10,0x0,0x100,0x12024900,0x0,0x0,0x0,0x100,0x0,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x10000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x200000,0x0,0x0,0x0,0x81000,0x0,0x0,0x0,0x0,0x0,0x40,0x20,0x0,0x0,0x0,0x0,0x0,0x100,0x0,0x800,0x0,0x0,0x0,0x8a00000,0x0,0x0,0x1000,0x0,0x0,0x0,0x1000,0x0,0x0,0x8a00000,0x80000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x800,0x40000,0x400000,0x0,0x0,0x104800,0x20000,0x124800,0x10000,0x10000,0x0,0x0,0x10000,0x10000,0x104800,0x8,0x20000,0x0,0x124808,0x0,0x0,0x0,0x100,0x1,0x0,0x104800,0x0,0x20000,0x124800,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x20081200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x104000,0x1000000,0x1104800,0x0,0x20000,0x1124800,0x4000,0x0,0x100000,0x100000,0x0,0x104000,0x20081200,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000,0x0,0x0,0x0,0x0,0x1104800,0x0,0x20000,0x1124800,0x0,0x104000,0x104000,0x0,0x4000001,0x1,0x0,0x4000001,0x10000,0x10000,0x10000,0x0,0x0,0x125808,0x0,0x20,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x400000,0x20,0x0,0x200000,0x0,0x0,0x0,0x0,0x0,0x400000,0x0,0x200000,0x0,0x0,0x0,0x200000,0x0,0x20,0x104000,0x100000,0x100000,0x0,0x1000000,0x0,0x0,0x104000,}; unsigned int jj_la1_2[] = { -0x0,0x100,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2,0x0,0x0,0x110000,0x28000,0x0,0x20000000,0x0,0x0,0x0,0x200000,0x200000,0x0,0x0,0x0,0x4000,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x4000,0x4000,0x0,0x0,0x0,0x0,0x28000,0x0,0x0,0x0,0x0,0x400,0x0,0x4000,0x0,0x0,0x0,0x4000,0x0,0x4000,0x400000,0x8000,0x8000,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000000,0x1000,0x1000,0x0,0x0,0x0,0x100,0x11000,0x0,0x0,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x4000,0x0,0x400,0x8000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x209,0x209,0x0,0x23b,0x100,0x100,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x23b,0x0,0x0,0x0,0x400,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x1000,0x20,0x0,0x0,0x0,0x10,0x800,0x10000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x1000,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x0,0x0,0x1000,0x0,0x110000,0x0,0x0,0x110000,0x0,0x8000,0x0,0x0,0x0,0x8000,0x0,0x20000024,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x23b,0x0,0x200000,0x1000,0x0,0x0,0x20,0xc0000000,0xc0000000,0x0,0x1000000,0x0,0x800000,0x0,0x800000,0x0,0x400,0x0,0x0,0x0,0x0,0x10000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x110000,0x10000,0x0,0x100000,0x100000,0x0,0x110000,0x20000024,0x0,0x0,0x0,0x0,0x600000,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x23b,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x110000,0x110000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x110000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x800,0x23b,0x0,0x0,0x0,0x0,0x1000000,0x0,0x800,0x0,0x0,0x0,0x800,0x0,0x0,0x110000,0x100000,0x100000,0x0,0x0,0x2000,0x0,0x138000,}; +0x0,0x100,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2,0x0,0x0,0x110000,0x28000,0x0,0x20000000,0x0,0x0,0x0,0x200000,0x200000,0x0,0x0,0x0,0x4000,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x4000,0x4000,0x0,0x0,0x0,0x0,0x28000,0x0,0x0,0x0,0x0,0x400,0x0,0x4000,0x0,0x0,0x0,0x4000,0x0,0x4000,0x400000,0x8000,0x8000,0x8000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000000,0x1000,0x1000,0x0,0x0,0x0,0x100,0x11000,0x0,0x0,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x4000,0x0,0x400,0x8000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x209,0x209,0x0,0x23b,0x100,0x100,0x800,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x23b,0x0,0x0,0x0,0x400,0x0,0x0,0x0,0x0,0x800,0x0,0x0,0x0,0x1000,0x20,0x0,0x0,0x0,0x10,0x800,0x10000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x1000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x1000,0x0,0x0,0x0,0x110000,0x0,0x0,0x1000,0x111000,0x0,0x0,0x0,0x0,0x1000,0x0,0x110000,0x0,0x0,0x110000,0x0,0x8000,0x0,0x0,0x0,0x8000,0x0,0x20000024,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x23b,0x0,0x200000,0x1000,0x0,0x0,0x20,0xc0000000,0xc0000000,0x0,0x1000000,0x0,0x800000,0x0,0x800000,0x0,0x400,0x0,0x0,0x0,0x0,0x10000,0x0,0x110000,0x0,0x110000,0x0,0x0,0x110000,0x10000,0x0,0x100000,0x100000,0x0,0x110000,0x20000024,0x0,0x0,0x0,0x0,0x600000,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x23b,0x0,0x0,0x110000,0x0,0x0,0x110000,0x0,0x110000,0x110000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x110000,0x28000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1000000,0x0,0x0,0x800,0x23b,0x0,0x0,0x0,0x0,0x1000000,0x0,0x800,0x0,0x0,0x0,0x800,0x0,0x0,0x110000,0x100000,0x100000,0x0,0x0,0x2000,0x0,0x138000,}; unsigned int jj_la1_3[] = { -0x0,0x0,0x0,0x0,0x40000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x188830,0x8000000,0x0,0x0,0x8,0x0,0x0,0x0,0x0,0x0,0x80000,0x0,0x0,0x80000,0x0,0x108830,0x80000,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x80000,0x80000,0x0,0x0,0x80000,0x0,0x4000,0x80000,0x80000,0x0,0x2000,0x0,0x0,0x128810,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x30000000,0x30000000,0x0,0x30000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x30000000,0x0,0x0,0x0,0x0,0x108030,0x0,0x100030,0x100030,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x108030,0x0,0x4000,0x0,0x0,0x188820,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x0,0x0,0x0,0x8,0x0,0x30000000,0x20000,0x0,0x0,0x0,0x0,0x0,0x3c0,0x3c0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x8000000,0x20,0x0,0x0,0x0,0x40000,0x0,0x0,0x30010000,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x80000,0x80000,0x80000,0x0,0x0,0x80000,0x0,0x0,0x0,0x2000000,0x0,0x188830,0x8000000,0x0,0x0,0x100010,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x2000000,0x0,0x30000000,0x8000000,0x0,0x0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,}; +0x0,0x0,0x0,0x0,0x40000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x188830,0x8000000,0x0,0x0,0x8,0x0,0x0,0x0,0x0,0x0,0x80000,0x0,0x0,0x80000,0x0,0x108830,0x80000,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x0,0x80000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x8000000,0x0,0x0,0x2000000,0x0,0x0,0x80000,0x80000,0x0,0x0,0x80000,0x0,0x0,0x4000,0x80000,0x80000,0x0,0x2000,0x0,0x0,0x128810,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x30000000,0x30000000,0x0,0x30000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x30000000,0x0,0x0,0x0,0x0,0x108030,0x0,0x100030,0x100030,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x4000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x108030,0x0,0x4000,0x0,0x0,0x188820,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x108830,0x80000,0x0,0x0,0x188830,0x0,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x0,0x0,0x0,0x8,0x0,0x30000000,0x20000,0x0,0x0,0x0,0x0,0x0,0x3c0,0x3c0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x0,0x0,0x0,0x0,0x0,0xd000001,0x0,0x0,0x0,0x8000,0x0,0x0,0x0,0x0,0x8000000,0x20,0x0,0x0,0x0,0x40000,0x0,0x0,0x30010000,0x0,0x0,0x108820,0x80000,0x0,0x188820,0x0,0x80000,0x80000,0x0,0x80000,0x0,0x0,0x80000,0x0,0x0,0x0,0x2000000,0x0,0x188830,0x8000000,0x0,0x0,0x100010,0x0,0x0,0x0,0x0,0x0,0x4000,0x0,0x2000000,0x0,0x30000000,0x8000000,0x0,0x0,0x0,0x4000,0x0,0x0,0x0,0x0,0x0,0x0,0x2000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,}; unsigned int jj_la1_4[] = { -0x0,0x0,0x0,0x20000,0x30,0x40,0x100,0x0,0x0,0x0,0x0,0x40000,0x0,0x40001,0x0,0x0,0x0,0x0,0x40,0x0,0x40000,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x0,0x0,0x40001,0x8000000,0x0,0x0,0x0,0x0,0x10000000,0x200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x20000,0x40,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x40000,0x40000,0x40000,0x40000,0x0,0x40,0x0,0x0,0x0,0x0,0x0,0x4,0x140001,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x40000,0x40,0x0,0x40,0x0,0x0,0x0,0x0,0x40,0x140031,0x0,0x1,0x0,0x40000,0x0,0x200,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x4,0x0,0x40000008,0x40000,0x40,0x1,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x0,0x40001,0x1,0x40000,0x40000,0x7e0fc00,0x7e0fc00,0x0,0x0,0x0,0x140031,0x0,0x0,0x0,0x40,0x40,0x0,0x0,0x0,0x30,0x0,0x40001,0x0,0x80,0x0,0x40,0x40000,0x40,0x40000,0x0,0x30,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x1,0x0,0x40001,0x40000,0x0,0x40001,0x0,0x1,0x0,0x40,0x40,0x40001,0x0,0x80,0x0,0x0,0x0,0x0,0x40,0x140031,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40001,0x0,0x0,0x0,0xa0000000,0x20000000,0x0,0x1,0x40001,0x0,0x0,0x0,0x0,0x140031,0x0,0x8000000,0x40000,0x8000000,0x0,0x8000000,0x0,0x240,0x240,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x0,0x1,0x0,}; +0x0,0x0,0x0,0x20000,0x30,0x40,0x100,0x0,0x0,0x0,0x0,0x40000,0x0,0x40001,0x0,0x0,0x0,0x0,0x40,0x0,0x40000,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x0,0x0,0x40001,0x8000000,0x0,0x0,0x0,0x0,0x10000000,0x200,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x20000,0x40,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x40000,0x40000,0x40000,0x40000,0x0,0x40,0x0,0x0,0x0,0x0,0x0,0x4,0x140001,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x40000,0x40,0x0,0x40,0x0,0x0,0x0,0x0,0x40,0x140031,0x0,0x1,0x0,0x40000,0x0,0x200,0x0,0x0,0x0,0x0,0x80,0x0,0x0,0x0,0x0,0x0,0x0,0x4,0x0,0x40000008,0x40000,0x40,0x1,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x40000,0x1,0x0,0x0,0x0,0x40001,0x1,0x40000,0x40000,0x7e0fc00,0x7e0fc00,0x0,0x0,0x0,0x140031,0x0,0x0,0x0,0x40,0x40,0x0,0x0,0x0,0x30,0x0,0x40001,0x0,0x80,0x0,0x40,0x40000,0x40,0x40000,0x0,0x30,0x0,0x0,0x0,0x200,0x0,0x0,0x0,0x0,0x0,0x1,0x0,0x0,0x1,0x0,0x40001,0x40000,0x0,0x40001,0x0,0x1,0x0,0x40,0x40,0x40001,0x0,0x80,0x0,0x0,0x0,0x0,0x40,0x140031,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40001,0x0,0x0,0x0,0xa0000000,0x20000000,0x0,0x1,0x40001,0x0,0x0,0x0,0x0,0x140031,0x0,0x8000000,0x40000,0x8000000,0x0,0x8000000,0x0,0x240,0x240,0x40,0x0,0x0,0x0,0x0,0x0,0x0,0x20000,0x0,0x0,0x1,0x0,}; unsigned int jj_la1_5[] = { -0x1420,0x0,0x180,0x0,0x0,0x0,0x0,0x2,0x3c0,0x0,0x0,0x1c0,0x0,0x200001c0,0x0,0x0,0x0,0x180,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x200001c0,0x0,0x180,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x20000000,0x20000000,0x2,0x0,0x0,0x0,0x3c0,0x1c0,0x1c0,0x3c0,0x380,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x0,0x1e0,0x0,0x180,0x0,0x3c0,0x0,0x180,0x0,0x180,0x0,0x0,0x180,0x0,0x1017e0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x180,0x0,0x180,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x180,0x180,0x0,0x180,0x1420,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x1c0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x1c0,0x1c0,0x0,0x0,0x180,0x0,0x180,0x1017e0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x1c0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x1c0,0x240,0x1c0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x200001c0,0x0,0x0,0x0,0x1,0x0,0x1,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x180,}; +0x1420,0x0,0x180,0x0,0x0,0x0,0x0,0x2,0x3c0,0x0,0x0,0x1c0,0x0,0x200001c0,0x0,0x0,0x0,0x180,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x200001c0,0x0,0x180,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x20000000,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x20000000,0x20000000,0x2,0x0,0x0,0x0,0x3c0,0x1c0,0x1c0,0x3c0,0x380,0x0,0x180,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x0,0x1e0,0x0,0x180,0x0,0x3c0,0x0,0x180,0x0,0x180,0x0,0x0,0x180,0x0,0x1017e0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x40,0x180,0x0,0x180,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x180,0x180,0x0,0x180,0x1420,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x1c0,0x0,0x0,0x0,0x180,0x1c0,0x0,0x1c0,0x1c0,0x0,0x0,0x180,0x0,0x180,0x1017e0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x1c0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x1c0,0x240,0x1c0,0x0,0x0,0x0,0x0,0x0,0x1c0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x180,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x200001c0,0x0,0x0,0x0,0x1,0x0,0x1,0x0,0x1c0,0x0,0x0,0x0,0x0,0x1017e0,0x0,0x0,0x1c0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x180,0x0,0x0,0x0,0x180,}; /** Constructor with user supplied TokenManager. */ @@ -2309,16 +2309,26 @@ void VhdlParser::context_item() { } break; } - case CONTEXT_T:{ - if (!hasError) { - context_ref(); - } - break; - } default: jj_la1[66] = jj_gen; - jj_consume_token(-1); - errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; + if (jj_2_30(2147483647)) { + if (!hasError) { + context_declaration(); + } + } else { + switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) { + case CONTEXT_T:{ + if (!hasError) { + context_ref(); + } + break; + } + default: + jj_la1[67] = jj_gen; + jj_consume_token(-1); + errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; + } + } } } @@ -2360,7 +2370,7 @@ s.prepend(" reject "); break; } default: - jj_la1[67] = jj_gen; + jj_la1[68] = jj_gen; ; } } @@ -2373,7 +2383,7 @@ return s+" inertial "; break; } default: - jj_la1[68] = jj_gen; + jj_la1[69] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -2407,7 +2417,7 @@ void VhdlParser::design_file() { break; } default: - jj_la1[69] = jj_gen; + jj_la1[70] = jj_gen; goto end_label_14; } } @@ -2425,7 +2435,7 @@ void VhdlParser::design_file() { break; } default: - jj_la1[70] = jj_gen; + jj_la1[71] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -2464,7 +2474,7 @@ return s; break; } default: - jj_la1[71] = jj_gen; + jj_la1[72] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -2493,7 +2503,7 @@ return tok->image.c_str(); break; } default: - jj_la1[72] = jj_gen; + jj_la1[73] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -2534,14 +2544,14 @@ void VhdlParser::guarded_signal_specificatio() { QCString VhdlParser::discrete_range() {QCString s; - if (jj_2_30(2147483647)) { + if (jj_2_31(2147483647)) { if (!hasError) { s = range(); } if (!hasError) { return s; } - } else if (jj_2_31(2147483647)) { + } else if (jj_2_32(2147483647)) { if (!hasError) { s = subtype_indication(); } @@ -2558,7 +2568,7 @@ assert(false); QCString VhdlParser::element_association() {QCString s,s1; if (!hasError) { - if (jj_2_32(2147483647)) { + if (jj_2_33(2147483647)) { if (!hasError) { s = choices(); } @@ -2633,7 +2643,7 @@ s+="("+s1+")"; break; } default: - jj_la1[73] = jj_gen; + jj_la1[74] = jj_gen; ; } } @@ -2664,7 +2674,7 @@ return tok->image.c_str(); break; } default: - jj_la1[74] = jj_gen; + jj_la1[75] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -2828,7 +2838,7 @@ return "file"; break; } default: - jj_la1[75] = jj_gen; + jj_la1[76] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -2852,7 +2862,7 @@ s+="<>"; break; } default: - jj_la1[76] = jj_gen; + jj_la1[77] = jj_gen; ; } } @@ -2878,7 +2888,7 @@ s+=s1; break; } default: - jj_la1[77] = jj_gen; + jj_la1[78] = jj_gen; goto end_label_15; } if (!hasError) { @@ -2931,7 +2941,7 @@ m_sharedState->lastEntity=m_sharedState->current; break; } default: - jj_la1[78] = jj_gen; + jj_la1[79] = jj_gen; ; } } @@ -2947,7 +2957,7 @@ m_sharedState->lastEntity=m_sharedState->current; break; } default: - jj_la1[79] = jj_gen; + jj_la1[80] = jj_gen; ; } } @@ -2963,7 +2973,7 @@ m_sharedState->lastEntity=m_sharedState->current; break; } default: - jj_la1[80] = jj_gen; + jj_la1[81] = jj_gen; ; } } @@ -3029,8 +3039,8 @@ void VhdlParser::entity_declarative_item() { break; } default: - jj_la1[81] = jj_gen; - if (jj_2_33(2147483647)) { + jj_la1[82] = jj_gen; + if (jj_2_34(2147483647)) { if (!hasError) { attribute_declaration(); } @@ -3055,8 +3065,8 @@ void VhdlParser::entity_declarative_item() { break; } default: - jj_la1[82] = jj_gen; - if (jj_2_34(3)) { + jj_la1[83] = jj_gen; + if (jj_2_35(3)) { if (!hasError) { group_template_declaration(); } @@ -3069,8 +3079,8 @@ void VhdlParser::entity_declarative_item() { break; } default: - jj_la1[83] = jj_gen; - if (jj_2_35(5)) { + jj_la1[84] = jj_gen; + if (jj_2_36(5)) { if (!hasError) { package_instantiation_declaration(); } @@ -3089,7 +3099,7 @@ void VhdlParser::entity_declarative_item() { break; } default: - jj_la1[84] = jj_gen; + jj_la1[85] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3128,7 +3138,7 @@ void VhdlParser::entity_declarative_part() { break; } default: - jj_la1[85] = jj_gen; + jj_la1[86] = jj_gen; goto end_label_16; } if (!hasError) { @@ -3153,7 +3163,7 @@ QCString VhdlParser::entity_designator() {QCString s,s1; break; } default: - jj_la1[86] = jj_gen; + jj_la1[87] = jj_gen; ; } } @@ -3175,7 +3185,7 @@ m_sharedState->currP=VhdlDocGen::GENERIC;m_sharedState->parse_sec=GEN_SEC; break; } default: - jj_la1[87] = jj_gen; + jj_la1[88] = jj_gen; ; } } @@ -3191,7 +3201,7 @@ m_sharedState->currP=VhdlDocGen::PORT; break; } default: - jj_la1[88] = jj_gen; + jj_la1[89] = jj_gen; ; } } @@ -3218,7 +3228,7 @@ QCString VhdlParser::entity_name_list() {QCString s,s1,s2; break; } default: - jj_la1[89] = jj_gen; + jj_la1[90] = jj_gen; goto end_label_17; } if (!hasError) { @@ -3257,7 +3267,7 @@ return "all"; break; } default: - jj_la1[90] = jj_gen; + jj_la1[91] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3281,11 +3291,11 @@ assert(false); void VhdlParser::entity_statement() { - if (jj_2_36(2147483647)) { + if (jj_2_37(2147483647)) { if (!hasError) { concurrent_assertion_statement(); } - } else if (jj_2_37(2147483647)) { + } else if (jj_2_38(2147483647)) { if (!hasError) { process_statement(); } @@ -3302,7 +3312,7 @@ void VhdlParser::entity_statement() { break; } default: - jj_la1[91] = jj_gen; + jj_la1[92] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3325,7 +3335,7 @@ void VhdlParser::entity_statement_part() { break; } default: - jj_la1[92] = jj_gen; + jj_la1[93] = jj_gen; goto end_label_18; } if (!hasError) { @@ -3361,7 +3371,7 @@ return s; break; } default: - jj_la1[93] = jj_gen; + jj_la1[94] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3391,7 +3401,7 @@ return s; break; } default: - jj_la1[94] = jj_gen; + jj_la1[95] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3414,7 +3424,7 @@ QCString VhdlParser::enumeration_type_definition() {QCString s,s1; break; } default: - jj_la1[95] = jj_gen; + jj_la1[96] = jj_gen; goto end_label_19; } if (!hasError) { @@ -3451,7 +3461,7 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0; break; } default: - jj_la1[96] = jj_gen; + jj_la1[97] = jj_gen; ; } } @@ -3468,7 +3478,7 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0; break; } default: - jj_la1[97] = jj_gen; + jj_la1[98] = jj_gen; ; } } @@ -3484,7 +3494,7 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0; break; } default: - jj_la1[98] = jj_gen; + jj_la1[99] = jj_gen; ; } } @@ -3518,7 +3528,7 @@ QCString VhdlParser::expression() {QCString s,s1,s2; break; } default: - jj_la1[99] = jj_gen; + jj_la1[100] = jj_gen; goto end_label_20; } if (!hasError) { @@ -3595,7 +3605,7 @@ return "or" ; break; } default: - jj_la1[100] = jj_gen; + jj_la1[101] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3644,7 +3654,7 @@ s+="**";s+=s1; break; } default: - jj_la1[101] = jj_gen; + jj_la1[102] = jj_gen; ; } } @@ -3707,7 +3717,7 @@ return s; break; } default: - jj_la1[102] = jj_gen; + jj_la1[103] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3738,7 +3748,7 @@ QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3; break; } default: - jj_la1[103] = jj_gen; + jj_la1[104] = jj_gen; ; } } @@ -3774,7 +3784,7 @@ QCString VhdlParser::file_open_information() {QCString s,s1,s2; break; } default: - jj_la1[104] = jj_gen; + jj_la1[105] = jj_gen; ; } } @@ -3791,7 +3801,7 @@ QCString VhdlParser::file_open_information() {QCString s,s1,s2; break; } default: - jj_la1[105] = jj_gen; + jj_la1[106] = jj_gen; ; } } @@ -3851,7 +3861,7 @@ return tok->image.c_str(); break; } default: - jj_la1[106] = jj_gen; + jj_la1[107] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -3890,7 +3900,7 @@ s+"("+s1+")"; break; } default: - jj_la1[107] = jj_gen; + jj_la1[108] = jj_gen; ; } } @@ -3999,7 +4009,7 @@ outlineParser()->error_skipto(GENERATE_T); break; } default: - jj_la1[108] = jj_gen; + jj_la1[109] = jj_gen; ; } } @@ -4026,7 +4036,7 @@ void VhdlParser::generate_scheme() { jj_consume_token(IF_T); } if (!hasError) { - if (jj_2_38(2)) { + if (jj_2_39(2)) { if (!hasError) { identifier(); } @@ -4043,7 +4053,7 @@ void VhdlParser::generate_scheme() { break; } default: - jj_la1[109] = jj_gen; + jj_la1[110] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4125,7 +4135,7 @@ return s; break; } default: - jj_la1[110] = jj_gen; + jj_la1[111] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4147,7 +4157,7 @@ QCString VhdlParser::group_constituent_list() {QCString s,s1,s2; break; } default: - jj_la1[111] = jj_gen; + jj_la1[112] = jj_gen; goto end_label_21; } if (!hasError) { @@ -4258,7 +4268,7 @@ return tok->image.c_str(); break; } default: - jj_la1[112] = jj_gen; + jj_la1[113] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4278,7 +4288,7 @@ QCString VhdlParser::identifier_list() {QCString str,str1; break; } default: - jj_la1[113] = jj_gen; + jj_la1[114] = jj_gen; goto end_label_22; } if (!hasError) { @@ -4312,7 +4322,7 @@ void VhdlParser::if_statement() {QCString s,s1; break; } default: - jj_la1[114] = jj_gen; + jj_la1[115] = jj_gen; ; } } @@ -4340,7 +4350,7 @@ s.prepend("if "); break; } default: - jj_la1[115] = jj_gen; + jj_la1[116] = jj_gen; goto end_label_23; } if (!hasError) { @@ -4377,7 +4387,7 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0); break; } default: - jj_la1[116] = jj_gen; + jj_la1[117] = jj_gen; ; } } @@ -4397,7 +4407,7 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0); break; } default: - jj_la1[117] = jj_gen; + jj_la1[118] = jj_gen; ; } } @@ -4442,7 +4452,7 @@ s+=s2; break; } default: - jj_la1[118] = jj_gen; + jj_la1[119] = jj_gen; goto end_label_24; } if (!hasError) { @@ -4466,7 +4476,7 @@ assert(false); QCString VhdlParser::index_specification() {QCString s; - if (jj_2_39(2147483647)) { + if (jj_2_40(2147483647)) { if (!hasError) { s = discrete_range(); } @@ -4507,7 +4517,7 @@ return s; break; } default: - jj_la1[119] = jj_gen; + jj_la1[120] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4545,7 +4555,7 @@ QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok; break; } default: - jj_la1[120] = jj_gen; + jj_la1[121] = jj_gen; ; } } @@ -4562,7 +4572,7 @@ s1="component "; return s; jj_consume_token(ENTITY_T); } if (!hasError) { - if (jj_2_40(2)) { + if (jj_2_41(2)) { if (!hasError) { jj_consume_token(BASIC_IDENTIFIER); } @@ -4597,7 +4607,7 @@ s+="(";s+=s1;s+=")" ; break; } default: - jj_la1[121] = jj_gen; + jj_la1[122] = jj_gen; ; } } @@ -4619,7 +4629,7 @@ s1="configuration ";return s; break; } default: - jj_la1[122] = jj_gen; + jj_la1[123] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4660,7 +4670,7 @@ return tok->image.c_str(); break; } default: - jj_la1[123] = jj_gen; + jj_la1[124] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4687,35 +4697,35 @@ assert(false); QCString VhdlParser::interface_declaration() {QCString s,s1; - if (jj_2_41(5)) { + if (jj_2_42(5)) { if (!hasError) { s = interface_subprogram_declaration(); } if (!hasError) { return s; } - } else if (jj_2_42(5)) { + } else if (jj_2_43(5)) { if (!hasError) { interface_package_declaration(); } if (!hasError) { return s; } - } else if (jj_2_43(5)) { + } else if (jj_2_44(5)) { if (!hasError) { s = interface_variable_declaration(); } if (!hasError) { return s; } - } else if (jj_2_44(5)) { + } else if (jj_2_45(5)) { if (!hasError) { interface_file_declaration(); } if (!hasError) { return s; } - } else if (jj_2_45(2147483647)) { + } else if (jj_2_46(2147483647)) { if (!hasError) { subprogram_declaration(); } @@ -4744,7 +4754,7 @@ if (m_sharedState->parse_sec==GEN_SEC) break; } default: - jj_la1[124] = jj_gen; + jj_la1[125] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4793,7 +4803,7 @@ QCString VhdlParser::interface_list() {QCString s,s1,s2; break; } default: - jj_la1[125] = jj_gen; + jj_la1[126] = jj_gen; goto end_label_25; } if (!hasError) { @@ -4847,7 +4857,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1= break; } default: - jj_la1[126] = jj_gen; + jj_la1[127] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -4855,7 +4865,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1= break; } default: - jj_la1[127] = jj_gen; + jj_la1[128] = jj_gen; ; } } @@ -4878,7 +4888,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1= break; } default: - jj_la1[128] = jj_gen; + jj_la1[129] = jj_gen; ; } } @@ -4894,7 +4904,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1= break; } default: - jj_la1[129] = jj_gen; + jj_la1[130] = jj_gen; ; } } @@ -4910,7 +4920,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1= break; } default: - jj_la1[130] = jj_gen; + jj_la1[131] = jj_gen; ; } } @@ -4978,7 +4988,7 @@ QCString q=m_sharedState->lab+" for "+s; break; } default: - jj_la1[131] = jj_gen; + jj_la1[132] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5018,7 +5028,7 @@ assert(false); QCString VhdlParser::library_unit() {QCString s; - if (jj_2_46(2)) { + if (jj_2_47(2)) { if (!hasError) { primary_unit(); } @@ -5038,7 +5048,7 @@ return s; break; } default: - jj_la1[132] = jj_gen; + jj_la1[133] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5048,21 +5058,21 @@ assert(false); QCString VhdlParser::literal() {QCString s; - if (jj_2_47(2147483647)) { + if (jj_2_48(2147483647)) { if (!hasError) { s = bit_string_literal(); } if (!hasError) { return s; } - } else if (jj_2_48(2147483647)) { + } else if (jj_2_49(2147483647)) { if (!hasError) { s = numeric_literal(); } if (!hasError) { return s; } - } else if (jj_2_49(2147483647)) { + } else if (jj_2_50(2147483647)) { if (!hasError) { s = enumeration_literal(); } @@ -5090,7 +5100,7 @@ return "null"; break; } default: - jj_la1[133] = jj_gen; + jj_la1[134] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5125,7 +5135,7 @@ s+=":"; break; } default: - jj_la1[134] = jj_gen; + jj_la1[135] = jj_gen; ; } } @@ -5139,7 +5149,7 @@ s+=":"; break; } default: - jj_la1[135] = jj_gen; + jj_la1[136] = jj_gen; ; } } @@ -5169,7 +5179,7 @@ if(s1.isEmpty()) break; } default: - jj_la1[136] = jj_gen; + jj_la1[137] = jj_gen; ; } } @@ -5215,7 +5225,7 @@ return "not"; break; } default: - jj_la1[137] = jj_gen; + jj_la1[138] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5271,7 +5281,7 @@ return "linkage"; break; } default: - jj_la1[138] = jj_gen; + jj_la1[139] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5318,7 +5328,7 @@ return tok->image.c_str(); break; } default: - jj_la1[139] = jj_gen; + jj_la1[140] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5334,7 +5344,7 @@ QCString VhdlParser::name() {QCString s,s1; s = operator_symbol(); } if (!hasError) { - if (jj_2_50(2147483647)) { + if (jj_2_51(2147483647)) { if (!hasError) { s1 = name_ext1(); } @@ -5355,7 +5365,7 @@ return s; s = external_name(); } if (!hasError) { - if (jj_2_51(2147483647)) { + if (jj_2_52(2147483647)) { if (!hasError) { s1 = name_ext1(); } @@ -5377,7 +5387,7 @@ return s; s = identifier(); } if (!hasError) { - if (jj_2_52(2147483647)) { + if (jj_2_53(2147483647)) { if (!hasError) { s1 = name_ext1(); } @@ -5394,7 +5404,7 @@ return s; break; } default: - jj_la1[140] = jj_gen; + jj_la1[141] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5409,7 +5419,7 @@ QCString VhdlParser::name_ext1() {QCString s,s1,s2; } if (!hasError) { while (!hasError) { - if (jj_2_53(2147483647)) { + if (jj_2_54(2147483647)) { ; } else { goto end_label_26; @@ -5430,7 +5440,7 @@ assert(false); QCString VhdlParser::name_ext() {QCString s,s1,s2; if (!hasError) { - if (jj_2_54(2147483647)) { + if (jj_2_55(2147483647)) { if (!hasError) { jj_consume_token(APOSTROPHE_T); } @@ -5440,7 +5450,7 @@ QCString VhdlParser::name_ext() {QCString s,s1,s2; if (!hasError) { s+="'subtype"; return s; } - } else if (jj_2_55(2147483647)) { + } else if (jj_2_56(2147483647)) { if (!hasError) { jj_consume_token(DOT_T); } @@ -5450,14 +5460,14 @@ s+="'subtype"; return s; if (!hasError) { s+=".";s+=s1; return s; } - } else if (jj_2_56(2147483647)) { + } else if (jj_2_57(2147483647)) { if (!hasError) { s1 = test_att_name(); } if (!hasError) { s+=s1;return s; } - } else if (jj_2_57(2147483647)) { + } else if (jj_2_58(2147483647)) { if (!hasError) { jj_consume_token(LPAREN_T); } @@ -5470,7 +5480,7 @@ s+=s1;return s; if (!hasError) { s+="(";s+=s1;s+=")";return s; } - } else if (jj_2_58(2147483647)) { + } else if (jj_2_59(2147483647)) { if (!hasError) { jj_consume_token(LPAREN_T); } @@ -5488,7 +5498,7 @@ s+="(";s+=s1; break; } default: - jj_la1[141] = jj_gen; + jj_la1[142] = jj_gen; goto end_label_27; } if (!hasError) { @@ -5520,7 +5530,7 @@ assert(false); QCString VhdlParser::test_att_name() {QCString s,s1; if (!hasError) { - if (jj_2_59(2147483647)) { + if (jj_2_60(2147483647)) { if (!hasError) { s1 = signature(); } @@ -5558,7 +5568,7 @@ s+="(";s+=s1;s+=")"; break; } default: - jj_la1[142] = jj_gen; + jj_la1[143] = jj_gen; ; } } @@ -5588,7 +5598,7 @@ s=s2+"("+s1; break; } default: - jj_la1[143] = jj_gen; + jj_la1[144] = jj_gen; goto end_label_28; } if (!hasError) { @@ -5625,7 +5635,7 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0; break; } default: - jj_la1[144] = jj_gen; + jj_la1[145] = jj_gen; ; } } @@ -5642,7 +5652,7 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0; break; } default: - jj_la1[145] = jj_gen; + jj_la1[146] = jj_gen; ; } } @@ -5658,7 +5668,7 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0; break; } default: - jj_la1[146] = jj_gen; + jj_la1[147] = jj_gen; ; } } @@ -5691,7 +5701,7 @@ s+=":"; break; } default: - jj_la1[147] = jj_gen; + jj_la1[148] = jj_gen; ; } } @@ -5707,7 +5717,7 @@ assert(false); QCString VhdlParser::numeric_literal() {QCString s; - if (jj_2_60(2147483647)) { + if (jj_2_61(2147483647)) { if (!hasError) { s = physical_literal(); } @@ -5728,7 +5738,7 @@ return s; break; } default: - jj_la1[148] = jj_gen; + jj_la1[149] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5797,7 +5807,7 @@ return "type"; break; } default: - jj_la1[149] = jj_gen; + jj_la1[150] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -5824,7 +5834,7 @@ void VhdlParser::options() { break; } default: - jj_la1[150] = jj_gen; + jj_la1[151] = jj_gen; ; } } @@ -5839,7 +5849,7 @@ void VhdlParser::options() { break; } default: - jj_la1[151] = jj_gen; + jj_la1[152] = jj_gen; ; } } @@ -5882,7 +5892,7 @@ m_sharedState->lastCompound=m_sharedState->current; break; } default: - jj_la1[152] = jj_gen; + jj_la1[153] = jj_gen; ; } } @@ -5898,7 +5908,7 @@ m_sharedState->lastCompound=m_sharedState->current; break; } default: - jj_la1[153] = jj_gen; + jj_la1[154] = jj_gen; ; } } @@ -5964,8 +5974,8 @@ void VhdlParser::package_body_declarative_item() { break; } default: - jj_la1[154] = jj_gen; - if (jj_2_61(3)) { + jj_la1[155] = jj_gen; + if (jj_2_62(3)) { if (!hasError) { group_template_declaration(); } @@ -5978,7 +5988,7 @@ void VhdlParser::package_body_declarative_item() { break; } default: - jj_la1[155] = jj_gen; + jj_la1[156] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -6008,7 +6018,7 @@ void VhdlParser::package_body_declarative_part() { break; } default: - jj_la1[156] = jj_gen; + jj_la1[157] = jj_gen; goto end_label_29; } if (!hasError) { @@ -6039,14 +6049,14 @@ void VhdlParser::package_header() {QCString s; break; } default: - jj_la1[157] = jj_gen; + jj_la1[158] = jj_gen; ; } } break; } default: - jj_la1[158] = jj_gen; + jj_la1[159] = jj_gen; ; } } @@ -6093,7 +6103,7 @@ m_sharedState->lastCompound=m_sharedState->current; break; } default: - jj_la1[159] = jj_gen; + jj_la1[160] = jj_gen; ; } } @@ -6109,7 +6119,7 @@ m_sharedState->lastCompound=m_sharedState->current; break; } default: - jj_la1[160] = jj_gen; + jj_la1[161] = jj_gen; ; } } @@ -6142,14 +6152,14 @@ void VhdlParser::geninter() { break; } default: - jj_la1[161] = jj_gen; + jj_la1[162] = jj_gen; ; } } break; } default: - jj_la1[162] = jj_gen; + jj_la1[163] = jj_gen; ; } } @@ -6217,8 +6227,8 @@ void VhdlParser::package_declarative_item() { break; } default: - jj_la1[163] = jj_gen; - if (jj_2_62(2147483647)) { + jj_la1[164] = jj_gen; + if (jj_2_63(2147483647)) { if (!hasError) { attribute_declaration(); } @@ -6243,8 +6253,8 @@ void VhdlParser::package_declarative_item() { break; } default: - jj_la1[164] = jj_gen; - if (jj_2_63(3)) { + jj_la1[165] = jj_gen; + if (jj_2_64(3)) { if (!hasError) { group_template_declaration(); } @@ -6257,8 +6267,8 @@ void VhdlParser::package_declarative_item() { break; } default: - jj_la1[165] = jj_gen; - if (jj_2_64(5)) { + jj_la1[166] = jj_gen; + if (jj_2_65(5)) { if (!hasError) { package_instantiation_declaration(); } @@ -6271,7 +6281,7 @@ void VhdlParser::package_declarative_item() { break; } default: - jj_la1[166] = jj_gen; + jj_la1[167] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -6310,7 +6320,7 @@ void VhdlParser::package_declarative_part() { break; } default: - jj_la1[167] = jj_gen; + jj_la1[168] = jj_gen; goto end_label_30; } if (!hasError) { @@ -6339,7 +6349,7 @@ assert(false); QCString VhdlParser::physical_literal() {QCString s,s1; if (!hasError) { - if (jj_2_65(2147483647)) { + if (jj_2_66(2147483647)) { if (!hasError) { s = abstract_literal(); } @@ -6377,7 +6387,7 @@ outlineParser()->addVhdlType(s.data(),t->beginLine,Entry::VARIABLE_SEC,VhdlDocGe break; } default: - jj_la1[168] = jj_gen; + jj_la1[169] = jj_gen; goto end_label_31; } if (!hasError) { @@ -6404,7 +6414,7 @@ outlineParser()->addVhdlType(s.data(),t->beginLine,Entry::VARIABLE_SEC,VhdlDocGe break; } default: - jj_la1[169] = jj_gen; + jj_la1[170] = jj_gen; ; } } @@ -6462,14 +6472,14 @@ void VhdlParser::port_map_aspect() { QCString VhdlParser::primary() {QCString s,s1; - if (jj_2_66(2147483647)) { + if (jj_2_67(2147483647)) { if (!hasError) { s = function_call(); } if (!hasError) { return s; } - } else if (jj_2_67(2147483647)) { + } else if (jj_2_68(2147483647)) { if (!hasError) { jj_consume_token(LPAREN_T); } @@ -6482,35 +6492,35 @@ return s; if (!hasError) { s="("+s1+")"; return s; } - } else if (jj_2_68(2147483647)) { + } else if (jj_2_69(2147483647)) { if (!hasError) { s = qualified_expression(); } if (!hasError) { return s; } - } else if (jj_2_69(2147483647)) { + } else if (jj_2_70(2147483647)) { if (!hasError) { s = type_conversion(); } if (!hasError) { return s; } - } else if (jj_2_70(2147483647)) { + } else if (jj_2_71(2147483647)) { if (!hasError) { s = literal(); } if (!hasError) { s.prepend(" ");return s; } - } else if (jj_2_71(2147483647)) { + } else if (jj_2_72(2147483647)) { if (!hasError) { s = name(); } if (!hasError) { return s; } - } else if (jj_2_72(2147483647)) { + } else if (jj_2_73(2147483647)) { if (!hasError) { allocator(); } @@ -6529,7 +6539,7 @@ return s; break; } default: - jj_la1[170] = jj_gen; + jj_la1[171] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -6553,12 +6563,12 @@ void VhdlParser::primary_unit() { break; } default: - jj_la1[171] = jj_gen; - if (jj_2_73(2147483647)) { + jj_la1[172] = jj_gen; + if (jj_2_74(2147483647)) { if (!hasError) { package_instantiation_declaration(); } - } else if (jj_2_74(4)) { + } else if (jj_2_75(4)) { if (!hasError) { interface_package_declaration(); } @@ -6577,7 +6587,7 @@ void VhdlParser::primary_unit() { break; } default: - jj_la1[172] = jj_gen; + jj_la1[173] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -6608,7 +6618,7 @@ s1.prepend("("); s1.append(")"); break; } default: - jj_la1[173] = jj_gen; + jj_la1[174] = jj_gen; ; } } @@ -6619,7 +6629,7 @@ assert(false); QCString VhdlParser::procedure_call_statement() {QCString s,s1; if (!hasError) { - if (jj_2_75(2)) { + if (jj_2_76(2)) { if (!hasError) { s = identifier(); } @@ -6714,8 +6724,8 @@ return s; break; } default: - jj_la1[174] = jj_gen; - if (jj_2_76(3)) { + jj_la1[175] = jj_gen; + if (jj_2_77(3)) { if (!hasError) { s = attribute_declaration(); } @@ -6743,8 +6753,8 @@ return s; break; } default: - jj_la1[175] = jj_gen; - if (jj_2_77(3)) { + jj_la1[176] = jj_gen; + if (jj_2_78(3)) { if (!hasError) { s = group_template_declaration(); } @@ -6763,7 +6773,7 @@ return s; break; } default: - jj_la1[176] = jj_gen; + jj_la1[177] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -6797,7 +6807,7 @@ QCString VhdlParser::process_declarative_part() {QCString s,s1; break; } default: - jj_la1[177] = jj_gen; + jj_la1[178] = jj_gen; goto end_label_32; } if (!hasError) { @@ -6828,7 +6838,7 @@ void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0; break; } default: - jj_la1[178] = jj_gen; + jj_la1[179] = jj_gen; ; } } @@ -6841,7 +6851,7 @@ void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0; break; } default: - jj_la1[179] = jj_gen; + jj_la1[180] = jj_gen; ; } } @@ -6877,7 +6887,7 @@ m_sharedState->currP=VhdlDocGen::PROCESS; break; } default: - jj_la1[180] = jj_gen; + jj_la1[181] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -6888,7 +6898,7 @@ m_sharedState->currP=VhdlDocGen::PROCESS; break; } default: - jj_la1[181] = jj_gen; + jj_la1[182] = jj_gen; ; } } @@ -6901,7 +6911,7 @@ m_sharedState->currP=VhdlDocGen::PROCESS; break; } default: - jj_la1[182] = jj_gen; + jj_la1[183] = jj_gen; ; } } @@ -6931,7 +6941,7 @@ if (s2.data()) break; } default: - jj_la1[183] = jj_gen; + jj_la1[184] = jj_gen; ; } } @@ -6948,7 +6958,7 @@ if (s2.data()) break; } default: - jj_la1[184] = jj_gen; + jj_la1[185] = jj_gen; ; } } @@ -6999,7 +7009,7 @@ void VhdlParser::process_statement_part() { break; } default: - jj_la1[185] = jj_gen; + jj_la1[186] = jj_gen; goto end_label_33; } if (!hasError) { @@ -7022,7 +7032,7 @@ QCString VhdlParser::qualified_expression() {QCString s,s1; s=s1+"'"; } if (!hasError) { - if (jj_2_78(2147483647)) { + if (jj_2_79(2147483647)) { if (!hasError) { s1 = aggregate(); } @@ -7047,7 +7057,7 @@ s+="(";s+=s1;s+=")"; break; } default: - jj_la1[186] = jj_gen; + jj_la1[187] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7059,7 +7069,7 @@ assert(false); QCString VhdlParser::range() {QCString s,s1,s2; - if (jj_2_79(2147483647)) { + if (jj_2_80(2147483647)) { if (!hasError) { s = simple_expression(); } @@ -7072,7 +7082,7 @@ QCString VhdlParser::range() {QCString s,s1,s2; if (!hasError) { return s+" "+s1+" "+s2; } - } else if (jj_2_80(2147483647)) { + } else if (jj_2_81(2147483647)) { if (!hasError) { s = attribute_name(); } @@ -7117,7 +7127,7 @@ void VhdlParser::record_type_definition() { break; } default: - jj_la1[187] = jj_gen; + jj_la1[188] = jj_gen; goto end_label_34; } } @@ -7141,7 +7151,7 @@ void VhdlParser::record_type_definition() { break; } default: - jj_la1[188] = jj_gen; + jj_la1[189] = jj_gen; ; } } @@ -7175,7 +7185,7 @@ QCString VhdlParser::relation() {QCString s,s1,s2; break; } default: - jj_la1[189] = jj_gen; + jj_la1[190] = jj_gen; ; } } @@ -7295,7 +7305,7 @@ return "?/="; break; } default: - jj_la1[190] = jj_gen; + jj_la1[191] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7317,7 +7327,7 @@ QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2 break; } default: - jj_la1[191] = jj_gen; + jj_la1[192] = jj_gen; ; } } @@ -7339,7 +7349,7 @@ QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2 break; } default: - jj_la1[192] = jj_gen; + jj_la1[193] = jj_gen; ; } } @@ -7371,7 +7381,7 @@ s+=":"; break; } default: - jj_la1[193] = jj_gen; + jj_la1[194] = jj_gen; ; } } @@ -7409,7 +7419,7 @@ s+=":"; break; } default: - jj_la1[194] = jj_gen; + jj_la1[195] = jj_gen; ; } } @@ -7422,7 +7432,7 @@ assert(false); QCString VhdlParser::scalar_type_definition() {QCString s,s1; - if (jj_2_81(2147483647)) { + if (jj_2_82(2147483647)) { if (!hasError) { s = enumeration_type_definition(); } @@ -7444,7 +7454,7 @@ return s; break; } default: - jj_la1[195] = jj_gen; + jj_la1[196] = jj_gen; ; } } @@ -7454,7 +7464,7 @@ return s+" "+s1; break; } default: - jj_la1[196] = jj_gen; + jj_la1[197] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7478,7 +7488,7 @@ void VhdlParser::secondary_unit() { break; } default: - jj_la1[197] = jj_gen; + jj_la1[198] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7565,7 +7575,7 @@ void VhdlParser::selected_waveforms() { break; } default: - jj_la1[198] = jj_gen; + jj_la1[199] = jj_gen; goto end_label_35; } if (!hasError) { @@ -7611,7 +7621,7 @@ QCString VhdlParser::sensitivity_list() {QCString s,s1; break; } default: - jj_la1[199] = jj_gen; + jj_la1[200] = jj_gen; goto end_label_36; } if (!hasError) { @@ -7634,7 +7644,7 @@ assert(false); QCString VhdlParser::sequence_of_statement() {QCString s,s1; if (!hasError) { while (!hasError) { - if (jj_2_82(3)) { + if (jj_2_83(3)) { ; } else { goto end_label_37; @@ -7654,84 +7664,84 @@ assert(false); QCString VhdlParser::sequential_statement() {QCString s; - if (jj_2_83(2147483647)) { + if (jj_2_84(2147483647)) { if (!hasError) { s = signal_assignment_statement(); } if (!hasError) { FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; } - } else if (jj_2_84(3)) { + } else if (jj_2_85(3)) { if (!hasError) { s = assertion_statement(); } if (!hasError) { FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; } - } else if (jj_2_85(3)) { + } else if (jj_2_86(3)) { if (!hasError) { s = report_statement(); } if (!hasError) { FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; } - } else if (jj_2_86(3)) { + } else if (jj_2_87(3)) { if (!hasError) { s = wait_statement(); } if (!hasError) { FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; } - } else if (jj_2_87(2147483647)) { + } else if (jj_2_88(2147483647)) { if (!hasError) { s = variable_assignment_statement(); } if (!hasError) { FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; } - } else if (jj_2_88(3)) { + } else if (jj_2_89(3)) { if (!hasError) { s = procedure_call_statement(); } if (!hasError) { FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; } - } else if (jj_2_89(3)) { + } else if (jj_2_90(3)) { if (!hasError) { if_statement(); } if (!hasError) { return s; } - } else if (jj_2_90(3)) { + } else if (jj_2_91(3)) { if (!hasError) { case_statement(); } if (!hasError) { return s; } - } else if (jj_2_91(3)) { + } else if (jj_2_92(3)) { if (!hasError) { loop_statement(); } if (!hasError) { return s; } - } else if (jj_2_92(3)) { + } else if (jj_2_93(3)) { if (!hasError) { s = next_statement(); } if (!hasError) { return s; } - } else if (jj_2_93(3)) { + } else if (jj_2_94(3)) { if (!hasError) { s = exit_statement(); } if (!hasError) { return s; } - } else if (jj_2_94(3)) { + } else if (jj_2_95(3)) { if (!hasError) { s = return_statement(); } @@ -7752,7 +7762,7 @@ FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s; break; } default: - jj_la1[200] = jj_gen; + jj_la1[201] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7782,7 +7792,7 @@ QCString VhdlParser::shift_expression() {QCString s,s1,s2; break; } default: - jj_la1[201] = jj_gen; + jj_la1[202] = jj_gen; ; } } @@ -7848,7 +7858,7 @@ return "ror"; break; } default: - jj_la1[202] = jj_gen; + jj_la1[203] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7877,7 +7887,7 @@ return "-"; break; } default: - jj_la1[203] = jj_gen; + jj_la1[204] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7886,14 +7896,14 @@ assert(false); QCString VhdlParser::signal_assignment_statement() {QCString s,s1,s2,s3; - if (jj_2_96(2147483647)) { + if (jj_2_97(2147483647)) { if (!hasError) { conditional_signal_assignment_wave(); } if (!hasError) { return ""; } - } else if (jj_2_97(2147483647)) { + } else if (jj_2_98(2147483647)) { if (!hasError) { selected_signal_assignment_wave(); } @@ -7908,7 +7918,7 @@ return ""; case BASIC_IDENTIFIER: case EXTENDED_CHARACTER:{ if (!hasError) { - if (jj_2_95(2)) { + if (jj_2_96(2)) { if (!hasError) { s = identifier(); } @@ -7939,7 +7949,7 @@ s+=":"; break; } default: - jj_la1[204] = jj_gen; + jj_la1[205] = jj_gen; ; } } @@ -7955,7 +7965,7 @@ return s+s1+"<="+s2+s3+";"; break; } default: - jj_la1[205] = jj_gen; + jj_la1[206] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -7994,7 +8004,7 @@ void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4; break; } default: - jj_la1[206] = jj_gen; + jj_la1[207] = jj_gen; ; } } @@ -8010,7 +8020,7 @@ void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4; break; } default: - jj_la1[207] = jj_gen; + jj_la1[208] = jj_gen; ; } } @@ -8045,7 +8055,7 @@ return "bus"; break; } default: - jj_la1[208] = jj_gen; + jj_la1[209] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8070,7 +8080,7 @@ QCString VhdlParser::signal_list() {QCString s,s1; break; } default: - jj_la1[209] = jj_gen; + jj_la1[210] = jj_gen; goto end_label_38; } if (!hasError) { @@ -8106,7 +8116,7 @@ return "all"; break; } default: - jj_la1[210] = jj_gen; + jj_la1[211] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8135,7 +8145,7 @@ QCString VhdlParser::signature() {QCString s,s1,s2; break; } default: - jj_la1[211] = jj_gen; + jj_la1[212] = jj_gen; goto end_label_39; } if (!hasError) { @@ -8153,7 +8163,7 @@ s+=",";s+=s1; break; } default: - jj_la1[212] = jj_gen; + jj_la1[213] = jj_gen; ; } } @@ -8172,7 +8182,7 @@ s+="return ";s+=s1; break; } default: - jj_la1[213] = jj_gen; + jj_la1[214] = jj_gen; ; } } @@ -8195,7 +8205,7 @@ QCString VhdlParser::simple_expression() {QCString s,s1,s2; break; } default: - jj_la1[214] = jj_gen; + jj_la1[215] = jj_gen; ; } } @@ -8207,7 +8217,7 @@ s+=s1; } if (!hasError) { while (!hasError) { - if (jj_2_98(2147483647)) { + if (jj_2_99(2147483647)) { ; } else { goto end_label_40; @@ -8297,7 +8307,7 @@ if (s.data()) break; } default: - jj_la1[215] = jj_gen; + jj_la1[216] = jj_gen; ; } } @@ -8312,7 +8322,7 @@ if (s.data()) break; } default: - jj_la1[216] = jj_gen; + jj_la1[217] = jj_gen; ; } } @@ -8326,7 +8336,7 @@ m_sharedState->tempEntry->endBodyLine=outlineParser()->getLine(END_T); void VhdlParser::subprogram_declaration() { - if (jj_2_99(2147483647)) { + if (jj_2_100(2147483647)) { if (!hasError) { subprogram_instantiation_declaration(); } @@ -8348,7 +8358,7 @@ m_sharedState->currP=0; break; } default: - jj_la1[217] = jj_gen; + jj_la1[218] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8371,7 +8381,7 @@ void VhdlParser::subprogram_1() { break; } default: - jj_la1[218] = jj_gen; + jj_la1[219] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8457,8 +8467,8 @@ return s; break; } default: - jj_la1[219] = jj_gen; - if (jj_2_100(2147483647)) { + jj_la1[220] = jj_gen; + if (jj_2_101(2147483647)) { if (!hasError) { s = attribute_declaration(); } @@ -8486,8 +8496,8 @@ return s; break; } default: - jj_la1[220] = jj_gen; - if (jj_2_101(3)) { + jj_la1[221] = jj_gen; + if (jj_2_102(3)) { if (!hasError) { s = group_template_declaration(); } @@ -8506,7 +8516,7 @@ return s; break; } default: - jj_la1[221] = jj_gen; + jj_la1[222] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8541,7 +8551,7 @@ QCString VhdlParser::subprogram_declarative_part() {QCString s,s1; break; } default: - jj_la1[222] = jj_gen; + jj_la1[223] = jj_gen; goto end_label_41; } if (!hasError) { @@ -8573,7 +8583,7 @@ void VhdlParser::subprogram_kind() { break; } default: - jj_la1[223] = jj_gen; + jj_la1[224] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8617,12 +8627,12 @@ m_sharedState->param_sec=0; break; } default: - jj_la1[224] = jj_gen; + jj_la1[225] = jj_gen; ; } } if (!hasError) { - if (jj_2_102(2)) { + if (jj_2_103(2)) { if (!hasError) { gen_interface_list(); } @@ -8631,7 +8641,7 @@ m_sharedState->param_sec=0; } } if (!hasError) { - if (jj_2_103(2)) { + if (jj_2_104(2)) { if (!hasError) { gen_assoc_list(); } @@ -8669,7 +8679,7 @@ outlineParser()->newEntry(); break; } default: - jj_la1[225] = jj_gen; + jj_la1[226] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8677,7 +8687,7 @@ outlineParser()->newEntry(); break; } default: - jj_la1[226] = jj_gen; + jj_la1[227] = jj_gen; ; } } @@ -8718,7 +8728,7 @@ m_sharedState->param_sec=0; break; } default: - jj_la1[227] = jj_gen; + jj_la1[228] = jj_gen; ; } } @@ -8736,7 +8746,7 @@ m_sharedState->tempEntry=m_sharedState->current; break; } default: - jj_la1[228] = jj_gen; + jj_la1[229] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8769,7 +8779,7 @@ void VhdlParser::subprogram_statement_part() { break; } default: - jj_la1[229] = jj_gen; + jj_la1[230] = jj_gen; goto end_label_42; } if (!hasError) { @@ -8820,7 +8830,7 @@ assert(false); QCString VhdlParser::subtype_indication() {QCString s,s1,s2; if (!hasError) { - if (jj_2_104(5)) { + if (jj_2_105(5)) { if (!hasError) { s = reslution_indication(); } @@ -8842,14 +8852,14 @@ QCString VhdlParser::subtype_indication() {QCString s,s1,s2; break; } default: - jj_la1[230] = jj_gen; + jj_la1[231] = jj_gen; goto end_label_43; } } end_label_43: ; } if (!hasError) { - if (jj_2_105(2147483647)) { + if (jj_2_106(2147483647)) { if (!hasError) { s2 = constraint(); } @@ -8863,7 +8873,7 @@ assert(false); QCString VhdlParser::suffix() {QCString s; - if (jj_2_106(2147483647)) { + if (jj_2_107(2147483647)) { if (!hasError) { s = name(); } @@ -8900,7 +8910,7 @@ return " all "; break; } default: - jj_la1[231] = jj_gen; + jj_la1[232] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8933,7 +8943,7 @@ return s; break; } default: - jj_la1[232] = jj_gen; + jj_la1[233] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -8947,7 +8957,7 @@ QCString VhdlParser::term() {QCString s,s1,s2; } if (!hasError) { while (!hasError) { - if (jj_2_107(2)) { + if (jj_2_108(2)) { ; } else { goto end_label_44; @@ -9000,7 +9010,7 @@ assert(false); QCString VhdlParser::type_declaration() {QCString s; - if (jj_2_108(3)) { + if (jj_2_109(3)) { if (!hasError) { s = full_type_declaration(); } @@ -9019,7 +9029,7 @@ return s; break; } default: - jj_la1[233] = jj_gen; + jj_la1[234] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9070,8 +9080,8 @@ return s; break; } default: - jj_la1[234] = jj_gen; - if (jj_2_109(2)) { + jj_la1[235] = jj_gen; + if (jj_2_110(2)) { if (!hasError) { protected_type_body(); } @@ -9090,7 +9100,7 @@ return ""; break; } default: - jj_la1[235] = jj_gen; + jj_la1[236] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9127,7 +9137,7 @@ QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3; break; } default: - jj_la1[236] = jj_gen; + jj_la1[237] = jj_gen; goto end_label_45; } if (!hasError) { @@ -9171,7 +9181,7 @@ QCString VhdlParser::use_clause() {QCString s,s1; break; } default: - jj_la1[237] = jj_gen; + jj_la1[238] = jj_gen; goto end_label_46; } if (!hasError) { @@ -9213,7 +9223,7 @@ QCString VhdlParser::variable_assignment_statement() {QCString s,s1,s2; case BASIC_IDENTIFIER: case EXTENDED_CHARACTER:{ if (!hasError) { - if (jj_2_110(2)) { + if (jj_2_111(2)) { if (!hasError) { s = identifier(); } @@ -9254,7 +9264,7 @@ return ""; break; } default: - jj_la1[238] = jj_gen; + jj_la1[239] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9272,7 +9282,7 @@ QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s break; } default: - jj_la1[239] = jj_gen; + jj_la1[240] = jj_gen; ; } } @@ -9300,7 +9310,7 @@ QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s break; } default: - jj_la1[240] = jj_gen; + jj_la1[241] = jj_gen; ; } } @@ -9346,7 +9356,7 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0; break; } default: - jj_la1[241] = jj_gen; + jj_la1[242] = jj_gen; ; } } @@ -9362,7 +9372,7 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0; break; } default: - jj_la1[242] = jj_gen; + jj_la1[243] = jj_gen; ; } } @@ -9375,7 +9385,7 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0; break; } default: - jj_la1[243] = jj_gen; + jj_la1[244] = jj_gen; ; } } @@ -9388,7 +9398,7 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0; break; } default: - jj_la1[244] = jj_gen; + jj_la1[245] = jj_gen; ; } } @@ -9437,7 +9447,7 @@ QCString VhdlParser::waveform() {QCString s,s1; break; } default: - jj_la1[245] = jj_gen; + jj_la1[246] = jj_gen; goto end_label_47; } if (!hasError) { @@ -9467,7 +9477,7 @@ return " unaffected "; break; } default: - jj_la1[246] = jj_gen; + jj_la1[247] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9494,7 +9504,7 @@ s1.prepend(" after "); break; } default: - jj_la1[247] = jj_gen; + jj_la1[248] = jj_gen; ; } } @@ -9532,7 +9542,7 @@ QCString VhdlParser::protected_type_body() { break; } default: - jj_la1[248] = jj_gen; + jj_la1[249] = jj_gen; ; } } @@ -9596,8 +9606,8 @@ void VhdlParser::protected_type_body_declarative_item() { break; } default: - jj_la1[249] = jj_gen; - if (jj_2_111(2147483647)) { + jj_la1[250] = jj_gen; + if (jj_2_112(2147483647)) { if (!hasError) { attribute_declaration(); } @@ -9616,8 +9626,8 @@ void VhdlParser::protected_type_body_declarative_item() { break; } default: - jj_la1[250] = jj_gen; - if (jj_2_112(3)) { + jj_la1[251] = jj_gen; + if (jj_2_113(3)) { if (!hasError) { group_template_declaration(); } @@ -9630,7 +9640,7 @@ void VhdlParser::protected_type_body_declarative_item() { break; } default: - jj_la1[251] = jj_gen; + jj_la1[252] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9664,7 +9674,7 @@ void VhdlParser::protected_type_body_declarative_part() { break; } default: - jj_la1[252] = jj_gen; + jj_la1[253] = jj_gen; goto end_label_48; } if (!hasError) { @@ -9705,7 +9715,7 @@ outlineParser()->error_skipto(END_T); break; } default: - jj_la1[253] = jj_gen; + jj_la1[254] = jj_gen; ; } } @@ -9738,7 +9748,7 @@ void VhdlParser::protected_type_declarative_item() { break; } default: - jj_la1[254] = jj_gen; + jj_la1[255] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9759,7 +9769,7 @@ void VhdlParser::protected_type_declarative_part() { break; } default: - jj_la1[255] = jj_gen; + jj_la1[256] = jj_gen; goto end_label_49; } if (!hasError) { @@ -9774,12 +9784,35 @@ void VhdlParser::protected_type_declarative_part() { } -QCString VhdlParser::context_ref() {QCString s; +QCString VhdlParser::context_ref() {QCString s, s1; if (!hasError) { jj_consume_token(CONTEXT_T); } if (!hasError) { - s = name(); + s = identifier(); + } + if (!hasError) { + while (!hasError) { + switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) { + case DOT_T:{ + ; + break; + } + default: + jj_la1[257] = jj_gen; + goto end_label_50; + } + if (!hasError) { + jj_consume_token(DOT_T); + } + if (!hasError) { + s1 = identifier(); + } + if (!hasError) { +s+=".";s+=s1; + } + } + end_label_50: ; } if (!hasError) { jj_consume_token(SEMI_T); @@ -9812,14 +9845,14 @@ m_sharedState->parse_sec=CONTEXT_SEC; break; } default: - jj_la1[256] = jj_gen; - goto end_label_50; + jj_la1[258] = jj_gen; + goto end_label_51; } if (!hasError) { s1 = libustcont_stats(); } } - end_label_50: ; + end_label_51: ; } if (!hasError) { jj_consume_token(END_T); @@ -9833,7 +9866,7 @@ m_sharedState->parse_sec=CONTEXT_SEC; break; } default: - jj_la1[257] = jj_gen; + jj_la1[259] = jj_gen; ; } } @@ -9847,7 +9880,7 @@ m_sharedState->parse_sec=CONTEXT_SEC; break; } default: - jj_la1[258] = jj_gen; + jj_la1[260] = jj_gen; ; } } @@ -9889,7 +9922,7 @@ return s; break; } default: - jj_la1[259] = jj_gen; + jj_la1[261] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -9925,7 +9958,7 @@ void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2; break; } default: - jj_la1[260] = jj_gen; + jj_la1[262] = jj_gen; ; } } @@ -9962,7 +9995,7 @@ QCString VhdlParser::interface_package_declaration() {QCString s,s1; break; } default: - jj_la1[261] = jj_gen; + jj_la1[263] = jj_gen; ; } } @@ -10000,7 +10033,7 @@ QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2; break; } default: - jj_la1[262] = jj_gen; + jj_la1[264] = jj_gen; ; } } @@ -10071,7 +10104,7 @@ void VhdlParser::case_scheme() { when_stats(); } if (!hasError) { - if (jj_2_113(3)) { + if (jj_2_114(3)) { if (!hasError) { ttend(); } @@ -10101,7 +10134,7 @@ void VhdlParser::when_stats() { jj_consume_token(WHEN_T); } if (!hasError) { - if (jj_2_114(2)) { + if (jj_2_115(2)) { if (!hasError) { label(); } @@ -10127,11 +10160,11 @@ void VhdlParser::when_stats() { break; } default: - jj_la1[263] = jj_gen; - goto end_label_51; + jj_la1[265] = jj_gen; + goto end_label_52; } } - end_label_51: ; + end_label_52: ; } } @@ -10150,7 +10183,7 @@ void VhdlParser::ttend() { break; } default: - jj_la1[264] = jj_gen; + jj_la1[266] = jj_gen; ; } } @@ -10184,16 +10217,16 @@ void VhdlParser::generate_statement_body() { case VARIABLE_T:{ if (!hasError) { while (!hasError) { - if (jj_2_115(2147483647)) { + if (jj_2_116(2147483647)) { ; } else { - goto end_label_52; + goto end_label_53; } if (!hasError) { block_declarative_item(); } } - end_label_52: ; + end_label_53: ; } if (!hasError) { jj_consume_token(BEGIN_T); @@ -10201,7 +10234,7 @@ void VhdlParser::generate_statement_body() { break; } default: - jj_la1[265] = jj_gen; + jj_la1[267] = jj_gen; ; } } @@ -10223,14 +10256,14 @@ void VhdlParser::generate_statement_body() { break; } default: - jj_la1[266] = jj_gen; - goto end_label_53; + jj_la1[268] = jj_gen; + goto end_label_54; } if (!hasError) { concurrent_statement(); } } - end_label_53: ; + end_label_54: ; } } @@ -10251,7 +10284,7 @@ void VhdlParser::generate_statement_body1() { break; } default: - jj_la1[267] = jj_gen; + jj_la1[269] = jj_gen; ; } } @@ -10267,14 +10300,14 @@ void VhdlParser::generate_scheme_1() { break; } default: - jj_la1[268] = jj_gen; - goto end_label_54; + jj_la1[270] = jj_gen; + goto end_label_55; } if (!hasError) { generate_scheme_3(); } } - end_label_54: ; + end_label_55: ; } } @@ -10284,7 +10317,7 @@ void VhdlParser::generate_scheme_2() { jj_consume_token(ELSE_T); } if (!hasError) { - if (jj_2_116(2)) { + if (jj_2_117(2)) { if (!hasError) { identifier(); } @@ -10309,7 +10342,7 @@ void VhdlParser::generate_scheme_3() { jj_consume_token(ELSIF_T); } if (!hasError) { - if (jj_2_117(2)) { + if (jj_2_118(2)) { if (!hasError) { identifier(); } @@ -10388,7 +10421,7 @@ return t->image.data(); break; } default: - jj_la1[269] = jj_gen; + jj_la1[271] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10426,7 +10459,7 @@ return s; break; } default: - jj_la1[270] = jj_gen; + jj_la1[272] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10435,7 +10468,7 @@ assert(false); QCString VhdlParser::absolute_pathname() {QCString s,s1; - if (jj_2_118(2147483647)) { + if (jj_2_119(2147483647)) { if (!hasError) { jj_consume_token(DOT_T); } @@ -10463,7 +10496,7 @@ return "."+s; break; } default: - jj_la1[271] = jj_gen; + jj_la1[273] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10477,7 +10510,7 @@ QCString VhdlParser::relative_pathname() {QCString s,s1,s2; s = neg_list(); } if (!hasError) { - if (jj_2_119(2147483647)) { + if (jj_2_120(2147483647)) { if (!hasError) { s1 = pathname_element_list(); } @@ -10511,11 +10544,11 @@ s+="^."; break; } default: - jj_la1[272] = jj_gen; - goto end_label_55; + jj_la1[274] = jj_gen; + goto end_label_56; } } - end_label_55: ; + end_label_56: ; } return s; assert(false); @@ -10541,7 +10574,7 @@ QCString VhdlParser::pathname_element() {QCString s,s1; break; } default: - jj_la1[273] = jj_gen; + jj_la1[275] = jj_gen; ; } } @@ -10567,10 +10600,10 @@ s+="."; } if (!hasError) { while (!hasError) { - if (jj_2_120(2147483647)) { + if (jj_2_121(2147483647)) { ; } else { - goto end_label_56; + goto end_label_57; } if (!hasError) { s1 = pathname_element(); @@ -10582,7 +10615,7 @@ s+="."; s2+=s1;s2+="."; } } - end_label_56: ; + end_label_57: ; } return s+s2; assert(false); @@ -10602,7 +10635,7 @@ assert(false); void VhdlParser::conditional_signal_assignment_wave() { - if (jj_2_121(2147483647)) { + if (jj_2_122(2147483647)) { if (!hasError) { conditional_force_assignment(); } @@ -10619,7 +10652,7 @@ void VhdlParser::conditional_signal_assignment_wave() { break; } default: - jj_la1[274] = jj_gen; + jj_la1[276] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10645,7 +10678,7 @@ void VhdlParser::conditional_waveform_assignment() { break; } default: - jj_la1[275] = jj_gen; + jj_la1[277] = jj_gen; ; } } @@ -10667,7 +10700,7 @@ void VhdlParser::conditional_waveform_assignment() { break; } default: - jj_la1[276] = jj_gen; + jj_la1[278] = jj_gen; ; } } @@ -10696,7 +10729,7 @@ void VhdlParser::else_wave_list() { break; } default: - jj_la1[277] = jj_gen; + jj_la1[279] = jj_gen; ; } } @@ -10723,7 +10756,7 @@ void VhdlParser::conditional_force_assignment() { break; } default: - jj_la1[278] = jj_gen; + jj_la1[280] = jj_gen; ; } } @@ -10767,7 +10800,7 @@ void VhdlParser::conditional_force_assignment() { break; } default: - jj_la1[279] = jj_gen; + jj_la1[281] = jj_gen; ; } } @@ -10778,7 +10811,7 @@ void VhdlParser::conditional_force_assignment() { void VhdlParser::selected_signal_assignment_wave() { - if (jj_2_122(2147483647)) { + if (jj_2_123(2147483647)) { if (!hasError) { selected_force_assignment(); } @@ -10791,7 +10824,7 @@ void VhdlParser::selected_signal_assignment_wave() { break; } default: - jj_la1[280] = jj_gen; + jj_la1[282] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10818,7 +10851,7 @@ void VhdlParser::selected_variable_assignment() { break; } default: - jj_la1[281] = jj_gen; + jj_la1[283] = jj_gen; ; } } @@ -10835,7 +10868,7 @@ void VhdlParser::selected_variable_assignment() { void VhdlParser::select_name() { - if (jj_2_123(2147483647)) { + if (jj_2_124(2147483647)) { if (!hasError) { aggregate(); } @@ -10851,7 +10884,7 @@ void VhdlParser::select_name() { break; } default: - jj_la1[282] = jj_gen; + jj_la1[284] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10878,7 +10911,7 @@ void VhdlParser::selected_waveform_assignment() { break; } default: - jj_la1[283] = jj_gen; + jj_la1[285] = jj_gen; ; } } @@ -10899,7 +10932,7 @@ void VhdlParser::selected_waveform_assignment() { break; } default: - jj_la1[284] = jj_gen; + jj_la1[286] = jj_gen; ; } } @@ -10928,7 +10961,7 @@ void VhdlParser::selected_force_assignment() { break; } default: - jj_la1[285] = jj_gen; + jj_la1[287] = jj_gen; ; } } @@ -10951,7 +10984,7 @@ void VhdlParser::selected_force_assignment() { break; } default: - jj_la1[286] = jj_gen; + jj_la1[288] = jj_gen; ; } } @@ -10987,7 +11020,7 @@ void VhdlParser::sel_var_list() { break; } default: - jj_la1[287] = jj_gen; + jj_la1[289] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -10995,10 +11028,10 @@ void VhdlParser::sel_var_list() { } if (!hasError) { while (!hasError) { - if (jj_2_124(2147483647)) { + if (jj_2_125(2147483647)) { ; } else { - goto end_label_57; + goto end_label_58; } if (!hasError) { expression(); @@ -11024,13 +11057,13 @@ void VhdlParser::sel_var_list() { break; } default: - jj_la1[288] = jj_gen; + jj_la1[290] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } } } - end_label_57: ; + end_label_58: ; } } @@ -11053,8 +11086,8 @@ void VhdlParser::sel_wave_list() { break; } default: - jj_la1[289] = jj_gen; - goto end_label_58; + jj_la1[291] = jj_gen; + goto end_label_59; } if (!hasError) { jj_consume_token(COMMA_T); @@ -11063,7 +11096,7 @@ void VhdlParser::sel_wave_list() { sel_wave_list(); } } - end_label_58: ; + end_label_59: ; } if (!hasError) { jj_consume_token(SEMI_T); @@ -11086,7 +11119,7 @@ void VhdlParser::inout_stat() { break; } default: - jj_la1[290] = jj_gen; + jj_la1[292] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -11114,7 +11147,7 @@ void VhdlParser::else_stat() { break; } default: - jj_la1[291] = jj_gen; + jj_la1[293] = jj_gen; ; } } @@ -11124,11 +11157,11 @@ void VhdlParser::else_stat() { break; } default: - jj_la1[292] = jj_gen; - goto end_label_59; + jj_la1[294] = jj_gen; + goto end_label_60; } } - end_label_59: ; + end_label_60: ; } } @@ -11156,7 +11189,7 @@ return s; break; } default: - jj_la1[293] = jj_gen; + jj_la1[295] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -11199,14 +11232,14 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token * break; } default: - jj_la1[294] = jj_gen; + jj_la1[296] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } break; } default: - jj_la1[295] = jj_gen; + jj_la1[297] = jj_gen; ; } } @@ -11247,7 +11280,7 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token * break; } default: - jj_la1[296] = jj_gen; + jj_la1[298] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -11255,7 +11288,7 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token * break; } default: - jj_la1[297] = jj_gen; + jj_la1[299] = jj_gen; ; } } @@ -11291,7 +11324,7 @@ QCString VhdlParser::param() {QCString s,s1;Token *tok=0; break; } default: - jj_la1[298] = jj_gen; + jj_la1[300] = jj_gen; ; } } @@ -11313,7 +11346,7 @@ m_sharedState->param_sec=PARAM_SEC; break; } default: - jj_la1[299] = jj_gen; + jj_la1[301] = jj_gen; ; } } @@ -11348,7 +11381,7 @@ void VhdlParser::parseInline() { break; } default: - jj_la1[300] = jj_gen; + jj_la1[302] = jj_gen; jj_consume_token(-1); errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true; } @@ -11382,7 +11415,7 @@ void VhdlParser::ReInit(TokenManager* tokenManager){ trace = false; jj_ntk = -1; jj_gen = 0; - for (int i = 0; i < 301; i++) jj_la1[i] = -1; + for (int i = 0; i < 303; i++) jj_la1[i] = -1; } @@ -11413,7 +11446,7 @@ Token * VhdlParser::jj_consume_token(int kind) { jj_gen++; if (++jj_gc > 100) { jj_gc = 0; - for (int i = 0; i < 124; i++) { + for (int i = 0; i < 125; i++) { JJCalls *c = &jj_2_rtns[i]; while (c != nullptr) { if (c->gen < jj_gen) c->first = nullptr; @@ -11507,7 +11540,7 @@ int VhdlParser::jj_ntk_f(){ void VhdlParser::jj_rescan_token(){ jj_rescan = true; - for (int i = 0; i < 124; i++) { + for (int i = 0; i < 125; i++) { JJCalls *p = &jj_2_rtns[i]; do { if (p->gen > jj_gen) { @@ -11637,6 +11670,7 @@ int VhdlParser::jj_ntk_f(){ case 121: jj_3_122(); break; case 122: jj_3_123(); break; case 123: jj_3_124(); break; + case 124: jj_3_125(); break; } } p = p->next; diff --git a/vhdlparser/VhdlParser.h b/vhdlparser/VhdlParser.h index c1d6a0c..1809232 100644 --- a/vhdlparser/VhdlParser.h +++ b/vhdlparser/VhdlParser.h @@ -1295,224 +1295,242 @@ void parseInline(); { jj_save(123, xla); } } - inline bool jj_3R_204() + inline bool jj_2_125(int xla) + { + jj_la = xla; jj_lastpos = jj_scanpos = token; + jj_done = false; + return (!jj_3_125() || jj_done); + { jj_save(124, xla); } + } + + inline bool jj_3R_209() { if (jj_done) return true; if (jj_scan_token(VARASSIGN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_461() + inline bool jj_3R_470() { if (jj_done) return true; if (jj_scan_token(FOR_T)) return true; - if (jj_3R_423()) return true; + if (jj_3R_428()) return true; return false; } - inline bool jj_3R_203() + inline bool jj_3R_208() { if (jj_done) return true; - if (jj_3R_362()) return true; + if (jj_3R_368()) return true; return false; } - inline bool jj_3R_383() + inline bool jj_3R_388() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_460()) return false; + if (jj_3R_469()) { jj_scanpos = xsp; - if (jj_3R_461()) return true; + if (jj_3R_470()) return true; + } return false; } - inline bool jj_3R_460() + inline bool jj_3R_469() { if (jj_done) return true; if (jj_scan_token(WHILE_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; return false; } - inline bool jj_3R_415() + inline bool jj_3R_420() { if (jj_done) return true; if (jj_scan_token(SEMI_T)) return true; - if (jj_3R_414()) return true; + if (jj_3R_419()) return true; return false; } - inline bool jj_3R_429() + inline bool jj_3R_434() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_201() + inline bool jj_3R_206() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(116)) return false; + if (jj_scan_token(116)) { jj_scanpos = xsp; - if (!jj_scan_token(100)) return false; + if (jj_scan_token(100)) { jj_scanpos = xsp; - if (!jj_scan_token(31)) return false; + if (jj_scan_token(31)) { jj_scanpos = xsp; if (jj_scan_token(101)) return true; + } + } + } return false; } - inline bool jj_3R_94() + inline bool jj_3R_96() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_201()) jj_scanpos = xsp; - if (jj_3R_202()) return true; + if (jj_3R_206()) jj_scanpos = xsp; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; xsp = jj_scanpos; - if (jj_3R_203()) jj_scanpos = xsp; - if (jj_3R_87()) return true; + if (jj_3R_208()) jj_scanpos = xsp; + if (jj_3R_89()) return true; xsp = jj_scanpos; if (jj_scan_token(27)) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_204()) jj_scanpos = xsp; + if (jj_3R_209()) jj_scanpos = xsp; return false; } - inline bool jj_3R_324() + inline bool jj_3R_329() { if (jj_done) return true; - if (jj_3R_414()) return true; + if (jj_3R_419()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_415()) { jj_scanpos = xsp; break; } + if (jj_3R_420()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_95() + inline bool jj_3R_97() { if (jj_done) return true; if (jj_scan_token(FILE_T)) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_718() + inline bool jj_3R_727() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_414() + inline bool jj_3R_419() { if (jj_done) return true; - if (jj_3R_513()) return true; + if (jj_3R_522()) return true; return false; } - inline bool jj_3_45() + inline bool jj_3_46() { if (jj_done) return true; - if (jj_3R_96()) return true; + if (jj_3R_98()) return true; return false; } - inline bool jj_3R_444() + inline bool jj_3R_453() { if (jj_done) return true; if (jj_scan_token(IS_T)) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_718()) return false; + if (jj_3R_727()) { jj_scanpos = xsp; if (jj_scan_token(145)) return true; + } return false; } - inline bool jj_3R_574() + inline bool jj_3R_583() { if (jj_done) return true; - if (jj_3R_635()) return true; - if (jj_3R_73()) return true; + if (jj_3R_644()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_573() + inline bool jj_3R_582() { if (jj_done) return true; - if (jj_3R_96()) return true; + if (jj_3R_98()) return true; return false; } - inline bool jj_3_44() + inline bool jj_3_45() { if (jj_done) return true; - if (jj_3R_95()) return true; + if (jj_3R_97()) return true; return false; } - inline bool jj_3_43() + inline bool jj_3_44() { if (jj_done) return true; - if (jj_3R_94()) return true; + if (jj_3R_96()) return true; return false; } - inline bool jj_3_42() + inline bool jj_3_43() { if (jj_done) return true; - if (jj_3R_93()) return true; + if (jj_3R_95()) return true; return false; } - inline bool jj_3R_513() + inline bool jj_3R_522() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3_41()) return false; + if (jj_3_42()) { jj_scanpos = xsp; - if (!jj_3_42()) return false; + if (jj_3_43()) { jj_scanpos = xsp; - if (!jj_3_43()) return false; + if (jj_3_44()) { jj_scanpos = xsp; - if (!jj_3_44()) return false; + if (jj_3_45()) { jj_scanpos = xsp; - if (!jj_3R_573()) return false; + if (jj_3R_582()) { jj_scanpos = xsp; - if (jj_3R_574()) return true; + if (jj_3R_583()) return true; + } + } + } + } + } return false; } - inline bool jj_3_41() + inline bool jj_3_42() { if (jj_done) return true; - if (jj_3R_92()) return true; + if (jj_3R_94()) return true; return false; } - inline bool jj_3R_157() + inline bool jj_3R_159() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; return false; } - inline bool jj_3_40() + inline bool jj_3_41() { if (jj_done) return true; if (jj_scan_token(BASIC_IDENTIFIER)) return true; @@ -1520,963 +1538,979 @@ void parseInline(); return false; } - inline bool jj_3R_432() + inline bool jj_3R_437() { if (jj_done) return true; if (jj_scan_token(ALL_T)) return true; return false; } - inline bool jj_3R_431() + inline bool jj_3R_436() { if (jj_done) return true; if (jj_scan_token(OTHER_T)) return true; return false; } - inline bool jj_3R_643() + inline bool jj_3R_652() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_343() + inline bool jj_3R_348() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_430()) return false; + if (jj_3R_435()) { jj_scanpos = xsp; - if (!jj_3R_431()) return false; + if (jj_3R_436()) { jj_scanpos = xsp; - if (jj_3R_432()) return true; + if (jj_3R_437()) return true; + } + } return false; } - inline bool jj_3R_430() + inline bool jj_3R_435() { if (jj_done) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; return false; } - inline bool jj_3R_340() + inline bool jj_3R_345() { if (jj_done) return true; if (jj_scan_token(CONFIGURATION_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_339() + inline bool jj_3R_344() { if (jj_done) return true; if (jj_scan_token(ENTITY_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_40()) jj_scanpos = xsp; - if (jj_3R_62()) return true; + if (jj_3_41()) jj_scanpos = xsp; + if (jj_3R_63()) return true; xsp = jj_scanpos; - if (jj_3R_429()) jj_scanpos = xsp; + if (jj_3R_434()) jj_scanpos = xsp; return false; } - inline bool jj_3R_177() + inline bool jj_3R_179() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_338()) return false; + if (jj_3R_343()) { jj_scanpos = xsp; - if (!jj_3R_339()) return false; + if (jj_3R_344()) { jj_scanpos = xsp; - if (jj_3R_340()) return true; + if (jj_3R_345()) return true; + } + } return false; } - inline bool jj_3_39() + inline bool jj_3_40() { if (jj_done) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; return false; } - inline bool jj_3R_338() + inline bool jj_3R_343() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(28)) jj_scanpos = xsp; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_747() + inline bool jj_3R_756() { if (jj_done) return true; - if (jj_3R_159()) return true; + if (jj_3R_161()) return true; if (jj_scan_token(RANGE_T)) return true; if (jj_scan_token(BOX_T)) return true; return false; } - inline bool jj_3R_640() + inline bool jj_3R_649() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_639() + inline bool jj_3R_648() { if (jj_done) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; return false; } - inline bool jj_3R_587() + inline bool jj_3R_596() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_639()) return false; + if (jj_3R_648()) { jj_scanpos = xsp; - if (jj_3R_640()) return true; + if (jj_3R_649()) return true; + } return false; } - inline bool jj_3R_538() + inline bool jj_3R_547() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_324()) return true; + if (jj_3R_329()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3_124() + inline bool jj_3_125() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(WHEN_T)) return true; return false; } - inline bool jj_3R_442() + inline bool jj_3R_451() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(77)) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_538()) jj_scanpos = xsp; + if (jj_3R_547()) jj_scanpos = xsp; return false; } - inline bool jj_3R_64() + inline bool jj_3R_65() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_157()) { jj_scanpos = xsp; break; } + if (jj_3R_159()) { jj_scanpos = xsp; break; } } if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_549() + inline bool jj_3R_558() { if (jj_done) return true; if (jj_scan_token(TYPE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_401() + inline bool jj_3R_406() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(134)) return false; + if (jj_scan_token(134)) { jj_scanpos = xsp; if (jj_scan_token(137)) return true; + } return false; } - inline bool jj_3R_548() + inline bool jj_3R_557() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_466()) return true; + if (jj_3R_475()) return true; return false; } - inline bool jj_3R_642() + inline bool jj_3R_651() { if (jj_done) return true; if (jj_scan_token(ELSE_T)) return true; - if (jj_3R_265()) return true; + if (jj_3R_270()) return true; return false; } - inline bool jj_3R_313() + inline bool jj_3R_318() { if (jj_done) return true; - if (jj_3R_399()) return true; + if (jj_3R_404()) return true; return false; } - inline bool jj_3R_563() + inline bool jj_3R_572() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_443() + inline bool jj_3R_452() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(84)) return false; + if (jj_scan_token(84)) { jj_scanpos = xsp; if (jj_scan_token(52)) return true; + } return false; } - inline bool jj_3R_641() + inline bool jj_3R_650() { if (jj_done) return true; if (jj_scan_token(ELSIF_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; if (jj_scan_token(THEN_T)) return true; - if (jj_3R_265()) return true; + if (jj_3R_270()) return true; return false; } - inline bool jj_3R_360() + inline bool jj_3R_366() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_443()) jj_scanpos = xsp; + if (jj_3R_452()) jj_scanpos = xsp; if (jj_scan_token(FUNCTION_T)) return true; - if (jj_3R_62()) return true; - if (jj_3R_442()) return true; + if (jj_3R_63()) return true; + if (jj_3R_451()) return true; if (jj_scan_token(RETURN_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; xsp = jj_scanpos; - if (jj_3R_444()) jj_scanpos = xsp; + if (jj_3R_453()) jj_scanpos = xsp; return false; } - inline bool jj_3R_361() + inline bool jj_3R_367() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_359() + inline bool jj_3R_365() { if (jj_done) return true; if (jj_scan_token(PROCEDURE_T)) return true; - if (jj_3R_73()) return true; - if (jj_3R_442()) return true; + if (jj_3R_74()) return true; + if (jj_3R_451()) return true; return false; } - inline bool jj_3R_261() + inline bool jj_3R_266() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_123() + inline bool jj_3R_125() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_261()) jj_scanpos = xsp; + if (jj_3R_266()) jj_scanpos = xsp; if (jj_scan_token(IF_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; if (jj_scan_token(THEN_T)) return true; - if (jj_3R_265()) return true; + if (jj_3R_270()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_641()) { jj_scanpos = xsp; break; } + if (jj_3R_650()) { jj_scanpos = xsp; break; } } xsp = jj_scanpos; - if (jj_3R_642()) jj_scanpos = xsp; + if (jj_3R_651()) jj_scanpos = xsp; if (jj_scan_token(END_T)) return true; if (jj_scan_token(IF_T)) return true; xsp = jj_scanpos; - if (jj_3R_643()) jj_scanpos = xsp; + if (jj_3R_652()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_200() + inline bool jj_3R_205() { if (jj_done) return true; - if (jj_3R_360()) return true; + if (jj_3R_366()) return true; return false; } - inline bool jj_3R_199() + inline bool jj_3R_204() { if (jj_done) return true; - if (jj_3R_359()) return true; + if (jj_3R_365()) return true; return false; } - inline bool jj_3R_92() + inline bool jj_3R_94() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_199()) return false; + if (jj_3R_204()) { jj_scanpos = xsp; - if (jj_3R_200()) return true; + if (jj_3R_205()) return true; + } return false; } - inline bool jj_3R_202() + inline bool jj_3R_207() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_361()) { jj_scanpos = xsp; break; } + if (jj_3R_367()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_493() + inline bool jj_3R_502() { if (jj_done) return true; if (jj_scan_token(ELSE_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_563()) jj_scanpos = xsp; + if (jj_3R_572()) jj_scanpos = xsp; return false; } - inline bool jj_3R_169() + inline bool jj_3R_171() { if (jj_done) return true; if (jj_scan_token(BASIC_IDENTIFIER)) return true; return false; } - inline bool jj_3R_400() + inline bool jj_3R_405() { if (jj_done) return true; Token * xsp; - if (jj_3R_493()) return true; + if (jj_3R_502()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_493()) { jj_scanpos = xsp; break; } + if (jj_3R_502()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_168() + inline bool jj_3R_170() { if (jj_done) return true; if (jj_scan_token(EXTENDED_CHARACTER)) return true; return false; } - inline bool jj_3R_73() + inline bool jj_3R_74() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_168()) return false; + if (jj_3R_170()) { jj_scanpos = xsp; - if (jj_3R_169()) return true; + if (jj_3R_171()) return true; + } return false; } - inline bool jj_3R_562() + inline bool jj_3R_571() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_561()) return true; + if (jj_3R_570()) return true; return false; } - inline bool jj_3R_399() + inline bool jj_3R_404() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(53)) return false; + if (jj_scan_token(53)) { jj_scanpos = xsp; if (jj_scan_token(75)) return true; + } return false; } - inline bool jj_3R_466() + inline bool jj_3R_475() { if (jj_done) return true; - if (jj_3R_463()) return true; + if (jj_3R_472()) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_548()) { jj_scanpos = xsp; break; } + if (jj_3R_557()) { jj_scanpos = xsp; break; } } if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_465() + inline bool jj_3R_474() { if (jj_done) return true; - if (jj_3R_420()) return true; + if (jj_3R_425()) return true; return false; } - inline bool jj_3R_67() + inline bool jj_3R_68() { if (jj_done) return true; if (jj_scan_token(GROUP_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IS_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_491()) return true; + if (jj_3R_500()) return true; if (jj_scan_token(RPAREN_T)) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_314() + inline bool jj_3R_319() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(134)) return false; + if (jj_scan_token(134)) { jj_scanpos = xsp; if (jj_scan_token(137)) return true; + } while (true) { xsp = jj_scanpos; - if (jj_3R_401()) { jj_scanpos = xsp; break; } + if (jj_3R_406()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_398() + inline bool jj_3R_403() { if (jj_done) return true; if (jj_scan_token(GROUP_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_492()) return true; + if (jj_3R_501()) return true; if (jj_scan_token(RPAREN_T)) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_148() + inline bool jj_3R_150() { if (jj_done) return true; if (jj_scan_token(WITH_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(SELECT_T)) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(155)) jj_scanpos = xsp; - if (jj_3R_117()) return true; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; if (jj_scan_token(FORCE_T)) return true; xsp = jj_scanpos; - if (jj_3R_313()) jj_scanpos = xsp; - if (jj_3R_314()) return true; + if (jj_3R_318()) jj_scanpos = xsp; + if (jj_3R_319()) return true; return false; } - inline bool jj_3R_492() + inline bool jj_3R_501() { if (jj_done) return true; - if (jj_3R_561()) return true; + if (jj_3R_570()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_562()) { jj_scanpos = xsp; break; } + if (jj_3R_571()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3_123() + inline bool jj_3_124() { if (jj_done) return true; - if (jj_3R_112()) return true; + if (jj_3R_114()) return true; return false; } - inline bool jj_3R_385() + inline bool jj_3R_390() { if (jj_done) return true; if (jj_scan_token(WITH_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(SELECT_T)) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(155)) jj_scanpos = xsp; - if (jj_3R_117()) return true; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; xsp = jj_scanpos; - if (jj_3R_465()) jj_scanpos = xsp; - if (jj_3R_466()) return true; + if (jj_3R_474()) jj_scanpos = xsp; + if (jj_3R_475()) return true; return false; } - inline bool jj_3R_630() + inline bool jj_3R_639() { if (jj_done) return true; - if (jj_3R_369()) return true; + if (jj_3R_374()) return true; return false; } - inline bool jj_3R_629() + inline bool jj_3R_638() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_561() + inline bool jj_3R_570() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_629()) return false; + if (jj_3R_638()) { jj_scanpos = xsp; - if (jj_3R_630()) return true; + if (jj_3R_639()) return true; + } return false; } - inline bool jj_3R_712() + inline bool jj_3R_721() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_72() + inline bool jj_3R_73() { if (jj_done) return true; if (jj_scan_token(GENERIC_T)) return true; if (jj_scan_token(MAP_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_167()) return true; + if (jj_3R_169()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_711() + inline bool jj_3R_720() { if (jj_done) return true; - if (jj_3R_112()) return true; + if (jj_3R_114()) return true; return false; } - inline bool jj_3R_686() + inline bool jj_3R_695() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_711()) return false; + if (jj_3R_720()) { jj_scanpos = xsp; - if (jj_3R_712()) return true; + if (jj_3R_721()) return true; + } return false; } - inline bool jj_3_120() + inline bool jj_3_121() { if (jj_done) return true; - if (jj_3R_146()) return true; + if (jj_3R_148()) return true; if (jj_scan_token(DOT_T)) return true; return false; } - inline bool jj_3R_174() + inline bool jj_3R_176() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_597() + inline bool jj_3R_606() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3_122() + inline bool jj_3_123() { if (jj_done) return true; - if (jj_3R_148()) return true; + if (jj_3R_150()) return true; return false; } - inline bool jj_3R_545() + inline bool jj_3R_554() { if (jj_done) return true; if (jj_scan_token(WITH_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(SELECT_T)) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(155)) jj_scanpos = xsp; - if (jj_3R_686()) return true; + if (jj_3R_695()) return true; if (jj_scan_token(VARASSIGN_T)) return true; - if (jj_3R_314()) return true; + if (jj_3R_319()) return true; return false; } - inline bool jj_3R_160() + inline bool jj_3R_162() { if (jj_done) return true; - if (jj_3R_324()) return true; + if (jj_3R_329()) return true; return false; } - inline bool jj_3_38() + inline bool jj_3_39() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_311() + inline bool jj_3R_316() { if (jj_done) return true; - if (jj_3R_399()) return true; + if (jj_3R_404()) return true; return false; } - inline bool jj_3R_309() + inline bool jj_3R_314() { if (jj_done) return true; - if (jj_3R_146()) return true; + if (jj_3R_148()) return true; if (jj_scan_token(DOT_T)) return true; return false; } - inline bool jj_3R_312() + inline bool jj_3R_317() { if (jj_done) return true; - if (jj_3R_60()) return true; - if (jj_3R_400()) return true; + if (jj_3R_61()) return true; + if (jj_3R_405()) return true; return false; } - inline bool jj_3R_464() + inline bool jj_3R_473() { if (jj_done) return true; - if (jj_3R_547()) return true; + if (jj_3R_556()) return true; return false; } - inline bool jj_3R_68() + inline bool jj_3R_69() { if (jj_done) return true; if (jj_scan_token(GENERIC_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_160()) return true; + if (jj_3R_162()) return true; if (jj_scan_token(RPAREN_T)) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_277() + inline bool jj_3R_282() { if (jj_done) return true; - if (jj_3R_385()) return true; + if (jj_3R_390()) return true; return false; } - inline bool jj_3R_130() + inline bool jj_3R_132() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_276()) return false; + if (jj_3R_281()) { jj_scanpos = xsp; - if (jj_3R_277()) return true; + if (jj_3R_282()) return true; + } return false; } - inline bool jj_3R_276() + inline bool jj_3R_281() { if (jj_done) return true; - if (jj_3R_148()) return true; + if (jj_3R_150()) return true; return false; } - inline bool jj_3R_333() + inline bool jj_3R_338() { if (jj_done) return true; if (jj_scan_token(IF_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_38()) jj_scanpos = xsp; - if (jj_3R_82()) return true; + if (jj_3_39()) jj_scanpos = xsp; + if (jj_3R_83()) return true; return false; } - inline bool jj_3R_172() + inline bool jj_3R_174() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_332()) return false; + if (jj_3R_337()) { jj_scanpos = xsp; - if (jj_3R_333()) return true; + if (jj_3R_338()) return true; + } return false; } - inline bool jj_3R_332() + inline bool jj_3R_337() { if (jj_done) return true; if (jj_scan_token(FOR_T)) return true; - if (jj_3R_423()) return true; + if (jj_3R_428()) return true; return false; } - inline bool jj_3R_462() + inline bool jj_3R_471() { if (jj_done) return true; - if (jj_3R_420()) return true; + if (jj_3R_425()) return true; return false; } - inline bool jj_3R_147() + inline bool jj_3R_149() { if (jj_done) return true; - if (jj_3R_117()) return true; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; if (jj_scan_token(FORCE_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_311()) jj_scanpos = xsp; - if (jj_3R_60()) return true; + if (jj_3R_316()) jj_scanpos = xsp; + if (jj_3R_61()) return true; if (jj_scan_token(WHEN_T)) return true; xsp = jj_scanpos; - if (jj_3R_312()) jj_scanpos = xsp; + if (jj_3R_317()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_547() + inline bool jj_3R_556() { if (jj_done) return true; if (jj_scan_token(ELSE_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_597()) jj_scanpos = xsp; + if (jj_3R_606()) jj_scanpos = xsp; return false; } - inline bool jj_3R_77() + inline bool jj_3R_78() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_172()) return true; + if (jj_3R_174()) return true; if (jj_scan_token(GENERATE_T)) return true; - if (jj_3R_173()) return true; + if (jj_3R_175()) return true; if (jj_scan_token(END_T)) return true; if (jj_scan_token(GENERATE_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_174()) jj_scanpos = xsp; + if (jj_3R_176()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_121() + inline bool jj_3_122() { if (jj_done) return true; - if (jj_3R_147()) return true; + if (jj_3R_149()) return true; return false; } - inline bool jj_3R_108() + inline bool jj_3R_110() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_233()) return true; + if (jj_3R_238()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_384() + inline bool jj_3R_389() { if (jj_done) return true; - if (jj_3R_117()) return true; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_462()) jj_scanpos = xsp; - if (jj_3R_463()) return true; + if (jj_3R_471()) jj_scanpos = xsp; + if (jj_3R_472()) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; xsp = jj_scanpos; - if (jj_3R_464()) jj_scanpos = xsp; + if (jj_3R_473()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_275() + inline bool jj_3R_280() { if (jj_done) return true; - if (jj_3R_384()) return true; + if (jj_3R_389()) return true; return false; } - inline bool jj_3R_129() + inline bool jj_3R_131() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_274()) return false; + if (jj_3R_279()) { jj_scanpos = xsp; - if (jj_3R_275()) return true; + if (jj_3R_280()) return true; + } return false; } - inline bool jj_3R_274() + inline bool jj_3R_279() { if (jj_done) return true; - if (jj_3R_147()) return true; + if (jj_3R_149()) return true; return false; } - inline bool jj_3R_572() + inline bool jj_3R_581() { if (jj_done) return true; if (jj_scan_token(AT_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_481() + inline bool jj_3R_490() { if (jj_done) return true; - if (jj_3R_551()) return true; + if (jj_3R_560()) return true; return false; } - inline bool jj_3R_310() + inline bool jj_3R_315() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3_119() + inline bool jj_3_120() { if (jj_done) return true; - if (jj_3R_145()) return true; + if (jj_3R_147()) return true; return false; } - inline bool jj_3R_425() + inline bool jj_3R_430() { if (jj_done) return true; - if (jj_3R_521()) return true; + if (jj_3R_530()) return true; return false; } - inline bool jj_3R_145() + inline bool jj_3R_147() { if (jj_done) return true; - if (jj_3R_146()) return true; + if (jj_3R_148()) return true; if (jj_scan_token(DOT_T)) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_309()) { jj_scanpos = xsp; break; } + if (jj_3R_314()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_634() + inline bool jj_3R_643() { if (jj_done) return true; - if (jj_3R_145()) return true; + if (jj_3R_147()) return true; return false; } - inline bool jj_3R_602() + inline bool jj_3R_611() { if (jj_done) return true; - if (jj_3R_399()) return true; + if (jj_3R_404()) return true; return false; } - inline bool jj_3R_158() + inline bool jj_3R_160() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_323()) return true; + if (jj_3R_328()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_146() + inline bool jj_3R_148() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_310()) jj_scanpos = xsp; + if (jj_3R_315()) jj_scanpos = xsp; return false; } - inline bool jj_3R_140() + inline bool jj_3R_142() { if (jj_done) return true; if (jj_scan_token(TYPE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IS_T)) return true; - if (jj_3R_598()) return true; + if (jj_3R_607()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_659() + inline bool jj_3R_668() { if (jj_done) return true; if (jj_scan_token(NEG_T)) return true; @@ -2484,2199 +2518,2362 @@ void parseInline(); return false; } - inline bool jj_3R_633() + inline bool jj_3R_642() { if (jj_done) return true; Token * xsp; - if (jj_3R_659()) return true; + if (jj_3R_668()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_659()) { jj_scanpos = xsp; break; } + if (jj_3R_668()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_65() + inline bool jj_3R_66() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_158()) jj_scanpos = xsp; + if (jj_3R_160()) jj_scanpos = xsp; return false; } - inline bool jj_3_118() + inline bool jj_3_119() { if (jj_done) return true; if (jj_scan_token(DOT_T)) return true; - if (jj_3R_145()) return true; + if (jj_3R_147()) return true; return false; } - inline bool jj_3R_571() + inline bool jj_3R_580() { if (jj_done) return true; - if (jj_3R_633()) return true; + if (jj_3R_642()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_634()) jj_scanpos = xsp; - if (jj_3R_73()) return true; + if (jj_3R_643()) jj_scanpos = xsp; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_592() + inline bool jj_3R_601() { if (jj_done) return true; - if (jj_3R_324()) return true; + if (jj_3R_329()) return true; return false; } - inline bool jj_3R_336() + inline bool jj_3R_341() { if (jj_done) return true; - if (jj_3R_427()) return true; + if (jj_3R_432()) return true; return false; } - inline bool jj_3R_413() + inline bool jj_3R_418() { if (jj_done) return true; if (jj_scan_token(INTEGER)) return true; return false; } - inline bool jj_3R_632() + inline bool jj_3R_641() { if (jj_done) return true; if (jj_scan_token(DOT_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_323() + inline bool jj_3R_328() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_412()) return false; + if (jj_3R_417()) { jj_scanpos = xsp; - if (jj_3R_413()) return true; + if (jj_3R_418()) return true; + } return false; } - inline bool jj_3R_412() + inline bool jj_3R_417() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_631() + inline bool jj_3R_640() { if (jj_done) return true; if (jj_scan_token(DOT_T)) return true; - if (jj_3R_145()) return true; - if (jj_3R_73()) return true; + if (jj_3R_147()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_570() + inline bool jj_3R_579() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_631()) return false; + if (jj_3R_640()) { jj_scanpos = xsp; - if (jj_3R_632()) return true; + if (jj_3R_641()) return true; + } return false; } - inline bool jj_3R_512() + inline bool jj_3R_521() { if (jj_done) return true; - if (jj_3R_572()) return true; + if (jj_3R_581()) return true; return false; } - inline bool jj_3R_511() + inline bool jj_3R_520() { if (jj_done) return true; - if (jj_3R_571()) return true; + if (jj_3R_580()) return true; return false; } - inline bool jj_3R_411() + inline bool jj_3R_519() + { + if (jj_done) return true; + if (jj_3R_579()) return true; + return false; + } + + inline bool jj_3R_416() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_510()) return false; + if (jj_3R_519()) { jj_scanpos = xsp; - if (!jj_3R_511()) return false; + if (jj_3R_520()) { jj_scanpos = xsp; - if (jj_3R_512()) return true; + if (jj_3R_521()) return true; + } + } return false; } - inline bool jj_3R_510() + inline bool jj_3R_518() { if (jj_done) return true; - if (jj_3R_570()) return true; + if (jj_scan_token(VARIABLE_T)) return true; return false; } - inline bool jj_3R_509() + inline bool jj_3R_191() { if (jj_done) return true; - if (jj_scan_token(VARIABLE_T)) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_677() + inline bool jj_3R_686() { if (jj_done) return true; if (jj_scan_token(FILE_T)) return true; if (jj_scan_token(OF_T)) return true; - if (jj_3R_159()) return true; + if (jj_3R_161()) return true; return false; } - inline bool jj_3R_508() + inline bool jj_3R_517() { if (jj_done) return true; if (jj_scan_token(SIGNAL_T)) return true; return false; } - inline bool jj_3R_601() + inline bool jj_3R_610() { if (jj_done) return true; if (jj_scan_token(OPEN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_410() + inline bool jj_3R_516() { if (jj_done) return true; - Token * xsp; - xsp = jj_scanpos; - if (!jj_3R_507()) return false; - jj_scanpos = xsp; - if (!jj_3R_508()) return false; - jj_scanpos = xsp; - if (jj_3R_509()) return true; + if (jj_scan_token(CONSTANT_T)) return true; return false; } - inline bool jj_3R_507() + inline bool jj_3R_415() { if (jj_done) return true; - if (jj_scan_token(CONSTANT_T)) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_516()) { + jj_scanpos = xsp; + if (jj_3R_517()) { + jj_scanpos = xsp; + if (jj_3R_518()) return true; + } + } return false; } - inline bool jj_3R_551() + inline bool jj_3R_560() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_601()) jj_scanpos = xsp; + if (jj_3R_610()) jj_scanpos = xsp; if (jj_scan_token(IS_T)) return true; xsp = jj_scanpos; - if (jj_3R_602()) jj_scanpos = xsp; - if (jj_3R_603()) return true; + if (jj_3R_611()) jj_scanpos = xsp; + if (jj_3R_612()) return true; return false; } - inline bool jj_3R_603() + inline bool jj_3R_612() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3_113() + inline bool jj_3_114() { if (jj_done) return true; - if (jj_3R_142()) return true; + if (jj_3R_144()) return true; return false; } - inline bool jj_3_117() + inline bool jj_3_118() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_320() + inline bool jj_3R_325() { if (jj_done) return true; if (jj_scan_token(SLSL_T)) return true; - if (jj_3R_410()) return true; - if (jj_3R_411()) return true; + if (jj_3R_415()) return true; + if (jj_3R_416()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; if (jj_scan_token(RSRS_T)) return true; return false; } - inline bool jj_3_116() + inline bool jj_3_117() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_467() + inline bool jj_3R_476() { if (jj_done) return true; if (jj_scan_token(DOUBLEMULT_T)) return true; - if (jj_3R_386()) return true; + if (jj_3R_391()) return true; return false; } - inline bool jj_3R_392() + inline bool jj_3R_397() { if (jj_done) return true; if (jj_scan_token(FILE_T)) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_481()) jj_scanpos = xsp; + if (jj_3R_490()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_281() + inline bool jj_3R_286() { if (jj_done) return true; - if (jj_3R_135()) return true; + if (jj_3R_137()) return true; return false; } - inline bool jj_3R_522() + inline bool jj_3R_531() { if (jj_done) return true; if (jj_scan_token(ELSIF_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_117()) jj_scanpos = xsp; - if (jj_3R_60()) return true; + if (jj_3_118()) jj_scanpos = xsp; + if (jj_3R_61()) return true; if (jj_scan_token(GENERATE_T)) return true; - if (jj_3R_334()) return true; + if (jj_3R_339()) return true; return false; } - inline bool jj_3R_270() + inline bool jj_3R_275() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3_115() + inline bool jj_3_116() { if (jj_done) return true; - if (jj_3R_144()) return true; + if (jj_3R_146()) return true; return false; } - inline bool jj_3R_292() + inline bool jj_3R_297() { if (jj_done) return true; - if (jj_3R_317()) return true; - if (jj_3R_386()) return true; + if (jj_3R_322()) return true; + if (jj_3R_391()) return true; return false; } - inline bool jj_3R_291() + inline bool jj_3R_296() { if (jj_done) return true; if (jj_scan_token(QQ_T)) return true; - if (jj_3R_386()) return true; + if (jj_3R_391()) return true; return false; } - inline bool jj_3R_290() + inline bool jj_3R_295() { if (jj_done) return true; if (jj_scan_token(NOT_T)) return true; - if (jj_3R_386()) return true; + if (jj_3R_391()) return true; return false; } - inline bool jj_3R_427() + inline bool jj_3R_432() { if (jj_done) return true; if (jj_scan_token(ELSE_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_116()) jj_scanpos = xsp; + if (jj_3_117()) jj_scanpos = xsp; if (jj_scan_token(GENERATE_T)) return true; - if (jj_3R_334()) return true; + if (jj_3R_339()) return true; return false; } - inline bool jj_3R_289() + inline bool jj_3R_294() { if (jj_done) return true; if (jj_scan_token(ABS_T)) return true; - if (jj_3R_386()) return true; + if (jj_3R_391()) return true; return false; } - inline bool jj_3R_426() + inline bool jj_3R_431() { if (jj_done) return true; - if (jj_3R_522()) return true; + if (jj_3R_531()) return true; return false; } - inline bool jj_3R_139() + inline bool jj_3R_141() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_288()) return false; + if (jj_3R_293()) { jj_scanpos = xsp; - if (!jj_3R_289()) return false; + if (jj_3R_294()) { jj_scanpos = xsp; - if (!jj_3R_290()) return false; + if (jj_3R_295()) { jj_scanpos = xsp; - if (!jj_3R_291()) return false; + if (jj_3R_296()) { jj_scanpos = xsp; - if (jj_3R_292()) return true; + if (jj_3R_297()) return true; + } + } + } + } return false; } - inline bool jj_3R_288() + inline bool jj_3R_293() { if (jj_done) return true; - if (jj_3R_386()) return true; + if (jj_3R_391()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_467()) jj_scanpos = xsp; + if (jj_3R_476()) jj_scanpos = xsp; return false; } - inline bool jj_3R_335() + inline bool jj_3R_340() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_426()) { jj_scanpos = xsp; break; } + if (jj_3R_431()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_520() + inline bool jj_3R_529() { if (jj_done) return true; - if (jj_3R_144()) return true; + if (jj_3R_146()) return true; return false; } - inline bool jj_3R_173() + inline bool jj_3R_175() { if (jj_done) return true; - if (jj_3R_334()) return true; - if (jj_3R_335()) return true; + if (jj_3R_339()) return true; + if (jj_3R_340()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_336()) jj_scanpos = xsp; + if (jj_3R_341()) jj_scanpos = xsp; return false; } - inline bool jj_3R_248() + inline bool jj_3R_253() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_100()) return true; + if (jj_3R_102()) return true; return false; } - inline bool jj_3R_424() + inline bool jj_3R_429() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_520()) { jj_scanpos = xsp; break; } + if (jj_3R_529()) { jj_scanpos = xsp; break; } } if (jj_scan_token(BEGIN_T)) return true; return false; } - inline bool jj_3R_334() + inline bool jj_3R_339() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_424()) jj_scanpos = xsp; + if (jj_3R_429()) jj_scanpos = xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_425()) { jj_scanpos = xsp; break; } + if (jj_3R_430()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_150() + inline bool jj_3R_152() { if (jj_done) return true; - if (jj_3R_317()) return true; - if (jj_3R_149()) return true; + if (jj_3R_322()) return true; + if (jj_3R_151()) return true; return false; } - inline bool jj_3R_293() + inline bool jj_3R_298() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_409() + inline bool jj_3R_414() { if (jj_done) return true; if (jj_scan_token(OR_T)) return true; return false; } - inline bool jj_3R_408() + inline bool jj_3R_413() { if (jj_done) return true; if (jj_scan_token(XOR_T)) return true; return false; } - inline bool jj_3R_407() + inline bool jj_3R_412() { if (jj_done) return true; if (jj_scan_token(XNOR_T)) return true; return false; } - inline bool jj_3R_243() + inline bool jj_3R_248() { if (jj_done) return true; - if (jj_3R_135()) return true; + if (jj_3R_137()) return true; return false; } - inline bool jj_3R_406() + inline bool jj_3R_411() { if (jj_done) return true; if (jj_scan_token(NOR_T)) return true; return false; } - inline bool jj_3_114() + inline bool jj_3_115() { if (jj_done) return true; - if (jj_3R_143()) return true; + if (jj_3R_145()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_405() + inline bool jj_3R_410() { if (jj_done) return true; if (jj_scan_token(NAND_T)) return true; return false; } - inline bool jj_3R_317() + inline bool jj_3R_322() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_404()) return false; + if (jj_3R_409()) { jj_scanpos = xsp; - if (!jj_3R_405()) return false; + if (jj_3R_410()) { jj_scanpos = xsp; - if (!jj_3R_406()) return false; + if (jj_3R_411()) { jj_scanpos = xsp; - if (!jj_3R_407()) return false; + if (jj_3R_412()) { jj_scanpos = xsp; - if (!jj_3R_408()) return false; + if (jj_3R_413()) { jj_scanpos = xsp; - if (jj_3R_409()) return true; + if (jj_3R_414()) return true; + } + } + } + } + } return false; } - inline bool jj_3R_404() + inline bool jj_3R_409() { if (jj_done) return true; if (jj_scan_token(AND_T)) return true; return false; } - inline bool jj_3R_142() + inline bool jj_3R_144() { if (jj_done) return true; if (jj_scan_token(END_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_293()) jj_scanpos = xsp; + if (jj_3R_298()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_660() + inline bool jj_3R_669() { if (jj_done) return true; - if (jj_3R_135()) return true; + if (jj_3R_137()) return true; return false; } - inline bool jj_3R_685() + inline bool jj_3R_190() + { + if (jj_done) return true; + if (jj_3R_354()) return true; + return false; + } + + inline bool jj_3R_694() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_114()) jj_scanpos = xsp; - if (jj_3R_88()) return true; + if (jj_3_115()) jj_scanpos = xsp; + if (jj_3R_90()) return true; if (jj_scan_token(ARROW_T)) return true; - if (jj_3R_334()) return true; + if (jj_3R_339()) return true; return false; } - inline bool jj_3R_60() + inline bool jj_3R_61() { if (jj_done) return true; - if (jj_3R_149()) return true; + if (jj_3R_151()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_150()) { jj_scanpos = xsp; break; } + if (jj_3R_152()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_672() + inline bool jj_3R_681() { if (jj_done) return true; Token * xsp; - if (jj_3R_685()) return true; + if (jj_3R_694()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_685()) { jj_scanpos = xsp; break; } + if (jj_3R_694()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_637() + inline bool jj_3R_646() { if (jj_done) return true; if (jj_scan_token(CASE_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(GENERATE_T)) return true; - if (jj_3R_672()) return true; + if (jj_3R_681()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_113()) jj_scanpos = xsp; + if (jj_3_114()) jj_scanpos = xsp; if (jj_scan_token(END_T)) return true; if (jj_scan_token(GENERATE_T)) return true; - if (jj_3R_334()) return true; + if (jj_3R_339()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_271() + inline bool jj_3R_276() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; return false; } - inline bool jj_3R_269() + inline bool jj_3R_274() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_127() + inline bool jj_3R_129() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_269()) jj_scanpos = xsp; + if (jj_3R_274()) jj_scanpos = xsp; if (jj_scan_token(EXIT_T)) return true; xsp = jj_scanpos; - if (jj_3R_270()) jj_scanpos = xsp; + if (jj_3R_275()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_271()) jj_scanpos = xsp; + if (jj_3R_276()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_114() + inline bool jj_3R_116() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_100()) return true; + if (jj_3R_102()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_248()) { jj_scanpos = xsp; break; } + if (jj_3R_253()) { jj_scanpos = xsp; break; } } if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_134() + inline bool jj_3R_136() { if (jj_done) return true; if (jj_scan_token(GENERIC_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_324()) return true; + if (jj_3R_329()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_215() + inline bool jj_3R_220() { if (jj_done) return true; - if (jj_3R_369()) return true; + if (jj_3R_374()) return true; return false; } - inline bool jj_3R_214() + inline bool jj_3R_219() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_100() + inline bool jj_3R_102() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_214()) return false; + if (jj_3R_219()) { jj_scanpos = xsp; - if (jj_3R_215()) return true; + if (jj_3R_220()) return true; + } return false; } - inline bool jj_3R_135() + inline bool jj_3R_137() { if (jj_done) return true; if (jj_scan_token(GENERIC_T)) return true; if (jj_scan_token(MAP_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_167()) return true; + if (jj_3R_169()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_708() + inline bool jj_3R_717() { if (jj_done) return true; - if (jj_3R_369()) return true; + if (jj_3R_374()) return true; return false; } - inline bool jj_3R_707() + inline bool jj_3R_716() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_679() + inline bool jj_3R_688() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_707()) return false; + if (jj_3R_716()) { jj_scanpos = xsp; - if (jj_3R_708()) return true; + if (jj_3R_717()) return true; + } return false; } - inline bool jj_3R_657() + inline bool jj_3R_666() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_656()) return true; + if (jj_3R_665()) return true; return false; } - inline bool jj_3_37() + inline bool jj_3_38() { if (jj_done) return true; - if (jj_3R_91()) return true; + if (jj_3R_93()) return true; return false; } - inline bool jj_3_36() + inline bool jj_3_37() { if (jj_done) return true; - if (jj_3R_90()) return true; + if (jj_3R_92()) return true; return false; } - inline bool jj_3R_133() + inline bool jj_3R_135() { if (jj_done) return true; if (jj_scan_token(FUNCTION_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IS_T)) return true; if (jj_scan_token(NEW_T)) return true; - if (jj_3R_62()) return true; - if (jj_3R_242()) return true; + if (jj_3R_63()) return true; + if (jj_3R_247()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_281()) jj_scanpos = xsp; + if (jj_3R_286()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_93() + inline bool jj_3R_95() { if (jj_done) return true; if (jj_scan_token(PACKAGE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IS_T)) return true; if (jj_scan_token(NEW_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_660()) jj_scanpos = xsp; + if (jj_3R_669()) jj_scanpos = xsp; return false; } - inline bool jj_3R_488() + inline bool jj_3R_445() { if (jj_done) return true; - if (jj_3R_556()) return true; + if (jj_scan_token(DOT_T)) return true; + if (jj_3R_74()) return true; + return false; + } + + inline bool jj_3R_497() + { + if (jj_done) return true; + if (jj_3R_565()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_557()) return true; + if (jj_3R_566()) return true; return false; } - inline bool jj_3R_680() + inline bool jj_3R_689() { if (jj_done) return true; - if (jj_3R_242()) return true; + if (jj_3R_247()) return true; return false; } - inline bool jj_3R_89() + inline bool jj_3R_91() { if (jj_done) return true; if (jj_scan_token(PACKAGE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IS_T)) return true; if (jj_scan_token(NEW_T)) return true; - if (jj_3R_62()) return true; - if (jj_3R_242()) return true; + if (jj_3R_63()) return true; + if (jj_3R_247()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_243()) jj_scanpos = xsp; + if (jj_3R_248()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_607() + inline bool jj_3R_448() + { + if (jj_done) return true; + if (jj_3R_353()) return true; + return false; + } + + inline bool jj_3R_616() { if (jj_done) return true; if (jj_scan_token(ALL_T)) return true; return false; } - inline bool jj_3R_606() + inline bool jj_3R_447() + { + if (jj_done) return true; + if (jj_3R_351()) return true; + return false; + } + + inline bool jj_3R_615() { if (jj_done) return true; if (jj_scan_token(OTHER_T)) return true; return false; } - inline bool jj_3R_605() + inline bool jj_3R_354() + { + if (jj_done) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_446()) { + jj_scanpos = xsp; + if (jj_3R_447()) { + jj_scanpos = xsp; + if (jj_3R_448()) return true; + } + } + return false; + } + + inline bool jj_3R_446() + { + if (jj_done) return true; + if (jj_3R_352()) return true; + return false; + } + + inline bool jj_3R_614() { if (jj_done) return true; - if (jj_3R_656()) return true; + if (jj_3R_665()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_657()) { jj_scanpos = xsp; break; } + if (jj_3R_666()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_556() + inline bool jj_3R_565() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_605()) return false; + if (jj_3R_614()) { jj_scanpos = xsp; - if (!jj_3R_606()) return false; + if (jj_3R_615()) { jj_scanpos = xsp; - if (jj_3R_607()) return true; + if (jj_3R_616()) return true; + } + } return false; } - inline bool jj_3R_368() + inline bool jj_3R_87() { if (jj_done) return true; if (jj_scan_token(CONTEXT_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; + if (jj_scan_token(IS_T)) return true; + Token * xsp; + while (true) { + xsp = jj_scanpos; + if (jj_3R_190()) { jj_scanpos = xsp; break; } + } + if (jj_scan_token(END_T)) return true; + xsp = jj_scanpos; + if (jj_scan_token(32)) jj_scanpos = xsp; + xsp = jj_scanpos; + if (jj_3R_191()) jj_scanpos = xsp; + if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_706() + inline bool jj_3R_715() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_656() + inline bool jj_3R_665() { if (jj_done) return true; - if (jj_3R_679()) return true; + if (jj_3R_688()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_680()) jj_scanpos = xsp; + if (jj_3R_689()) jj_scanpos = xsp; return false; } - inline bool jj_3R_260() + inline bool jj_3R_265() { if (jj_done) return true; - if (jj_3R_382()) return true; + if (jj_3R_387()) return true; return false; } - inline bool jj_3R_348() + inline bool jj_3R_353() { if (jj_done) return true; if (jj_scan_token(CONTEXT_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_74()) return true; + Token * xsp; + while (true) { + xsp = jj_scanpos; + if (jj_3R_445()) { jj_scanpos = xsp; break; } + } if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_717() + inline bool jj_3R_726() { if (jj_done) return true; - if (jj_3R_725()) return true; + if (jj_3R_734()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_705() + inline bool jj_3R_714() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_717()) { jj_scanpos = xsp; break; } + if (jj_3R_726()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3_33() + inline bool jj_3_36() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_91()) return true; return false; } - inline bool jj_3_35() + inline bool jj_3_34() { if (jj_done) return true; - if (jj_3R_89()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3R_745() + inline bool jj_3R_754() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_352()) return true; return false; } - inline bool jj_3R_744() + inline bool jj_3R_753() { if (jj_done) return true; - if (jj_3R_395()) return true; + if (jj_3R_400()) return true; return false; } - inline bool jj_3_34() + inline bool jj_3_35() { if (jj_done) return true; - if (jj_3R_67()) return true; + if (jj_3R_68()) return true; return false; } - inline bool jj_3R_560() + inline bool jj_3R_569() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_559()) return true; + if (jj_3R_568()) return true; return false; } - inline bool jj_3R_743() + inline bool jj_3R_752() { if (jj_done) return true; - if (jj_3R_363()) return true; + if (jj_3R_369()) return true; return false; } - inline bool jj_3R_725() + inline bool jj_3R_734() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_743()) return false; + if (jj_3R_752()) { jj_scanpos = xsp; - if (!jj_3R_744()) return false; + if (jj_3R_753()) { jj_scanpos = xsp; - if (jj_3R_745()) return true; + if (jj_3R_754()) return true; + } + } return false; } - inline bool jj_3R_704() + inline bool jj_3R_713() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_259() + inline bool jj_3R_264() { if (jj_done) return true; - if (jj_3R_381()) return true; + if (jj_3R_386()) return true; return false; } - inline bool jj_3R_678() + inline bool jj_3R_687() { if (jj_done) return true; if (jj_scan_token(PROTECTED_T)) return true; - if (jj_3R_705()) return true; + if (jj_3R_714()) return true; if (jj_scan_token(END_T)) return true; if (jj_scan_token(PROTECTED_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_706()) jj_scanpos = xsp; + if (jj_3R_715()) jj_scanpos = xsp; return false; } - inline bool jj_3R_716() + inline bool jj_3R_725() { if (jj_done) return true; - if (jj_3R_724()) return true; + if (jj_3R_733()) return true; return false; } - inline bool jj_3_111() + inline bool jj_3_112() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3R_703() + inline bool jj_3R_712() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_716()) { jj_scanpos = xsp; break; } + if (jj_3R_725()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_742() + inline bool jj_3R_751() { if (jj_done) return true; - if (jj_3R_398()) return true; + if (jj_3R_403()) return true; return false; } - inline bool jj_3R_628() + inline bool jj_3R_637() { if (jj_done) return true; if (jj_scan_token(BOX_T)) return true; return false; } - inline bool jj_3_112() + inline bool jj_3_113() { if (jj_done) return true; - if (jj_3R_67()) return true; + if (jj_3R_68()) return true; return false; } - inline bool jj_3R_741() + inline bool jj_3R_750() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_352()) return true; return false; } - inline bool jj_3R_740() + inline bool jj_3R_749() { if (jj_done) return true; - if (jj_3R_395()) return true; + if (jj_3R_400()) return true; return false; } - inline bool jj_3R_739() + inline bool jj_3R_748() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3R_365() + inline bool jj_3R_371() { if (jj_done) return true; if (jj_scan_token(ENTITY_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_738() + inline bool jj_3R_747() { if (jj_done) return true; - if (jj_3R_393()) return true; + if (jj_3R_398()) return true; return false; } - inline bool jj_3R_737() + inline bool jj_3R_746() { if (jj_done) return true; - if (jj_3R_392()) return true; + if (jj_3R_397()) return true; return false; } - inline bool jj_3R_736() + inline bool jj_3R_745() { if (jj_done) return true; - if (jj_3R_391()) return true; + if (jj_3R_396()) return true; return false; } - inline bool jj_3R_735() + inline bool jj_3R_744() { if (jj_done) return true; - if (jj_3R_389()) return true; + if (jj_3R_394()) return true; return false; } - inline bool jj_3R_734() + inline bool jj_3R_743() { if (jj_done) return true; - if (jj_3R_388()) return true; + if (jj_3R_393()) return true; return false; } - inline bool jj_3R_733() + inline bool jj_3R_742() { if (jj_done) return true; - if (jj_3R_387()) return true; + if (jj_3R_392()) return true; return false; } - inline bool jj_3R_732() + inline bool jj_3R_741() { if (jj_done) return true; - if (jj_3R_543()) return true; + if (jj_3R_552()) return true; return false; } - inline bool jj_3R_491() + inline bool jj_3R_500() { if (jj_done) return true; - if (jj_3R_559()) return true; + if (jj_3R_568()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_560()) { jj_scanpos = xsp; break; } + if (jj_3R_569()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_731() + inline bool jj_3R_740() { if (jj_done) return true; - if (jj_3R_96()) return true; + if (jj_3R_98()) return true; return false; } - inline bool jj_3R_724() + inline bool jj_3R_733() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_731()) return false; + if (jj_3R_740()) { jj_scanpos = xsp; - if (!jj_3R_732()) return false; + if (jj_3R_741()) { jj_scanpos = xsp; - if (!jj_3R_733()) return false; + if (jj_3R_742()) { jj_scanpos = xsp; - if (!jj_3R_734()) return false; + if (jj_3R_743()) { jj_scanpos = xsp; - if (!jj_3R_735()) return false; + if (jj_3R_744()) { jj_scanpos = xsp; - if (!jj_3R_736()) return false; + if (jj_3R_745()) { jj_scanpos = xsp; - if (!jj_3R_737()) return false; + if (jj_3R_746()) { jj_scanpos = xsp; - if (!jj_3R_738()) return false; + if (jj_3R_747()) { jj_scanpos = xsp; - if (!jj_3R_739()) return false; + if (jj_3R_748()) { jj_scanpos = xsp; - if (!jj_3R_740()) return false; + if (jj_3R_749()) { jj_scanpos = xsp; - if (!jj_3R_741()) return false; + if (jj_3R_750()) { jj_scanpos = xsp; - if (!jj_3_112()) return false; + if (jj_3_113()) { jj_scanpos = xsp; - if (jj_3R_742()) return true; + if (jj_3R_751()) return true; + } + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_258() + inline bool jj_3R_263() { if (jj_done) return true; - if (jj_3R_380()) return true; + if (jj_3R_385()) return true; return false; } - inline bool jj_3R_559() + inline bool jj_3R_568() { if (jj_done) return true; - if (jj_3R_557()) return true; + if (jj_3R_566()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_628()) jj_scanpos = xsp; + if (jj_3R_637()) jj_scanpos = xsp; return false; } - inline bool jj_3R_638() + inline bool jj_3R_647() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_624() + inline bool jj_3R_633() { if (jj_done) return true; if (jj_scan_token(FILE_T)) return true; return false; } - inline bool jj_3R_546() + inline bool jj_3R_555() { if (jj_done) return true; if (jj_scan_token(AFTER_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_623() + inline bool jj_3R_632() { if (jj_done) return true; if (jj_scan_token(GROUP_T)) return true; return false; } - inline bool jj_3R_622() + inline bool jj_3R_631() { if (jj_done) return true; if (jj_scan_token(UNITS_T)) return true; return false; } - inline bool jj_3R_621() + inline bool jj_3R_630() { if (jj_done) return true; if (jj_scan_token(LITERAL_T)) return true; return false; } - inline bool jj_3R_141() + inline bool jj_3R_143() { if (jj_done) return true; if (jj_scan_token(PROTECTED_T)) return true; if (jj_scan_token(BODY_T)) return true; - if (jj_3R_703()) return true; + if (jj_3R_712()) return true; if (jj_scan_token(END_T)) return true; if (jj_scan_token(PROTECTED_T)) return true; if (jj_scan_token(BODY_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_704()) jj_scanpos = xsp; + if (jj_3R_713()) jj_scanpos = xsp; return false; } - inline bool jj_3R_620() + inline bool jj_3R_629() { if (jj_done) return true; if (jj_scan_token(LABEL_T)) return true; return false; } - inline bool jj_3R_519() + inline bool jj_3R_528() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_463()) return true; + if (jj_3R_472()) return true; return false; } - inline bool jj_3R_619() + inline bool jj_3R_628() { if (jj_done) return true; if (jj_scan_token(COMPONENT_T)) return true; return false; } - inline bool jj_3R_618() + inline bool jj_3R_627() { if (jj_done) return true; if (jj_scan_token(VARIABLE_T)) return true; return false; } - inline bool jj_3R_617() + inline bool jj_3R_626() { if (jj_done) return true; if (jj_scan_token(SIGNAL_T)) return true; return false; } - inline bool jj_3R_616() + inline bool jj_3R_625() { if (jj_done) return true; if (jj_scan_token(CONSTANT_T)) return true; return false; } - inline bool jj_3R_615() + inline bool jj_3R_624() { if (jj_done) return true; if (jj_scan_token(SUBTYPE_T)) return true; return false; } - inline bool jj_3R_614() + inline bool jj_3R_623() { if (jj_done) return true; if (jj_scan_token(TYPE_T)) return true; return false; } - inline bool jj_3R_613() + inline bool jj_3R_622() { if (jj_done) return true; if (jj_scan_token(PACKAGE_T)) return true; return false; } - inline bool jj_3R_612() + inline bool jj_3R_621() { if (jj_done) return true; if (jj_scan_token(FUNCTION_T)) return true; return false; } - inline bool jj_3R_611() + inline bool jj_3R_620() { if (jj_done) return true; if (jj_scan_token(PROCEDURE_T)) return true; return false; } - inline bool jj_3R_610() + inline bool jj_3R_619() { if (jj_done) return true; if (jj_scan_token(CONFIGURATION_T)) return true; return false; } - inline bool jj_3R_609() + inline bool jj_3R_618() { if (jj_done) return true; if (jj_scan_token(ARCHITECTURE_T)) return true; return false; } - inline bool jj_3R_463() + inline bool jj_3R_472() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_546()) jj_scanpos = xsp; + if (jj_3R_555()) jj_scanpos = xsp; return false; } - inline bool jj_3R_608() + inline bool jj_3R_617() { if (jj_done) return true; if (jj_scan_token(ENTITY_T)) return true; return false; } - inline bool jj_3R_557() + inline bool jj_3R_566() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_608()) return false; + if (jj_3R_617()) { jj_scanpos = xsp; - if (!jj_3R_609()) return false; + if (jj_3R_618()) { jj_scanpos = xsp; - if (!jj_3R_610()) return false; + if (jj_3R_619()) { jj_scanpos = xsp; - if (!jj_3R_611()) return false; + if (jj_3R_620()) { jj_scanpos = xsp; - if (!jj_3R_612()) return false; + if (jj_3R_621()) { jj_scanpos = xsp; - if (!jj_3R_613()) return false; + if (jj_3R_622()) { jj_scanpos = xsp; - if (!jj_3R_614()) return false; + if (jj_3R_623()) { jj_scanpos = xsp; - if (!jj_3R_615()) return false; + if (jj_3R_624()) { jj_scanpos = xsp; - if (!jj_3R_616()) return false; + if (jj_3R_625()) { jj_scanpos = xsp; - if (!jj_3R_617()) return false; + if (jj_3R_626()) { jj_scanpos = xsp; - if (!jj_3R_618()) return false; + if (jj_3R_627()) { jj_scanpos = xsp; - if (!jj_3R_619()) return false; + if (jj_3R_628()) { jj_scanpos = xsp; - if (!jj_3R_620()) return false; + if (jj_3R_629()) { jj_scanpos = xsp; - if (!jj_3R_621()) return false; + if (jj_3R_630()) { jj_scanpos = xsp; - if (!jj_3R_622()) return false; + if (jj_3R_631()) { jj_scanpos = xsp; - if (!jj_3R_623()) return false; + if (jj_3R_632()) { jj_scanpos = xsp; - if (jj_3R_624()) return true; + if (jj_3R_633()) return true; + } + } + } + } + } + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_586() + inline bool jj_3R_595() { if (jj_done) return true; if (jj_scan_token(OPEN_T)) return true; return false; } - inline bool jj_3R_585() + inline bool jj_3R_594() { if (jj_done) return true; if (jj_scan_token(CONFIGURATION_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_422() + inline bool jj_3R_427() { if (jj_done) return true; if (jj_scan_token(UNAFFECTED_T)) return true; return false; } - inline bool jj_3R_584() + inline bool jj_3R_593() { if (jj_done) return true; if (jj_scan_token(ENTITY_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_638()) jj_scanpos = xsp; + if (jj_3R_647()) jj_scanpos = xsp; return false; } - inline bool jj_3R_524() + inline bool jj_3R_533() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_584()) return false; + if (jj_3R_593()) { jj_scanpos = xsp; - if (!jj_3R_585()) return false; + if (jj_3R_594()) { jj_scanpos = xsp; - if (jj_3R_586()) return true; + if (jj_3R_595()) return true; + } + } return false; } - inline bool jj_3R_329() + inline bool jj_3R_334() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_421()) return false; + if (jj_3R_426()) { jj_scanpos = xsp; - if (jj_3R_422()) return true; + if (jj_3R_427()) return true; + } return false; } - inline bool jj_3R_421() + inline bool jj_3R_426() { if (jj_done) return true; - if (jj_3R_463()) return true; + if (jj_3R_472()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_519()) { jj_scanpos = xsp; break; } + if (jj_3R_528()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_257() + inline bool jj_3R_262() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_120() + inline bool jj_3R_122() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_257()) jj_scanpos = xsp; + if (jj_3R_262()) jj_scanpos = xsp; if (jj_scan_token(WAIT_T)) return true; xsp = jj_scanpos; - if (jj_3R_258()) jj_scanpos = xsp; + if (jj_3R_263()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_259()) jj_scanpos = xsp; + if (jj_3R_264()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_260()) jj_scanpos = xsp; + if (jj_3R_265()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_32() + inline bool jj_3_33() { if (jj_done) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; if (jj_scan_token(ARROW_T)) return true; return false; } - inline bool jj_3R_730() + inline bool jj_3R_739() { if (jj_done) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_31() + inline bool jj_3_32() { if (jj_done) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_748() + inline bool jj_3R_757() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_747()) return true; + if (jj_3R_756()) return true; return false; } - inline bool jj_3_30() + inline bool jj_3_31() { if (jj_done) return true; - if (jj_3R_86()) return true; + if (jj_3R_88()) return true; return false; } - inline bool jj_3R_376() + inline bool jj_3R_381() { if (jj_done) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; if (jj_scan_token(ARROW_T)) return true; return false; } - inline bool jj_3R_244() + inline bool jj_3R_249() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_376()) jj_scanpos = xsp; - if (jj_3R_60()) return true; + if (jj_3R_381()) jj_scanpos = xsp; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_166() + inline bool jj_3R_168() { if (jj_done) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_165() + inline bool jj_3R_167() { if (jj_done) return true; - if (jj_3R_86()) return true; + if (jj_3R_88()) return true; return false; } - inline bool jj_3R_71() + inline bool jj_3R_72() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_165()) return false; + if (jj_3R_167()) { jj_scanpos = xsp; - if (jj_3R_166()) return true; + if (jj_3R_168()) return true; + } return false; } - inline bool jj_3R_480() + inline bool jj_3R_489() { if (jj_done) return true; if (jj_scan_token(VARASSIGN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_489() + inline bool jj_3R_498() { if (jj_done) return true; - if (jj_3R_558()) return true; + if (jj_3R_567()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_391() + inline bool jj_3R_396() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(101)) jj_scanpos = xsp; if (jj_scan_token(VARIABLE_T)) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; xsp = jj_scanpos; - if (jj_3R_480()) jj_scanpos = xsp; + if (jj_3R_489()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_490() + inline bool jj_3R_499() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_439()) return true; + if (jj_3R_444()) return true; return false; } - inline bool jj_3R_458() + inline bool jj_3R_467() { if (jj_done) return true; - if (jj_3R_545()) return true; + if (jj_3R_554()) return true; return false; } - inline bool jj_3R_397() + inline bool jj_3R_402() { if (jj_done) return true; if (jj_scan_token(DISCONNECT_T)) return true; - if (jj_3R_489()) return true; + if (jj_3R_498()) return true; if (jj_scan_token(AFTER_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_110() + inline bool jj_3_111() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_164() + inline bool jj_3R_166() { if (jj_done) return true; if (jj_scan_token(DOWNTO_T)) return true; return false; } - inline bool jj_3R_477() + inline bool jj_3R_383() { if (jj_done) return true; - if (jj_scan_token(VARASSIGN_T)) return true; - if (jj_3R_60()) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_466()) { + jj_scanpos = xsp; + if (jj_3R_467()) return true; + } return false; } - inline bool jj_3R_378() + inline bool jj_3R_466() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_457()) return false; - jj_scanpos = xsp; - if (jj_3R_458()) return true; + if (jj_3_111()) jj_scanpos = xsp; + if (jj_3R_119()) return true; + if (jj_scan_token(VARASSIGN_T)) return true; + if (jj_3R_61()) return true; + if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_457() + inline bool jj_3R_486() { if (jj_done) return true; - Token * xsp; - xsp = jj_scanpos; - if (jj_3_110()) jj_scanpos = xsp; - if (jj_3R_117()) return true; if (jj_scan_token(VARASSIGN_T)) return true; - if (jj_3R_60()) return true; - if (jj_scan_token(SEMI_T)) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_163() + inline bool jj_3R_165() { if (jj_done) return true; if (jj_scan_token(TO_T)) return true; return false; } - inline bool jj_3R_70() + inline bool jj_3R_71() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_163()) return false; + if (jj_3R_165()) { jj_scanpos = xsp; - if (jj_3R_164()) return true; + if (jj_3R_166()) return true; + } return false; } - inline bool jj_3R_591() + inline bool jj_3R_600() { if (jj_done) return true; - if (jj_3R_318()) return true; + if (jj_3R_323()) return true; return false; } - inline bool jj_3R_590() + inline bool jj_3R_599() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_539() + inline bool jj_3R_548() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_590()) return false; + if (jj_3R_599()) { jj_scanpos = xsp; - if (jj_3R_591()) return true; + if (jj_3R_600()) return true; + } return false; } - inline bool jj_3R_347() + inline bool jj_3R_352() { if (jj_done) return true; if (jj_scan_token(USE_T)) return true; - if (jj_3R_439()) return true; + if (jj_3R_444()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_490()) { jj_scanpos = xsp; break; } + if (jj_3R_499()) { jj_scanpos = xsp; break; } } if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_575() + inline bool jj_3R_584() { if (jj_done) return true; if (jj_scan_token(REJECT_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3_105() + inline bool jj_3_106() { if (jj_done) return true; - if (jj_3R_137()) return true; + if (jj_3R_139()) return true; return false; } - inline bool jj_3R_518() + inline bool jj_3R_527() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_575()) jj_scanpos = xsp; + if (jj_3R_584()) jj_scanpos = xsp; if (jj_scan_token(INERTIAL_T)) return true; return false; } - inline bool jj_3R_729() + inline bool jj_3R_738() { if (jj_done) return true; if (jj_scan_token(ARRAY_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_747()) return true; + if (jj_3R_756()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_748()) { jj_scanpos = xsp; break; } + if (jj_3R_757()) { jj_scanpos = xsp; break; } } if (jj_scan_token(RPAREN_T)) return true; if (jj_scan_token(OF_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_517() + inline bool jj_3R_526() { if (jj_done) return true; if (jj_scan_token(TRANSPORT_T)) return true; return false; } - inline bool jj_3R_420() + inline bool jj_3_30() + { + if (jj_done) return true; + if (jj_3R_87()) return true; + return false; + } + + inline bool jj_3R_425() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_517()) return false; + if (jj_3R_526()) { jj_scanpos = xsp; - if (jj_3R_518()) return true; + if (jj_3R_527()) return true; + } return false; } - inline bool jj_3R_159() + inline bool jj_3R_161() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } inline bool jj_3_29() { if (jj_done) return true; - if (jj_3R_64()) return true; + if (jj_3R_65()) return true; return false; } - inline bool jj_3R_190() + inline bool jj_3R_195() { if (jj_done) return true; - if (jj_3R_137()) return true; + if (jj_3R_139()) return true; return false; } - inline bool jj_3R_655() + inline bool jj_3R_664() { if (jj_done) return true; - if (jj_3R_678()) return true; + if (jj_3R_687()) return true; return false; } - inline bool jj_3_28() + inline bool jj_3R_189() { if (jj_done) return true; - if (jj_3R_85()) return true; + if (jj_3R_353()) return true; return false; } - inline bool jj_3R_186() + inline bool jj_3_28() { if (jj_done) return true; - if (jj_3R_348()) return true; + if (jj_3R_86()) return true; return false; } - inline bool jj_3R_185() + inline bool jj_3R_188() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_87()) return true; return false; } - inline bool jj_3_109() + inline bool jj_3_110() { if (jj_done) return true; - if (jj_3R_141()) return true; + if (jj_3R_143()) return true; return false; } - inline bool jj_3R_654() + inline bool jj_3R_663() { if (jj_done) return true; - if (jj_3R_677()) return true; + if (jj_3R_686()) return true; return false; } - inline bool jj_3R_653() + inline bool jj_3R_187() { if (jj_done) return true; - if (jj_3R_676()) return true; + if (jj_3R_352()) return true; return false; } - inline bool jj_3R_184() + inline bool jj_3R_662() { if (jj_done) return true; - if (jj_3R_346()) return true; + if (jj_3R_685()) return true; return false; } - inline bool jj_3R_84() + inline bool jj_3R_661() { if (jj_done) return true; - Token * xsp; - xsp = jj_scanpos; - if (!jj_3R_184()) return false; - jj_scanpos = xsp; - if (!jj_3R_185()) return false; - jj_scanpos = xsp; - if (jj_3R_186()) return true; + if (jj_3R_684()) return true; return false; } - inline bool jj_3R_652() + inline bool jj_3R_186() { if (jj_done) return true; - if (jj_3R_675()) return true; + if (jj_3R_351()) return true; return false; } - inline bool jj_3R_283() + inline bool jj_3R_85() { if (jj_done) return true; - if (jj_3R_64()) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_186()) { + jj_scanpos = xsp; + if (jj_3R_187()) { + jj_scanpos = xsp; + if (jj_3R_188()) { + jj_scanpos = xsp; + if (jj_3R_189()) return true; + } + } + } return false; } - inline bool jj_3R_651() + inline bool jj_3R_660() { if (jj_done) return true; - if (jj_3R_674()) return true; + if (jj_3R_683()) return true; return false; } - inline bool jj_3R_598() + inline bool jj_3R_607() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_651()) return false; + if (jj_3R_660()) { jj_scanpos = xsp; - if (!jj_3R_652()) return false; + if (jj_3R_661()) { jj_scanpos = xsp; - if (!jj_3R_653()) return false; + if (jj_3R_662()) { jj_scanpos = xsp; - if (!jj_3R_654()) return false; + if (jj_3R_663()) { jj_scanpos = xsp; - if (!jj_3_109()) return false; + if (jj_3_110()) { jj_scanpos = xsp; - if (jj_3R_655()) return true; + if (jj_3R_664()) return true; + } + } + } + } + } return false; } - inline bool jj_3R_137() + inline bool jj_3R_288() { if (jj_done) return true; - Token * xsp; - xsp = jj_scanpos; - if (!jj_3R_282()) return false; - jj_scanpos = xsp; - if (jj_3R_283()) return true; + if (jj_3R_65()) return true; return false; } - inline bool jj_3R_282() + inline bool jj_3R_139() { if (jj_done) return true; - if (jj_3R_85()) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_287()) { + jj_scanpos = xsp; + if (jj_3R_288()) return true; + } return false; } - inline bool jj_3R_189() + inline bool jj_3R_287() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_86()) return true; return false; } - inline bool jj_3R_476() + inline bool jj_3R_194() { if (jj_done) return true; - if (jj_3R_549()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3_27() + inline bool jj_3R_485() { if (jj_done) return true; - if (jj_3R_84()) return true; + if (jj_3R_558()) return true; return false; } - inline bool jj_3_108() + inline bool jj_3_109() { if (jj_done) return true; - if (jj_3R_140()) return true; + if (jj_3R_142()) return true; return false; } - inline bool jj_3R_387() + inline bool jj_3R_392() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3_108()) return false; + if (jj_3_109()) { jj_scanpos = xsp; - if (jj_3R_476()) return true; + if (jj_3R_485()) return true; + } return false; } - inline bool jj_3R_109() + inline bool jj_3_27() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_85()) return true; + return false; + } + + inline bool jj_3R_111() + { + if (jj_done) return true; + if (jj_3R_63()) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3_107() + inline bool jj_3_108() { if (jj_done) return true; - if (jj_3R_138()) return true; - if (jj_3R_139()) return true; + if (jj_3R_140()) return true; + if (jj_3R_141()) return true; return false; } - inline bool jj_3R_728() + inline bool jj_3R_737() { if (jj_done) return true; if (jj_scan_token(ARRAY_T)) return true; - if (jj_3R_64()) return true; + if (jj_3R_65()) return true; if (jj_scan_token(OF_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_382() + inline bool jj_3R_387() { if (jj_done) return true; if (jj_scan_token(FOR_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_132() + inline bool jj_3R_134() { if (jj_done) return true; - if (jj_3R_139()) return true; + if (jj_3R_141()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3_107()) { jj_scanpos = xsp; break; } + if (jj_3_108()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_389() + inline bool jj_3R_394() { if (jj_done) return true; if (jj_scan_token(CONSTANT_T)) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_477()) jj_scanpos = xsp; + if (jj_3R_486()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_253() + inline bool jj_3R_258() { if (jj_done) return true; - if (jj_3R_112()) return true; + if (jj_3R_114()) return true; return false; } - inline bool jj_3R_117() + inline bool jj_3R_119() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_252()) return false; + if (jj_3R_257()) { jj_scanpos = xsp; - if (jj_3R_253()) return true; + if (jj_3R_258()) return true; + } return false; } - inline bool jj_3_106() + inline bool jj_3_107() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_252() + inline bool jj_3R_257() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } inline bool jj_3_26() { if (jj_done) return true; - if (jj_3R_83()) return true; - return false; - } - - inline bool jj_3R_396() - { - if (jj_done) return true; - if (jj_scan_token(FOR_T)) return true; - if (jj_3R_181()) return true; - if (jj_3R_344()) return true; - if (jj_scan_token(SEMI_T)) return true; + if (jj_3R_84()) return true; return false; } - inline bool jj_3R_225() + inline bool jj_3R_230() { if (jj_done) return true; if (jj_scan_token(ALL_T)) return true; return false; } - inline bool jj_3R_224() + inline bool jj_3R_401() { if (jj_done) return true; - if (jj_3R_318()) return true; + if (jj_scan_token(FOR_T)) return true; + if (jj_3R_183()) return true; + if (jj_3R_349()) return true; + if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_223() + inline bool jj_3R_229() { if (jj_done) return true; - if (jj_3R_369()) return true; + if (jj_3R_323()) return true; return false; } - inline bool jj_3R_589() + inline bool jj_3R_228() { if (jj_done) return true; - if (jj_3R_345()) return true; + if (jj_3R_374()) return true; return false; } - inline bool jj_3R_222() + inline bool jj_3R_598() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_350()) return true; return false; } - inline bool jj_3R_103() + inline bool jj_3R_105() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_222()) return false; + if (jj_3R_227()) { jj_scanpos = xsp; - if (!jj_3R_223()) return false; + if (jj_3R_228()) { jj_scanpos = xsp; - if (!jj_3R_224()) return false; + if (jj_3R_229()) { jj_scanpos = xsp; - if (jj_3R_225()) return true; + if (jj_3R_230()) return true; + } + } + } return false; } - inline bool jj_3R_588() + inline bool jj_3R_227() { if (jj_done) return true; - if (jj_3R_83()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_526() + inline bool jj_3R_597() + { + if (jj_done) return true; + if (jj_3R_84()) return true; + return false; + } + + inline bool jj_3R_535() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_588()) return false; + if (jj_3R_597()) { jj_scanpos = xsp; - if (jj_3R_589()) return true; + if (jj_3R_598()) return true; + } return false; } - inline bool jj_3_104() + inline bool jj_3_105() { if (jj_done) return true; - if (jj_3R_136()) return true; + if (jj_3R_138()) return true; return false; } - inline bool jj_3R_87() + inline bool jj_3R_89() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_104()) jj_scanpos = xsp; - if (jj_3R_189()) return true; + if (jj_3_105()) jj_scanpos = xsp; + if (jj_3R_194()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_189()) { jj_scanpos = xsp; break; } + if (jj_3R_194()) { jj_scanpos = xsp; break; } } xsp = jj_scanpos; - if (jj_3R_190()) jj_scanpos = xsp; + if (jj_3R_195()) jj_scanpos = xsp; return false; } - inline bool jj_3R_136() + inline bool jj_3R_138() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } @@ -4685,216 +4882,218 @@ void parseInline(); { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; if (jj_scan_token(ELSE_T)) return true; return false; } - inline bool jj_3R_388() + inline bool jj_3R_393() { if (jj_done) return true; if (jj_scan_token(SUBTYPE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IS_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_649() + inline bool jj_3R_658() { if (jj_done) return true; - if (jj_3R_115()) return true; + if (jj_3R_117()) return true; return false; } - inline bool jj_3R_594() + inline bool jj_3R_603() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_649()) { jj_scanpos = xsp; break; } + if (jj_3R_658()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_366() + inline bool jj_3R_372() { if (jj_done) return true; if (jj_scan_token(CONFIGURATION_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_331() + inline bool jj_3R_336() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; return false; } - inline bool jj_3R_330() + inline bool jj_3R_335() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; if (jj_scan_token(ELSE_T)) return true; - if (jj_3R_329()) return true; + if (jj_3R_334()) return true; return false; } - inline bool jj_3R_542() + inline bool jj_3R_551() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_592()) return true; + if (jj_3R_601()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_171() + inline bool jj_3R_173() { if (jj_done) return true; - if (jj_3R_329()) return true; + if (jj_3R_334()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_330()) { jj_scanpos = xsp; break; } + if (jj_3R_335()) { jj_scanpos = xsp; break; } } xsp = jj_scanpos; - if (jj_3R_331()) jj_scanpos = xsp; + if (jj_3R_336()) jj_scanpos = xsp; return false; } - inline bool jj_3R_74() + inline bool jj_3R_75() { if (jj_done) return true; - if (jj_3R_117()) return true; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; - if (jj_3R_170()) return true; - if (jj_3R_171()) return true; + if (jj_3R_172()) return true; + if (jj_3R_173()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_541() + inline bool jj_3R_550() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(84)) return false; + if (jj_scan_token(84)) { jj_scanpos = xsp; if (jj_scan_token(52)) return true; + } return false; } - inline bool jj_3_103() + inline bool jj_3_104() { if (jj_done) return true; - if (jj_3R_135()) return true; + if (jj_3R_137()) return true; return false; } - inline bool jj_3R_451() + inline bool jj_3R_460() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_541()) jj_scanpos = xsp; + if (jj_3R_550()) jj_scanpos = xsp; if (jj_scan_token(FUNCTION_T)) return true; - if (jj_3R_539()) return true; + if (jj_3R_548()) return true; xsp = jj_scanpos; - if (jj_3R_542()) jj_scanpos = xsp; + if (jj_3R_551()) jj_scanpos = xsp; if (jj_scan_token(RETURN_T)) return true; - if (jj_3R_159()) return true; + if (jj_3R_161()) return true; return false; } - inline bool jj_3R_381() + inline bool jj_3_103() { if (jj_done) return true; - if (jj_scan_token(UNTIL_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_136()) return true; return false; } - inline bool jj_3_102() + inline bool jj_3R_549() { if (jj_done) return true; - if (jj_3R_134()) return true; + if (jj_scan_token(LPAREN_T)) return true; + if (jj_3R_329()) return true; + if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_540() + inline bool jj_3R_386() { if (jj_done) return true; - if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_324()) return true; - if (jj_scan_token(RPAREN_T)) return true; + if (jj_scan_token(UNTIL_T)) return true; + if (jj_3R_83()) return true; return false; } - inline bool jj_3R_82() + inline bool jj_3R_83() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } inline bool jj_3_24() { if (jj_done) return true; - if (jj_3R_81()) return true; + if (jj_3R_82()) return true; return false; } inline bool jj_3_23() { if (jj_done) return true; - if (jj_3R_80()) return true; + if (jj_3R_81()) return true; return false; } inline bool jj_3_22() { if (jj_done) return true; - if (jj_3R_79()) return true; + if (jj_3R_80()) return true; return false; } - inline bool jj_3R_363() + inline bool jj_3R_369() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_450()) return false; + if (jj_3R_459()) { jj_scanpos = xsp; - if (jj_3R_451()) return true; + if (jj_3R_460()) return true; + } return false; } - inline bool jj_3R_450() + inline bool jj_3R_459() { if (jj_done) return true; if (jj_scan_token(PROCEDURE_T)) return true; - if (jj_3R_539()) return true; + if (jj_3R_548()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_540()) jj_scanpos = xsp; - xsp = jj_scanpos; - if (jj_3_102()) jj_scanpos = xsp; + if (jj_3R_549()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_3_103()) jj_scanpos = xsp; - if (jj_3R_442()) return true; + xsp = jj_scanpos; + if (jj_3_104()) jj_scanpos = xsp; + if (jj_3R_451()) return true; return false; } - inline bool jj_3R_78() + inline bool jj_3R_79() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } @@ -4904,75 +5103,76 @@ void parseInline(); if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_78()) jj_scanpos = xsp; + if (jj_3R_79()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; if (jj_scan_token(ASSERT_T)) return true; return false; } - inline bool jj_3R_583() + inline bool jj_3R_592() { if (jj_done) return true; - if (jj_3R_81()) return true; + if (jj_3R_82()) return true; return false; } - inline bool jj_3R_650() + inline bool jj_3R_659() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(46)) return false; + if (jj_scan_token(46)) { jj_scanpos = xsp; if (jj_scan_token(80)) return true; + } return false; } - inline bool jj_3R_582() + inline bool jj_3R_591() { if (jj_done) return true; - if (jj_3R_80()) return true; + if (jj_3R_81()) return true; return false; } inline bool jj_3_20() { if (jj_done) return true; - if (jj_3R_77()) return true; + if (jj_3R_78()) return true; return false; } - inline bool jj_3R_648() + inline bool jj_3R_657() { if (jj_done) return true; - if (jj_3R_673()) return true; + if (jj_3R_682()) return true; return false; } - inline bool jj_3R_581() + inline bool jj_3R_602() { if (jj_done) return true; - if (jj_3R_79()) return true; + Token * xsp; + while (true) { + xsp = jj_scanpos; + if (jj_3R_657()) { jj_scanpos = xsp; break; } + } return false; } - inline bool jj_3R_76() + inline bool jj_3R_590() { if (jj_done) return true; - if (jj_3R_73()) return true; - if (jj_scan_token(COLON_T)) return true; + if (jj_3R_80()) return true; return false; } - inline bool jj_3R_593() + inline bool jj_3R_77() { if (jj_done) return true; - Token * xsp; - while (true) { - xsp = jj_scanpos; - if (jj_3R_648()) { jj_scanpos = xsp; break; } - } + if (jj_3R_74()) return true; + if (jj_scan_token(COLON_T)) return true; return false; } @@ -4981,24 +5181,24 @@ void parseInline(); if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_76()) jj_scanpos = xsp; + if (jj_3R_77()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; if (jj_scan_token(PROCESS_T)) return true; return false; } - inline bool jj_3R_580() + inline bool jj_3R_589() { if (jj_done) return true; - if (jj_3R_90()) return true; + if (jj_3R_92()) return true; return false; } - inline bool jj_3R_75() + inline bool jj_3R_76() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } @@ -5008,232 +5208,260 @@ void parseInline(); if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_75()) jj_scanpos = xsp; + if (jj_3R_76()) jj_scanpos = xsp; if (jj_scan_token(BLOCK_T)) return true; return false; } - inline bool jj_3R_579() + inline bool jj_3_101() { if (jj_done) return true; - if (jj_3R_637()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3_100() + inline bool jj_3R_707() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_403()) return true; return false; } - inline bool jj_3R_698() + inline bool jj_3R_588() { if (jj_done) return true; - if (jj_3R_398()) return true; + if (jj_3R_646()) return true; return false; } - inline bool jj_3R_578() + inline bool jj_3R_587() { if (jj_done) return true; - if (jj_3R_77()) return true; + if (jj_3R_78()) return true; return false; } - inline bool jj_3R_697() + inline bool jj_3R_706() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_352()) return true; return false; } - inline bool jj_3_101() + inline bool jj_3_102() { if (jj_done) return true; - if (jj_3R_67()) return true; + if (jj_3R_68()) return true; return false; } - inline bool jj_3R_696() + inline bool jj_3R_705() { if (jj_done) return true; - if (jj_3R_395()) return true; + if (jj_3R_400()) return true; return false; } - inline bool jj_3R_577() + inline bool jj_3R_586() { if (jj_done) return true; - if (jj_3R_91()) return true; + if (jj_3R_93()) return true; return false; } - inline bool jj_3R_576() + inline bool jj_3R_704() { if (jj_done) return true; - if (jj_3R_636()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3R_521() + inline bool jj_3R_703() + { + if (jj_done) return true; + if (jj_3R_398()) return true; + return false; + } + + inline bool jj_3R_585() + { + if (jj_done) return true; + if (jj_3R_645()) return true; + return false; + } + + inline bool jj_3R_530() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_576()) return false; + if (jj_3R_585()) { jj_scanpos = xsp; - if (!jj_3R_577()) return false; + if (jj_3R_586()) { jj_scanpos = xsp; - if (!jj_3R_578()) return false; + if (jj_3R_587()) { jj_scanpos = xsp; - if (!jj_3R_579()) return false; + if (jj_3R_588()) { jj_scanpos = xsp; - if (!jj_3R_580()) return false; + if (jj_3R_589()) { jj_scanpos = xsp; - if (!jj_3R_581()) return false; + if (jj_3R_590()) { jj_scanpos = xsp; - if (!jj_3R_582()) return false; + if (jj_3R_591()) { jj_scanpos = xsp; - if (!jj_3R_583()) return false; + if (jj_3R_592()) { jj_scanpos = xsp; if (jj_scan_token(189)) return true; + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_695() - { - if (jj_done) return true; - if (jj_3R_66()) return true; - return false; - } - - inline bool jj_3R_694() + inline bool jj_3R_702() { if (jj_done) return true; - if (jj_3R_393()) return true; + if (jj_3R_397()) return true; return false; } inline bool jj_3_17() { if (jj_done) return true; - if (jj_3R_74()) return true; - return false; - } - - inline bool jj_3R_693() - { - if (jj_done) return true; - if (jj_3R_392()) return true; + if (jj_3R_75()) return true; return false; } - inline bool jj_3R_692() + inline bool jj_3R_701() { if (jj_done) return true; - if (jj_3R_391()) return true; + if (jj_3R_396()) return true; return false; } - inline bool jj_3R_596() + inline bool jj_3R_605() { if (jj_done) return true; - if (jj_3R_539()) return true; + if (jj_3R_548()) return true; return false; } - inline bool jj_3R_691() + inline bool jj_3R_700() { if (jj_done) return true; - if (jj_3R_389()) return true; + if (jj_3R_394()) return true; return false; } - inline bool jj_3R_690() + inline bool jj_3R_699() { if (jj_done) return true; - if (jj_3R_388()) return true; + if (jj_3R_393()) return true; return false; } - inline bool jj_3R_689() + inline bool jj_3R_698() { if (jj_done) return true; - if (jj_3R_543()) return true; + if (jj_3R_552()) return true; return false; } - inline bool jj_3R_176() + inline bool jj_3R_697() { if (jj_done) return true; - if (jj_3R_337()) return true; + if (jj_3R_392()) return true; return false; } - inline bool jj_3R_688() + inline bool jj_3R_178() { if (jj_done) return true; - if (jj_3R_387()) return true; + if (jj_3R_342()) return true; return false; } - inline bool jj_3R_687() + inline bool jj_3R_696() { if (jj_done) return true; - if (jj_3R_96()) return true; + if (jj_3R_98()) return true; return false; } - inline bool jj_3R_673() + inline bool jj_3R_682() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_687()) return false; + if (jj_3R_696()) { jj_scanpos = xsp; - if (!jj_3R_688()) return false; + if (jj_3R_697()) { jj_scanpos = xsp; - if (!jj_3R_689()) return false; + if (jj_3R_698()) { jj_scanpos = xsp; - if (!jj_3R_690()) return false; + if (jj_3R_699()) { jj_scanpos = xsp; - if (!jj_3R_691()) return false; + if (jj_3R_700()) { jj_scanpos = xsp; - if (!jj_3R_692()) return false; + if (jj_3R_701()) { jj_scanpos = xsp; - if (!jj_3R_693()) return false; + if (jj_3R_702()) { jj_scanpos = xsp; - if (!jj_3R_694()) return false; + if (jj_3R_703()) { jj_scanpos = xsp; - if (!jj_3R_695()) return false; + if (jj_3R_704()) { jj_scanpos = xsp; - if (!jj_3R_696()) return false; + if (jj_3R_705()) { jj_scanpos = xsp; - if (!jj_3R_697()) return false; + if (jj_3R_706()) { jj_scanpos = xsp; - if (!jj_3_101()) return false; + if (jj_3_102()) { jj_scanpos = xsp; - if (jj_3R_698()) return true; + if (jj_3R_707()) return true; + } + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_175() + inline bool jj_3R_177() { if (jj_done) return true; - if (jj_3R_74()) return true; + if (jj_3R_75()) return true; return false; } inline bool jj_3_16() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_79() + inline bool jj_3R_488() + { + if (jj_done) return true; + if (jj_scan_token(VARASSIGN_T)) return true; + if (jj_3R_61()) return true; + return false; + } + + inline bool jj_3R_80() { if (jj_done) return true; Token * xsp; @@ -5242,54 +5470,48 @@ void parseInline(); xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; xsp = jj_scanpos; - if (!jj_3R_175()) return false; + if (jj_3R_177()) { jj_scanpos = xsp; - if (jj_3R_176()) return true; - return false; - } - - inline bool jj_3R_479() - { - if (jj_done) return true; - if (jj_scan_token(VARASSIGN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_178()) return true; + } return false; } - inline bool jj_3_99() + inline bool jj_3_100() { if (jj_done) return true; - if (jj_3R_133()) return true; + if (jj_3R_135()) return true; return false; } - inline bool jj_3R_364() + inline bool jj_3R_370() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_452()) return false; + if (jj_3R_461()) { jj_scanpos = xsp; if (jj_scan_token(137)) return true; + } return false; } - inline bool jj_3R_452() + inline bool jj_3R_461() { if (jj_done) return true; - if (jj_3R_543()) return true; + if (jj_3R_552()) return true; return false; } inline bool jj_3_15() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_81() + inline bool jj_3R_82() { if (jj_done) return true; Token * xsp; @@ -5297,7 +5519,7 @@ void parseInline(); if (jj_3_15()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; - if (jj_3R_180()) return true; + if (jj_3R_182()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } @@ -5305,7 +5527,7 @@ void parseInline(); inline bool jj_3_14() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } @@ -5313,19 +5535,19 @@ void parseInline(); inline bool jj_3_13() { if (jj_done) return true; - if (jj_3R_72()) return true; + if (jj_3R_73()) return true; return false; } - inline bool jj_3R_206() + inline bool jj_3R_211() { if (jj_done) return true; - if (jj_3R_363()) return true; - if (jj_3R_364()) return true; + if (jj_3R_369()) return true; + if (jj_3R_370()) return true; return false; } - inline bool jj_3R_90() + inline bool jj_3R_92() { if (jj_done) return true; Token * xsp; @@ -5333,288 +5555,290 @@ void parseInline(); if (jj_3_14()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; - if (jj_3R_193()) return true; + if (jj_3R_198()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_595() + inline bool jj_3R_604() { if (jj_done) return true; - if (jj_3R_650()) return true; + if (jj_3R_659()) return true; return false; } - inline bool jj_3R_205() + inline bool jj_3R_210() { if (jj_done) return true; - if (jj_3R_133()) return true; + if (jj_3R_135()) return true; return false; } - inline bool jj_3R_96() + inline bool jj_3R_98() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_205()) return false; + if (jj_3R_210()) { jj_scanpos = xsp; - if (jj_3R_206()) return true; + if (jj_3R_211()) return true; + } return false; } - inline bool jj_3_98() + inline bool jj_3_99() { if (jj_done) return true; - if (jj_3R_131()) return true; - if (jj_3R_132()) return true; + if (jj_3R_133()) return true; + if (jj_3R_134()) return true; return false; } - inline bool jj_3R_702() + inline bool jj_3R_711() { if (jj_done) return true; - if (jj_3R_715()) return true; + if (jj_3R_724()) return true; return false; } - inline bool jj_3R_701() + inline bool jj_3R_710() { if (jj_done) return true; - if (jj_3R_714()) return true; + if (jj_3R_723()) return true; return false; } - inline bool jj_3R_675() + inline bool jj_3R_684() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_701()) return false; + if (jj_3R_710()) { jj_scanpos = xsp; - if (jj_3R_702()) return true; + if (jj_3R_711()) return true; + } return false; } - inline bool jj_3R_179() + inline bool jj_3R_181() { if (jj_done) return true; - if (jj_3R_341()) return true; + if (jj_3R_346()) return true; return false; } - inline bool jj_3R_178() + inline bool jj_3R_180() { if (jj_done) return true; - if (jj_3R_72()) return true; + if (jj_3R_73()) return true; return false; } - inline bool jj_3R_487() + inline bool jj_3R_496() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_181() + inline bool jj_3R_487() { if (jj_done) return true; - if (jj_3R_343()) return true; - if (jj_scan_token(COLON_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_559()) return true; return false; } - inline bool jj_3R_478() + inline bool jj_3R_183() { if (jj_done) return true; - if (jj_3R_550()) return true; + if (jj_3R_348()) return true; + if (jj_scan_token(COLON_T)) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_162() + inline bool jj_3R_164() { if (jj_done) return true; - if (jj_3R_131()) return true; - if (jj_3R_132()) return true; + if (jj_3R_133()) return true; + if (jj_3R_134()) return true; return false; } - inline bool jj_3R_543() + inline bool jj_3R_552() { if (jj_done) return true; if (jj_scan_token(IS_T)) return true; - if (jj_3R_593()) return true; + if (jj_3R_602()) return true; if (jj_scan_token(BEGIN_T)) return true; - if (jj_3R_594()) return true; + if (jj_3R_603()) return true; if (jj_scan_token(END_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_595()) jj_scanpos = xsp; + if (jj_3R_604()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_596()) jj_scanpos = xsp; + if (jj_3R_605()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_80() + inline bool jj_3R_81() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_177()) return true; + if (jj_3R_179()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_178()) jj_scanpos = xsp; + if (jj_3R_180()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_179()) jj_scanpos = xsp; + if (jj_3R_181()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_373() + inline bool jj_3R_378() { if (jj_done) return true; if (jj_scan_token(STRINGLITERAL)) return true; return false; } - inline bool jj_3R_486() + inline bool jj_3R_495() { if (jj_done) return true; - if (jj_3R_555()) return true; + if (jj_3R_564()) return true; return false; } - inline bool jj_3R_485() + inline bool jj_3R_494() { if (jj_done) return true; - if (jj_3R_68()) return true; + if (jj_3R_69()) return true; return false; } - inline bool jj_3R_183() + inline bool jj_3R_185() { if (jj_done) return true; - if (jj_3R_345()) return true; + if (jj_3R_350()) return true; return false; } - inline bool jj_3R_182() + inline bool jj_3R_184() { if (jj_done) return true; - if (jj_3R_344()) return true; + if (jj_3R_349()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_453() + inline bool jj_3R_462() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_192() + inline bool jj_3R_197() { if (jj_done) return true; if (jj_scan_token(BAR_T)) return true; - if (jj_3R_191()) return true; + if (jj_3R_196()) return true; return false; } - inline bool jj_3R_394() + inline bool jj_3R_399() { if (jj_done) return true; if (jj_scan_token(COMPONENT_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(56)) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_485()) jj_scanpos = xsp; + if (jj_3R_494()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_486()) jj_scanpos = xsp; + if (jj_3R_495()) jj_scanpos = xsp; if (jj_scan_token(END_T)) return true; if (jj_scan_token(COMPONENT_T)) return true; xsp = jj_scanpos; - if (jj_3R_487()) jj_scanpos = xsp; + if (jj_3R_496()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_667() + inline bool jj_3R_163() { if (jj_done) return true; - if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; - if (jj_scan_token(RPAREN_T)) return true; + if (jj_3R_330()) return true; return false; } - inline bool jj_3R_161() + inline bool jj_3R_676() { if (jj_done) return true; - if (jj_3R_325()) return true; + if (jj_scan_token(LPAREN_T)) return true; + if (jj_3R_61()) return true; + if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_83() + inline bool jj_3R_70() { if (jj_done) return true; - if (jj_scan_token(FOR_T)) return true; - if (jj_3R_181()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_182()) jj_scanpos = xsp; - xsp = jj_scanpos; - if (jj_3R_183()) jj_scanpos = xsp; - if (jj_scan_token(END_T)) return true; - if (jj_scan_token(FOR_T)) return true; - if (jj_scan_token(SEMI_T)) return true; + if (jj_3R_163()) jj_scanpos = xsp; + if (jj_3R_134()) return true; + while (true) { + xsp = jj_scanpos; + if (jj_3R_164()) { jj_scanpos = xsp; break; } + } return false; } - inline bool jj_3R_69() + inline bool jj_3R_84() { if (jj_done) return true; + if (jj_scan_token(FOR_T)) return true; + if (jj_3R_183()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_161()) jj_scanpos = xsp; - if (jj_3R_132()) return true; - while (true) { - xsp = jj_scanpos; - if (jj_3R_162()) { jj_scanpos = xsp; break; } - } + if (jj_3R_184()) jj_scanpos = xsp; + xsp = jj_scanpos; + if (jj_3R_185()) jj_scanpos = xsp; + if (jj_scan_token(END_T)) return true; + if (jj_scan_token(FOR_T)) return true; + if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_375() + inline bool jj_3R_380() { if (jj_done) return true; if (jj_scan_token(RETURN_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_658() + inline bool jj_3R_667() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_374() + inline bool jj_3R_379() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_453()) { jj_scanpos = xsp; break; } + if (jj_3R_462()) { jj_scanpos = xsp; break; } } return false; } @@ -5622,311 +5846,320 @@ void parseInline(); inline bool jj_3_12() { if (jj_done) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; return false; } - inline bool jj_3R_88() + inline bool jj_3R_90() { if (jj_done) return true; - if (jj_3R_191()) return true; + if (jj_3R_196()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_192()) { jj_scanpos = xsp; break; } + if (jj_3R_197()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3_11() - { - if (jj_done) return true; - if (jj_3R_69()) return true; - return false; - } - - inline bool jj_3R_242() + inline bool jj_3R_247() { if (jj_done) return true; if (jj_scan_token(LBRACKET_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_374()) jj_scanpos = xsp; + if (jj_3R_379()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_375()) jj_scanpos = xsp; + if (jj_3R_380()) jj_scanpos = xsp; if (jj_scan_token(RBRACKET_T)) return true; return false; } - inline bool jj_3R_353() + inline bool jj_3_11() { if (jj_done) return true; - if (jj_scan_token(OTHER_T)) return true; + if (jj_3R_70()) return true; return false; } - inline bool jj_3R_627() + inline bool jj_3R_636() { if (jj_done) return true; if (jj_scan_token(ALL_T)) return true; return false; } - inline bool jj_3_10() + inline bool jj_3R_359() { if (jj_done) return true; - if (jj_3R_69()) return true; - if (jj_3R_70()) return true; + if (jj_scan_token(OTHER_T)) return true; return false; } - inline bool jj_3R_626() + inline bool jj_3R_635() { if (jj_done) return true; if (jj_scan_token(OTHER_T)) return true; return false; } - inline bool jj_3R_352() + inline bool jj_3_10() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_70()) return true; + if (jj_3R_71()) return true; return false; } - inline bool jj_3R_625() + inline bool jj_3R_358() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_74()) return true; + return false; + } + + inline bool jj_3R_634() + { + if (jj_done) return true; + if (jj_3R_63()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_658()) { jj_scanpos = xsp; break; } + if (jj_3R_667()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_558() + inline bool jj_3R_567() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_625()) return false; + if (jj_3R_634()) { jj_scanpos = xsp; - if (!jj_3R_626()) return false; + if (jj_3R_635()) { jj_scanpos = xsp; - if (jj_3R_627()) return true; - return false; - } - - inline bool jj_3R_351() - { - if (jj_done) return true; - if (jj_3R_71()) return true; + if (jj_3R_636()) return true; + } + } return false; } - inline bool jj_3R_600() + inline bool jj_3R_609() { if (jj_done) return true; if (jj_scan_token(BUS_T)) return true; return false; } - inline bool jj_3R_350() + inline bool jj_3R_357() { if (jj_done) return true; - if (jj_3R_69()) return true; + if (jj_3R_72()) return true; return false; } - inline bool jj_3R_599() + inline bool jj_3R_608() { if (jj_done) return true; if (jj_scan_token(REGISTER_T)) return true; return false; } - inline bool jj_3R_550() + inline bool jj_3R_559() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_599()) return false; + if (jj_3R_608()) { jj_scanpos = xsp; - if (jj_3R_600()) return true; + if (jj_3R_609()) return true; + } return false; } - inline bool jj_3R_191() + inline bool jj_3R_356() + { + if (jj_done) return true; + if (jj_3R_70()) return true; + return false; + } + + inline bool jj_3R_196() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_349()) return false; + if (jj_3R_355()) { jj_scanpos = xsp; - if (!jj_3R_350()) return false; + if (jj_3R_356()) { jj_scanpos = xsp; - if (!jj_3R_351()) return false; + if (jj_3R_357()) { jj_scanpos = xsp; - if (!jj_3R_352()) return false; + if (jj_3R_358()) { jj_scanpos = xsp; - if (jj_3R_353()) return true; + if (jj_3R_359()) return true; + } + } + } + } return false; } - inline bool jj_3R_349() + inline bool jj_3R_355() { if (jj_done) return true; - if (jj_3R_86()) return true; + if (jj_3R_88()) return true; return false; } - inline bool jj_3R_646() + inline bool jj_3R_655() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_369() + inline bool jj_3R_374() { if (jj_done) return true; if (jj_scan_token(CHARACTER_LITERAL)) return true; return false; } - inline bool jj_3R_390() + inline bool jj_3R_395() { if (jj_done) return true; if (jj_scan_token(SIGNAL_T)) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_478()) jj_scanpos = xsp; + if (jj_3R_487()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_479()) jj_scanpos = xsp; + if (jj_3R_488()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_644() + inline bool jj_3R_653() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; if (jj_scan_token(ARROW_T)) return true; - if (jj_3R_265()) return true; + if (jj_3R_270()) return true; return false; } - inline bool jj_3R_671() + inline bool jj_3_98() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_132()) return true; return false; } - inline bool jj_3_97() + inline bool jj_3R_680() { if (jj_done) return true; - if (jj_3R_130()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_645() + inline bool jj_3R_654() { if (jj_done) return true; - if (jj_3R_644()) return true; + if (jj_3R_653()) return true; return false; } - inline bool jj_3R_709() + inline bool jj_3R_718() { if (jj_done) return true; - if (jj_3R_72()) return true; + if (jj_3R_73()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_96() + inline bool jj_3_97() { if (jj_done) return true; - if (jj_3R_129()) return true; + if (jj_3R_131()) return true; return false; } - inline bool jj_3R_544() + inline bool jj_3R_553() { if (jj_done) return true; - if (jj_3R_420()) return true; + if (jj_3R_425()) return true; return false; } - inline bool jj_3_95() + inline bool jj_3_96() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_456() + inline bool jj_3R_465() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_95()) jj_scanpos = xsp; - if (jj_3R_117()) return true; + if (jj_3_96()) jj_scanpos = xsp; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; xsp = jj_scanpos; - if (jj_3R_544()) jj_scanpos = xsp; - if (jj_3R_329()) return true; + if (jj_3R_553()) jj_scanpos = xsp; + if (jj_3R_334()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_455() + inline bool jj_3R_464() { if (jj_done) return true; - if (jj_3R_130()) return true; + if (jj_3R_132()) return true; return false; } - inline bool jj_3R_402() + inline bool jj_3R_407() { if (jj_done) return true; - if (jj_3R_494()) return true; - if (jj_3R_69()) return true; + if (jj_3R_503()) return true; + if (jj_3R_70()) return true; return false; } - inline bool jj_3R_377() + inline bool jj_3R_382() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_454()) return false; + if (jj_3R_463()) { jj_scanpos = xsp; - if (!jj_3R_455()) return false; + if (jj_3R_464()) { jj_scanpos = xsp; - if (jj_3R_456()) return true; + if (jj_3R_465()) return true; + } + } return false; } - inline bool jj_3R_454() + inline bool jj_3R_463() { if (jj_done) return true; - if (jj_3R_129()) return true; + if (jj_3R_131()) return true; return false; } - inline bool jj_3R_262() + inline bool jj_3R_267() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(155)) jj_scanpos = xsp; @@ -5934,298 +6167,311 @@ void parseInline(); return false; } - inline bool jj_3R_417() + inline bool jj_3R_422() { if (jj_done) return true; if (jj_scan_token(MINUS_T)) return true; return false; } - inline bool jj_3R_124() + inline bool jj_3R_126() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_262()) jj_scanpos = xsp; + if (jj_3R_267()) jj_scanpos = xsp; if (jj_scan_token(CASE_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(IS_T)) return true; - if (jj_3R_644()) return true; + if (jj_3R_653()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_645()) { jj_scanpos = xsp; break; } + if (jj_3R_654()) { jj_scanpos = xsp; break; } } if (jj_scan_token(END_T)) return true; if (jj_scan_token(CASE_T)) return true; xsp = jj_scanpos; - if (jj_3R_646()) jj_scanpos = xsp; + if (jj_3R_655()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_684() + inline bool jj_3R_693() { if (jj_done) return true; - if (jj_3R_521()) return true; + if (jj_3R_530()) return true; return false; } - inline bool jj_3R_325() + inline bool jj_3R_330() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_416()) return false; + if (jj_3R_421()) { jj_scanpos = xsp; - if (jj_3R_417()) return true; + if (jj_3R_422()) return true; + } return false; } - inline bool jj_3R_416() + inline bool jj_3R_421() { if (jj_done) return true; if (jj_scan_token(PLUS_T)) return true; return false; } - inline bool jj_3R_670() + inline bool jj_3R_679() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_684()) { jj_scanpos = xsp; break; } + if (jj_3R_693()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_569() + inline bool jj_3R_578() { if (jj_done) return true; if (jj_scan_token(ROR_T)) return true; return false; } - inline bool jj_3R_568() + inline bool jj_3R_577() { if (jj_done) return true; if (jj_scan_token(ROL_T)) return true; return false; } - inline bool jj_3R_567() + inline bool jj_3R_576() { if (jj_done) return true; if (jj_scan_token(SRA_T)) return true; return false; } - inline bool jj_3R_566() + inline bool jj_3R_575() { if (jj_done) return true; if (jj_scan_token(SLA_T)) return true; return false; } - inline bool jj_3R_565() + inline bool jj_3R_574() { if (jj_done) return true; if (jj_scan_token(SRL_T)) return true; return false; } - inline bool jj_3R_710() - { - if (jj_done) return true; - if (jj_3R_341()) return true; - if (jj_scan_token(SEMI_T)) return true; - return false; - } - - inline bool jj_3R_564() + inline bool jj_3R_573() { if (jj_done) return true; if (jj_scan_token(SLL_T)) return true; return false; } - inline bool jj_3R_494() + inline bool jj_3R_503() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_564()) return false; + if (jj_3R_573()) { jj_scanpos = xsp; - if (!jj_3R_565()) return false; + if (jj_3R_574()) { jj_scanpos = xsp; - if (!jj_3R_566()) return false; + if (jj_3R_575()) { jj_scanpos = xsp; - if (!jj_3R_567()) return false; + if (jj_3R_576()) { jj_scanpos = xsp; - if (!jj_3R_568()) return false; + if (jj_3R_577()) { jj_scanpos = xsp; - if (jj_3R_569()) return true; + if (jj_3R_578()) return true; + } + } + } + } + } return false; } - inline bool jj_3R_525() + inline bool jj_3R_719() + { + if (jj_done) return true; + if (jj_3R_346()) return true; + if (jj_scan_token(SEMI_T)) return true; + return false; + } + + inline bool jj_3R_534() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_587()) return true; + if (jj_3R_596()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_315() + inline bool jj_3R_320() { if (jj_done) return true; - if (jj_3R_69()) return true; + if (jj_3R_70()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_402()) jj_scanpos = xsp; + if (jj_3R_407()) jj_scanpos = xsp; return false; } - inline bool jj_3R_636() + inline bool jj_3R_645() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; if (jj_scan_token(BLOCK_T)) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_667()) jj_scanpos = xsp; + if (jj_3R_676()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(56)) jj_scanpos = xsp; - if (jj_3R_668()) return true; - if (jj_3R_669()) return true; + if (jj_3R_677()) return true; + if (jj_3R_678()) return true; if (jj_scan_token(BEGIN_T)) return true; - if (jj_3R_670()) return true; + if (jj_3R_679()) return true; if (jj_scan_token(END_T)) return true; if (jj_scan_token(BLOCK_T)) return true; xsp = jj_scanpos; - if (jj_3R_671()) jj_scanpos = xsp; + if (jj_3R_680()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_251() + inline bool jj_3R_256() { if (jj_done) return true; - if (jj_3R_379()) return true; + if (jj_3R_384()) return true; return false; } inline bool jj_3_9() { if (jj_done) return true; - if (jj_3R_68()) return true; + if (jj_3R_69()) return true; return false; } - inline bool jj_3_94() + inline bool jj_3_95() { if (jj_done) return true; - if (jj_3R_128()) return true; + if (jj_3R_130()) return true; return false; } - inline bool jj_3R_436() + inline bool jj_3R_441() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_525()) jj_scanpos = xsp; + if (jj_3R_534()) jj_scanpos = xsp; return false; } - inline bool jj_3_93() + inline bool jj_3_94() { if (jj_done) return true; - if (jj_3R_127()) return true; + if (jj_3R_129()) return true; return false; } - inline bool jj_3R_682() + inline bool jj_3_93() { if (jj_done) return true; - if (jj_3R_555()) return true; - Token * xsp; - xsp = jj_scanpos; - if (jj_3R_710()) jj_scanpos = xsp; + if (jj_3R_128()) return true; return false; } - inline bool jj_3_92() + inline bool jj_3R_691() { if (jj_done) return true; - if (jj_3R_126()) return true; + if (jj_3R_564()) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_719()) jj_scanpos = xsp; return false; } - inline bool jj_3R_121() + inline bool jj_3R_123() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_681() + inline bool jj_3R_690() { if (jj_done) return true; - if (jj_3R_68()) return true; + if (jj_3R_69()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_709()) jj_scanpos = xsp; + if (jj_3R_718()) jj_scanpos = xsp; return false; } - inline bool jj_3R_668() + inline bool jj_3_88() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_681()) jj_scanpos = xsp; - xsp = jj_scanpos; - if (jj_3R_682()) jj_scanpos = xsp; + if (jj_3R_123()) jj_scanpos = xsp; + if (jj_3R_119()) return true; + if (jj_scan_token(VARASSIGN_T)) return true; return false; } - inline bool jj_3_87() + inline bool jj_3_92() + { + if (jj_done) return true; + if (jj_3R_127()) return true; + return false; + } + + inline bool jj_3R_677() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_121()) jj_scanpos = xsp; - if (jj_3R_117()) return true; - if (jj_scan_token(VARASSIGN_T)) return true; + if (jj_3R_690()) jj_scanpos = xsp; + xsp = jj_scanpos; + if (jj_3R_691()) jj_scanpos = xsp; return false; } - inline bool jj_3_91() + inline bool jj_3R_692() { if (jj_done) return true; - if (jj_3R_125()) return true; + if (jj_3R_146()) return true; return false; } - inline bool jj_3R_683() + inline bool jj_3_91() { if (jj_done) return true; - if (jj_3R_144()) return true; + if (jj_3R_126()) return true; return false; } - inline bool jj_3R_669() + inline bool jj_3R_678() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_683()) { jj_scanpos = xsp; break; } + if (jj_3R_692()) { jj_scanpos = xsp; break; } } return false; } @@ -6233,332 +6479,352 @@ void parseInline(); inline bool jj_3_90() { if (jj_done) return true; - if (jj_3R_124()) return true; + if (jj_3R_125()) return true; return false; } inline bool jj_3_89() { if (jj_done) return true; - if (jj_3R_123()) return true; - return false; - } - - inline bool jj_3R_308() - { - if (jj_done) return true; - if (jj_3R_398()) return true; + if (jj_3R_124()) return true; return false; } - inline bool jj_3_88() + inline bool jj_3R_313() { if (jj_done) return true; - if (jj_3R_122()) return true; + if (jj_3R_403()) return true; return false; } inline bool jj_3_7() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3R_116() + inline bool jj_3R_118() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_250() - { - if (jj_done) return true; - if (jj_3R_378()) return true; - return false; - } - - inline bool jj_3_8() - { - if (jj_done) return true; - if (jj_3R_67()) return true; - return false; - } - - inline bool jj_3R_307() + inline bool jj_3R_255() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_383()) return true; return false; } - inline bool jj_3_83() + inline bool jj_3_84() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_116()) jj_scanpos = xsp; - if (jj_3R_117()) return true; + if (jj_3R_118()) jj_scanpos = xsp; + if (jj_3R_119()) return true; if (jj_scan_token(LESSTHAN_T)) return true; return false; } - inline bool jj_3R_306() + inline bool jj_3_8() { if (jj_done) return true; - if (jj_3R_397()) return true; + if (jj_3R_68()) return true; return false; } - inline bool jj_3R_305() + inline bool jj_3R_312() { if (jj_done) return true; - if (jj_3R_396()) return true; + if (jj_3R_352()) return true; return false; } - inline bool jj_3_86() + inline bool jj_3R_311() { if (jj_done) return true; - if (jj_3R_120()) return true; + if (jj_3R_402()) return true; return false; } - inline bool jj_3R_304() + inline bool jj_3_87() { if (jj_done) return true; - if (jj_3R_395()) return true; + if (jj_3R_122()) return true; return false; } - inline bool jj_3_85() + inline bool jj_3R_310() { if (jj_done) return true; - if (jj_3R_119()) return true; + if (jj_3R_401()) return true; return false; } - inline bool jj_3R_302() + inline bool jj_3R_309() { if (jj_done) return true; - if (jj_3R_394()) return true; + if (jj_3R_400()) return true; return false; } - inline bool jj_3R_303() + inline bool jj_3_86() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_121()) return true; return false; } - inline bool jj_3R_523() + inline bool jj_3R_532() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_329()) return true; + if (jj_3R_334()) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; return false; } - inline bool jj_3R_301() + inline bool jj_3R_307() { if (jj_done) return true; - if (jj_3R_393()) return true; + if (jj_3R_399()) return true; return false; } - inline bool jj_3_84() + inline bool jj_3R_308() { if (jj_done) return true; - if (jj_3R_118()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3R_300() + inline bool jj_3_85() { if (jj_done) return true; - if (jj_3R_392()) return true; + if (jj_3R_120()) return true; return false; } - inline bool jj_3R_299() + inline bool jj_3R_306() { if (jj_done) return true; - if (jj_3R_391()) return true; + if (jj_3R_398()) return true; return false; } - inline bool jj_3R_298() + inline bool jj_3R_305() { if (jj_done) return true; - if (jj_3R_390()) return true; + if (jj_3R_397()) return true; return false; } - inline bool jj_3R_115() + inline bool jj_3R_304() + { + if (jj_done) return true; + if (jj_3R_396()) return true; + return false; + } + + inline bool jj_3R_117() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_249()) return false; + if (jj_3R_254()) { jj_scanpos = xsp; - if (!jj_3_84()) return false; + if (jj_3_85()) { jj_scanpos = xsp; - if (!jj_3_85()) return false; + if (jj_3_86()) { jj_scanpos = xsp; - if (!jj_3_86()) return false; + if (jj_3_87()) { jj_scanpos = xsp; - if (!jj_3R_250()) return false; + if (jj_3R_255()) { jj_scanpos = xsp; - if (!jj_3_88()) return false; + if (jj_3_89()) { jj_scanpos = xsp; - if (!jj_3_89()) return false; + if (jj_3_90()) { jj_scanpos = xsp; - if (!jj_3_90()) return false; + if (jj_3_91()) { jj_scanpos = xsp; - if (!jj_3_91()) return false; + if (jj_3_92()) { jj_scanpos = xsp; - if (!jj_3_92()) return false; + if (jj_3_93()) { jj_scanpos = xsp; - if (!jj_3_93()) return false; + if (jj_3_94()) { jj_scanpos = xsp; - if (!jj_3_94()) return false; + if (jj_3_95()) { jj_scanpos = xsp; - if (jj_3R_251()) return true; + if (jj_3R_256()) return true; + } + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_249() + inline bool jj_3R_254() { if (jj_done) return true; - if (jj_3R_377()) return true; + if (jj_3R_382()) return true; return false; } - inline bool jj_3R_297() + inline bool jj_3R_303() { if (jj_done) return true; - if (jj_3R_389()) return true; + if (jj_3R_395()) return true; return false; } - inline bool jj_3R_296() + inline bool jj_3R_302() { if (jj_done) return true; - if (jj_3R_388()) return true; + if (jj_3R_394()) return true; return false; } - inline bool jj_3R_438() + inline bool jj_3R_536() { if (jj_done) return true; - if (jj_3R_526()) return true; + if (jj_scan_token(COMMA_T)) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_527() + inline bool jj_3R_301() { if (jj_done) return true; - if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_393()) return true; return false; } - inline bool jj_3R_295() + inline bool jj_3R_443() { if (jj_done) return true; - if (jj_3R_387()) return true; + if (jj_3R_535()) return true; return false; } - inline bool jj_3R_437() + inline bool jj_3R_300() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_392()) return true; return false; } - inline bool jj_3R_144() + inline bool jj_3R_442() + { + if (jj_done) return true; + if (jj_3R_352()) return true; + return false; + } + + inline bool jj_3R_146() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_294()) return false; + if (jj_3R_299()) { jj_scanpos = xsp; - if (!jj_3R_295()) return false; + if (jj_3R_300()) { jj_scanpos = xsp; - if (!jj_3R_296()) return false; + if (jj_3R_301()) { jj_scanpos = xsp; - if (!jj_3R_297()) return false; + if (jj_3R_302()) { jj_scanpos = xsp; - if (!jj_3R_298()) return false; + if (jj_3R_303()) { jj_scanpos = xsp; - if (!jj_3R_299()) return false; + if (jj_3R_304()) { jj_scanpos = xsp; - if (!jj_3R_300()) return false; + if (jj_3R_305()) { jj_scanpos = xsp; - if (!jj_3R_301()) return false; + if (jj_3R_306()) { jj_scanpos = xsp; - if (!jj_3R_302()) return false; + if (jj_3R_307()) { jj_scanpos = xsp; - if (!jj_3R_303()) return false; + if (jj_3R_308()) { jj_scanpos = xsp; - if (!jj_3R_304()) return false; + if (jj_3R_309()) { jj_scanpos = xsp; - if (!jj_3R_305()) return false; + if (jj_3R_310()) { jj_scanpos = xsp; - if (!jj_3R_306()) return false; + if (jj_3R_311()) { jj_scanpos = xsp; - if (!jj_3R_307()) return false; + if (jj_3R_312()) { jj_scanpos = xsp; - if (!jj_3_8()) return false; + if (jj_3_8()) { jj_scanpos = xsp; - if (jj_3R_308()) return true; + if (jj_3R_313()) return true; + } + } + } + } + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_294() + inline bool jj_3R_299() { if (jj_done) return true; - if (jj_3R_96()) return true; + if (jj_3R_98()) return true; return false; } - inline bool jj_3_82() + inline bool jj_3_83() { if (jj_done) return true; - if (jj_3R_115()) return true; + if (jj_3R_117()) return true; return false; } - inline bool jj_3R_265() + inline bool jj_3R_270() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3_82()) { jj_scanpos = xsp; break; } + if (jj_3_83()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_345() + inline bool jj_3R_350() { if (jj_done) return true; if (jj_scan_token(FOR_T)) return true; - if (jj_3R_436()) return true; + if (jj_3R_441()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_437()) { jj_scanpos = xsp; break; } + if (jj_3R_442()) { jj_scanpos = xsp; break; } } while (true) { xsp = jj_scanpos; - if (jj_3R_438()) { jj_scanpos = xsp; break; } + if (jj_3R_443()) { jj_scanpos = xsp; break; } } if (jj_scan_token(END_T)) return true; if (jj_scan_token(FOR_T)) return true; @@ -6566,750 +6832,769 @@ void parseInline(); return false; } - inline bool jj_3R_247() + inline bool jj_3R_252() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_440() + inline bool jj_3R_449() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_527()) { jj_scanpos = xsp; break; } + if (jj_3R_536()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_273() + inline bool jj_3R_278() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_380() + inline bool jj_3R_385() { if (jj_done) return true; if (jj_scan_token(ON_T)) return true; - if (jj_3R_440()) return true; + if (jj_3R_449()) return true; return false; } - inline bool jj_3R_98() + inline bool jj_3R_100() { if (jj_done) return true; if (jj_scan_token(BIT_STRING_LITERAL)) return true; return false; } - inline bool jj_3R_435() - { - if (jj_done) return true; - if (jj_3R_341()) return true; - return false; - } - - inline bool jj_3R_428() + inline bool jj_3R_433() { if (jj_done) return true; - if (jj_3R_329()) return true; + if (jj_3R_334()) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_88()) return true; + if (jj_3R_90()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_523()) { jj_scanpos = xsp; break; } + if (jj_3R_532()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_434() + inline bool jj_3R_440() { if (jj_done) return true; - if (jj_3R_72()) return true; + if (jj_3R_346()) return true; return false; } - inline bool jj_3R_433() + inline bool jj_3R_439() + { + if (jj_done) return true; + if (jj_3R_73()) return true; + return false; + } + + inline bool jj_3R_438() { if (jj_done) return true; if (jj_scan_token(USE_T)) return true; - if (jj_3R_524()) return true; + if (jj_3R_533()) return true; return false; } - inline bool jj_3R_344() + inline bool jj_3R_349() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_433()) jj_scanpos = xsp; + if (jj_3R_438()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_434()) jj_scanpos = xsp; + if (jj_3R_439()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_435()) jj_scanpos = xsp; + if (jj_3R_440()) jj_scanpos = xsp; return false; } - inline bool jj_3R_246() + inline bool jj_3R_342() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_scan_token(WITH_T)) return true; + if (jj_3R_61()) return true; + if (jj_scan_token(SELECT_T)) return true; + if (jj_3R_119()) return true; + if (jj_scan_token(LESSTHAN_T)) return true; + if (jj_3R_172()) return true; + if (jj_3R_433()) return true; + if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_337() + inline bool jj_3R_722() { if (jj_done) return true; - if (jj_scan_token(WITH_T)) return true; - if (jj_3R_60()) return true; - if (jj_scan_token(SELECT_T)) return true; - if (jj_3R_117()) return true; - if (jj_scan_token(LESSTHAN_T)) return true; - if (jj_3R_170()) return true; - if (jj_3R_428()) return true; - if (jj_scan_token(SEMI_T)) return true; + if (jj_3R_728()) return true; return false; } - inline bool jj_3R_713() + inline bool jj_3R_251() { if (jj_done) return true; - if (jj_3R_719()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_439() + inline bool jj_3R_444() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(DOT_T)) return true; - if (jj_3R_103()) return true; + if (jj_3R_105()) return true; return false; } - inline bool jj_3R_746() + inline bool jj_3R_755() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(EQU_T)) return true; - if (jj_3R_106()) return true; + if (jj_3R_108()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_81() + inline bool jj_3_82() { if (jj_done) return true; - if (jj_3R_114()) return true; + if (jj_3R_116()) return true; return false; } - inline bool jj_3R_700() + inline bool jj_3R_709() { if (jj_done) return true; - if (jj_3R_85()) return true; + if (jj_3R_86()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_713()) jj_scanpos = xsp; + if (jj_3R_722()) jj_scanpos = xsp; return false; } - inline bool jj_3R_699() + inline bool jj_3R_708() { if (jj_done) return true; - if (jj_3R_114()) return true; + if (jj_3R_116()) return true; return false; } - inline bool jj_3R_674() + inline bool jj_3R_683() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_699()) return false; + if (jj_3R_708()) { jj_scanpos = xsp; - if (jj_3R_700()) return true; + if (jj_3R_709()) return true; + } return false; } - inline bool jj_3R_355() + inline bool jj_3R_361() { if (jj_done) return true; if (jj_scan_token(SEVERITY_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_272() + inline bool jj_3R_277() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_128() + inline bool jj_3R_130() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_272()) jj_scanpos = xsp; + if (jj_3R_277()) jj_scanpos = xsp; if (jj_scan_token(RETURN_T)) return true; xsp = jj_scanpos; - if (jj_3R_273()) jj_scanpos = xsp; + if (jj_3R_278()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_256() + inline bool jj_3R_261() { if (jj_done) return true; if (jj_scan_token(SEVERITY_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_395() + inline bool jj_3R_400() { if (jj_done) return true; if (jj_scan_token(ATTRIBUTE_T)) return true; - if (jj_3R_227()) return true; + if (jj_3R_232()) return true; if (jj_scan_token(OF_T)) return true; - if (jj_3R_488()) return true; + if (jj_3R_497()) return true; if (jj_scan_token(IS_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_327() + inline bool jj_3R_332() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_326()) return true; + if (jj_3R_331()) return true; return false; } - inline bool jj_3R_113() + inline bool jj_3R_115() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(APOSTROPHE_T)) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(85)) return false; + if (jj_scan_token(85)) { jj_scanpos = xsp; - if (jj_3R_246()) return true; + if (jj_3R_251()) return true; + } xsp = jj_scanpos; - if (jj_3R_247()) jj_scanpos = xsp; + if (jj_3R_252()) jj_scanpos = xsp; return false; } - inline bool jj_3R_255() + inline bool jj_3R_260() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_316() - { - if (jj_done) return true; - if (jj_3R_403()) return true; - if (jj_3R_315()) return true; - return false; - } - - inline bool jj_3R_372() + inline bool jj_3R_321() { if (jj_done) return true; - if (jj_scan_token(RANGE_T)) return true; + if (jj_3R_408()) return true; + if (jj_3R_320()) return true; return false; } - inline bool jj_3R_119() + inline bool jj_3R_121() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_255()) jj_scanpos = xsp; + if (jj_3R_260()) jj_scanpos = xsp; if (jj_scan_token(REPORT_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; xsp = jj_scanpos; - if (jj_3R_256()) jj_scanpos = xsp; + if (jj_3R_261()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_227() + inline bool jj_3R_377() + { + if (jj_done) return true; + if (jj_scan_token(RANGE_T)) return true; + return false; + } + + inline bool jj_3R_232() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_371()) return false; + if (jj_3R_376()) { jj_scanpos = xsp; - if (jj_3R_372()) return true; + if (jj_3R_377()) return true; + } return false; } - inline bool jj_3R_371() + inline bool jj_3R_376() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_506() + inline bool jj_3R_515() { if (jj_done) return true; if (jj_scan_token(QNEQU_T)) return true; return false; } - inline bool jj_3R_505() + inline bool jj_3R_514() { if (jj_done) return true; if (jj_scan_token(QEQU_T)) return true; return false; } - inline bool jj_3R_504() + inline bool jj_3R_513() { if (jj_done) return true; if (jj_scan_token(QL_T)) return true; return false; } - inline bool jj_3R_723() + inline bool jj_3R_732() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_503() + inline bool jj_3R_512() { if (jj_done) return true; if (jj_scan_token(QG_T)) return true; return false; } - inline bool jj_3R_502() + inline bool jj_3R_511() { if (jj_done) return true; if (jj_scan_token(QLT_T)) return true; return false; } - inline bool jj_3R_501() + inline bool jj_3R_510() { if (jj_done) return true; if (jj_scan_token(QGT_T)) return true; return false; } - inline bool jj_3R_500() + inline bool jj_3R_509() { if (jj_done) return true; if (jj_scan_token(NOTEQU_T)) return true; return false; } - inline bool jj_3R_499() + inline bool jj_3R_508() { if (jj_done) return true; if (jj_scan_token(LESSTHAN_T)) return true; return false; } + inline bool jj_3R_507() + { + if (jj_done) return true; + if (jj_scan_token(GREATERTHAN_T)) return true; + return false; + } + inline bool jj_3_6() { if (jj_done) return true; - if (jj_3R_65()) return true; + if (jj_3R_66()) return true; if (jj_scan_token(ARROW_T)) return true; return false; } - inline bool jj_3R_66() + inline bool jj_3R_67() { if (jj_done) return true; if (jj_scan_token(ATTRIBUTE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_159()) return true; + if (jj_3R_161()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_498() + inline bool jj_3R_506() { if (jj_done) return true; - if (jj_scan_token(GREATERTHAN_T)) return true; + if (jj_scan_token(EQU_T)) return true; return false; } - inline bool jj_3R_354() + inline bool jj_3R_360() { if (jj_done) return true; if (jj_scan_token(REPORT_T)) return true; - if (jj_3R_60()) return true; - return false; - } - - inline bool jj_3R_497() - { - if (jj_done) return true; - if (jj_scan_token(EQU_T)) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_496() + inline bool jj_3R_505() { if (jj_done) return true; if (jj_scan_token(GT_T)) return true; return false; } - inline bool jj_3R_403() + inline bool jj_3R_408() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_495()) return false; + if (jj_3R_504()) { jj_scanpos = xsp; - if (!jj_3R_496()) return false; + if (jj_3R_505()) { jj_scanpos = xsp; - if (!jj_3R_497()) return false; + if (jj_3R_506()) { jj_scanpos = xsp; - if (!jj_3R_498()) return false; + if (jj_3R_507()) { jj_scanpos = xsp; - if (!jj_3R_499()) return false; + if (jj_3R_508()) { jj_scanpos = xsp; - if (!jj_3R_500()) return false; + if (jj_3R_509()) { jj_scanpos = xsp; - if (!jj_3R_501()) return false; + if (jj_3R_510()) { jj_scanpos = xsp; - if (!jj_3R_502()) return false; + if (jj_3R_511()) { jj_scanpos = xsp; - if (!jj_3R_503()) return false; + if (jj_3R_512()) { jj_scanpos = xsp; - if (!jj_3R_504()) return false; + if (jj_3R_513()) { jj_scanpos = xsp; - if (!jj_3R_505()) return false; + if (jj_3R_514()) { jj_scanpos = xsp; - if (jj_3R_506()) return true; + if (jj_3R_515()) return true; + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3R_495() + inline bool jj_3R_504() { if (jj_done) return true; if (jj_scan_token(LT_T)) return true; return false; } - inline bool jj_3R_167() + inline bool jj_3R_169() { if (jj_done) return true; - if (jj_3R_326()) return true; + if (jj_3R_331()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_327()) { jj_scanpos = xsp; break; } + if (jj_3R_332()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_149() + inline bool jj_3R_151() { if (jj_done) return true; - if (jj_3R_315()) return true; + if (jj_3R_320()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_316()) jj_scanpos = xsp; + if (jj_3R_321()) jj_scanpos = xsp; return false; } - inline bool jj_3R_722() + inline bool jj_3R_731() { if (jj_done) return true; - if (jj_3R_730()) return true; + if (jj_3R_739()) return true; return false; } - inline bool jj_3R_418() + inline bool jj_3R_423() { if (jj_done) return true; - if (jj_3R_65()) return true; + if (jj_3R_66()) return true; if (jj_scan_token(ARROW_T)) return true; return false; } - inline bool jj_3R_326() + inline bool jj_3R_331() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_418()) jj_scanpos = xsp; - if (jj_3R_419()) return true; + if (jj_3R_423()) jj_scanpos = xsp; + if (jj_3R_424()) return true; return false; } - inline bool jj_3R_715() + inline bool jj_3R_724() { if (jj_done) return true; if (jj_scan_token(RECORD_T)) return true; Token * xsp; - if (jj_3R_722()) return true; + if (jj_3R_731()) return true; while (true) { xsp = jj_scanpos; - if (jj_3R_722()) { jj_scanpos = xsp; break; } + if (jj_3R_731()) { jj_scanpos = xsp; break; } } if (jj_scan_token(END_T)) return true; if (jj_scan_token(RECORD_T)) return true; xsp = jj_scanpos; - if (jj_3R_723()) jj_scanpos = xsp; + if (jj_3R_732()) jj_scanpos = xsp; return false; } - inline bool jj_3R_254() + inline bool jj_3R_259() { if (jj_done) return true; - if (jj_3R_143()) return true; + if (jj_3R_145()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_118() + inline bool jj_3R_120() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_254()) jj_scanpos = xsp; - if (jj_3R_193()) return true; + if (jj_3R_259()) jj_scanpos = xsp; + if (jj_3R_198()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_79() + inline bool jj_3_80() { if (jj_done) return true; - if (jj_3R_69()) return true; if (jj_3R_70()) return true; - if (jj_3R_69()) return true; + if (jj_3R_71()) return true; + if (jj_3R_70()) return true; return false; } - inline bool jj_3_80() + inline bool jj_3_81() { if (jj_done) return true; - if (jj_3R_113()) return true; + if (jj_3R_115()) return true; return false; } - inline bool jj_3R_85() + inline bool jj_3R_86() { if (jj_done) return true; if (jj_scan_token(RANGE_T)) return true; - if (jj_3R_86()) return true; + if (jj_3R_88()) return true; return false; } - inline bool jj_3R_193() + inline bool jj_3R_198() { if (jj_done) return true; if (jj_scan_token(ASSERT_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_354()) jj_scanpos = xsp; + if (jj_3R_360()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_355()) jj_scanpos = xsp; + if (jj_3R_361()) jj_scanpos = xsp; return false; } - inline bool jj_3_5() + inline bool jj_3_79() { if (jj_done) return true; - if (jj_scan_token(ARRAY_T)) return true; - if (jj_3R_64()) return true; - if (jj_scan_token(OF_T)) return true; + if (jj_3R_114()) return true; return false; } - inline bool jj_3_78() + inline bool jj_3_5() { if (jj_done) return true; - if (jj_3R_112()) return true; + if (jj_scan_token(ARRAY_T)) return true; + if (jj_3R_65()) return true; + if (jj_scan_token(OF_T)) return true; return false; } - inline bool jj_3R_188() + inline bool jj_3R_193() { if (jj_done) return true; - if (jj_3R_113()) return true; + if (jj_3R_115()) return true; return false; } - inline bool jj_3R_187() + inline bool jj_3R_192() { if (jj_done) return true; - if (jj_3R_69()) return true; if (jj_3R_70()) return true; - if (jj_3R_69()) return true; + if (jj_3R_71()) return true; + if (jj_3R_70()) return true; return false; } - inline bool jj_3R_86() + inline bool jj_3R_88() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_187()) return false; + if (jj_3R_192()) { jj_scanpos = xsp; - if (jj_3R_188()) return true; + if (jj_3R_193()) return true; + } return false; } - inline bool jj_3R_721() + inline bool jj_3R_730() { if (jj_done) return true; - if (jj_3R_729()) return true; + if (jj_3R_738()) return true; return false; } - inline bool jj_3R_235() + inline bool jj_3R_240() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_720() + inline bool jj_3R_239() { if (jj_done) return true; - if (jj_3R_728()) return true; + if (jj_3R_114()) return true; return false; } - inline bool jj_3R_714() + inline bool jj_3R_729() { if (jj_done) return true; - Token * xsp; - xsp = jj_scanpos; - if (!jj_3R_720()) return false; - jj_scanpos = xsp; - if (jj_3R_721()) return true; + if (jj_3R_737()) return true; return false; } - inline bool jj_3R_234() + inline bool jj_3R_723() { if (jj_done) return true; - if (jj_3R_112()) return true; + Token * xsp; + xsp = jj_scanpos; + if (jj_3R_729()) { + jj_scanpos = xsp; + if (jj_3R_730()) return true; + } return false; } - inline bool jj_3R_63() + inline bool jj_3R_64() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(APOSTROPHE_T)) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_234()) return false; + if (jj_3R_239()) { jj_scanpos = xsp; - if (jj_3R_235()) return true; + if (jj_3R_240()) return true; + } return false; } - inline bool jj_3R_358() + inline bool jj_3R_364() { if (jj_done) return true; - if (jj_3R_115()) return true; + if (jj_3R_117()) return true; return false; } - inline bool jj_3R_197() + inline bool jj_3R_202() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_358()) { jj_scanpos = xsp; break; } + if (jj_3R_364()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_198() + inline bool jj_3R_203() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_356() + inline bool jj_3R_362() { if (jj_done) return true; - if (jj_3R_440()) return true; + if (jj_3R_449()) return true; return false; } - inline bool jj_3R_484() + inline bool jj_3R_493() { if (jj_done) return true; - if (jj_3R_242()) return true; + if (jj_3R_247()) return true; return false; } - inline bool jj_3R_245() + inline bool jj_3R_250() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_244()) return true; + if (jj_3R_249()) return true; return false; } - inline bool jj_3R_241() + inline bool jj_3R_246() { if (jj_done) return true; if (jj_scan_token(NEW_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_195() + inline bool jj_3R_200() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_scan_token(15)) return false; + if (jj_scan_token(15)) { jj_scanpos = xsp; - if (jj_3R_356()) return true; + if (jj_3R_362()) return true; + } if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_111() + inline bool jj_3R_113() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3_4()) return false; + if (jj_3_4()) { jj_scanpos = xsp; - if (jj_3R_241()) return true; + if (jj_3R_246()) return true; + } return false; } @@ -7317,840 +7602,873 @@ void parseInline(); { if (jj_done) return true; if (jj_scan_token(NEW_T)) return true; - if (jj_3R_63()) return true; + if (jj_3R_64()) return true; return false; } - inline bool jj_3R_554() + inline bool jj_3R_563() { if (jj_done) return true; - if (jj_3R_318()) return true; + if (jj_3R_323()) return true; return false; } - inline bool jj_3R_553() + inline bool jj_3R_562() { if (jj_done) return true; if (jj_scan_token(CHARACTER_LITERAL)) return true; return false; } - inline bool jj_3R_194() + inline bool jj_3R_199() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_552() + inline bool jj_3R_561() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_482() + inline bool jj_3R_491() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_552()) return false; + if (jj_3R_561()) { jj_scanpos = xsp; - if (!jj_3R_553()) return false; + if (jj_3R_562()) { jj_scanpos = xsp; - if (jj_3R_554()) return true; + if (jj_3R_563()) return true; + } + } return false; } - inline bool jj_3R_91() + inline bool jj_3R_93() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_194()) jj_scanpos = xsp; + if (jj_3R_199()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; if (jj_scan_token(PROCESS_T)) return true; xsp = jj_scanpos; - if (jj_3R_195()) jj_scanpos = xsp; + if (jj_3R_200()) jj_scanpos = xsp; xsp = jj_scanpos; if (jj_scan_token(56)) jj_scanpos = xsp; - if (jj_3R_196()) return true; + if (jj_3R_201()) return true; if (jj_scan_token(BEGIN_T)) return true; - if (jj_3R_197()) return true; + if (jj_3R_202()) return true; if (jj_scan_token(END_T)) return true; xsp = jj_scanpos; if (jj_scan_token(79)) jj_scanpos = xsp; if (jj_scan_token(PROCESS_T)) return true; xsp = jj_scanpos; - if (jj_3R_198()) jj_scanpos = xsp; + if (jj_3R_203()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_357() + inline bool jj_3R_363() { if (jj_done) return true; - if (jj_3R_441()) return true; + if (jj_3R_450()) return true; return false; } - inline bool jj_3R_196() + inline bool jj_3R_201() { if (jj_done) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_357()) { jj_scanpos = xsp; break; } + if (jj_3R_363()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_537() + inline bool jj_3R_546() { if (jj_done) return true; - if (jj_3R_398()) return true; + if (jj_3R_403()) return true; return false; } - inline bool jj_3R_483() + inline bool jj_3R_492() { if (jj_done) return true; if (jj_scan_token(COLON_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3R_536() + inline bool jj_3R_545() { if (jj_done) return true; - if (jj_3R_347()) return true; + if (jj_3R_352()) return true; return false; } - inline bool jj_3R_393() + inline bool jj_3_78() + { + if (jj_done) return true; + if (jj_3R_68()) return true; + return false; + } + + inline bool jj_3R_544() + { + if (jj_done) return true; + if (jj_3R_400()) return true; + return false; + } + + inline bool jj_3R_398() { if (jj_done) return true; if (jj_scan_token(ALIAS_T)) return true; - if (jj_3R_482()) return true; + if (jj_3R_491()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_483()) jj_scanpos = xsp; + if (jj_3R_492()) jj_scanpos = xsp; if (jj_scan_token(IS_T)) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; xsp = jj_scanpos; - if (jj_3R_484()) jj_scanpos = xsp; + if (jj_3R_493()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_77() - { - if (jj_done) return true; - if (jj_3R_67()) return true; - return false; - } - - inline bool jj_3R_535() + inline bool jj_3R_543() { if (jj_done) return true; - if (jj_3R_395()) return true; + if (jj_3R_398()) return true; return false; } - inline bool jj_3R_112() + inline bool jj_3R_114() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_244()) return true; + if (jj_3R_249()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_245()) { jj_scanpos = xsp; break; } + if (jj_3R_250()) { jj_scanpos = xsp; break; } } if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_534() + inline bool jj_3_77() { if (jj_done) return true; - if (jj_3R_393()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3_76() + inline bool jj_3R_542() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_397()) return true; return false; } - inline bool jj_3R_533() + inline bool jj_3R_541() { if (jj_done) return true; - if (jj_3R_392()) return true; + if (jj_3R_396()) return true; return false; } - inline bool jj_3R_532() + inline bool jj_3R_540() { if (jj_done) return true; - if (jj_3R_391()) return true; + if (jj_3R_394()) return true; return false; } - inline bool jj_3R_531() + inline bool jj_3R_539() { if (jj_done) return true; - if (jj_3R_389()) return true; + if (jj_3R_393()) return true; return false; } - inline bool jj_3R_279() + inline bool jj_3R_284() { if (jj_done) return true; if (jj_scan_token(MINUS_T)) return true; return false; } - inline bool jj_3R_280() + inline bool jj_3R_285() { if (jj_done) return true; if (jj_scan_token(AMPERSAND_T)) return true; return false; } - inline bool jj_3R_530() - { - if (jj_done) return true; - if (jj_3R_388()) return true; - return false; - } - - inline bool jj_3R_529() + inline bool jj_3R_538() { if (jj_done) return true; - if (jj_3R_387()) return true; + if (jj_3R_392()) return true; return false; } inline bool jj_3_3() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; if (jj_scan_token(LPAREN_T)) return true; return false; } - inline bool jj_3R_131() + inline bool jj_3R_133() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_278()) return false; + if (jj_3R_283()) { jj_scanpos = xsp; - if (!jj_3R_279()) return false; + if (jj_3R_284()) { jj_scanpos = xsp; - if (jj_3R_280()) return true; + if (jj_3R_285()) return true; + } + } return false; } - inline bool jj_3R_278() + inline bool jj_3R_283() { if (jj_done) return true; if (jj_scan_token(PLUS_T)) return true; return false; } - inline bool jj_3R_528() + inline bool jj_3R_537() { if (jj_done) return true; - if (jj_3R_96()) return true; + if (jj_3R_98()) return true; return false; } - inline bool jj_3R_441() + inline bool jj_3R_450() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_528()) return false; + if (jj_3R_537()) { jj_scanpos = xsp; - if (!jj_3R_529()) return false; + if (jj_3R_538()) { jj_scanpos = xsp; - if (!jj_3R_530()) return false; + if (jj_3R_539()) { jj_scanpos = xsp; - if (!jj_3R_531()) return false; + if (jj_3R_540()) { jj_scanpos = xsp; - if (!jj_3R_532()) return false; + if (jj_3R_541()) { jj_scanpos = xsp; - if (!jj_3R_533()) return false; + if (jj_3R_542()) { jj_scanpos = xsp; - if (!jj_3R_534()) return false; + if (jj_3R_543()) { jj_scanpos = xsp; - if (!jj_3_76()) return false; + if (jj_3_77()) { jj_scanpos = xsp; - if (!jj_3R_535()) return false; + if (jj_3R_544()) { jj_scanpos = xsp; - if (!jj_3R_536()) return false; + if (jj_3R_545()) { jj_scanpos = xsp; - if (!jj_3_77()) return false; + if (jj_3_78()) { jj_scanpos = xsp; - if (jj_3R_537()) return true; + if (jj_3R_546()) return true; + } + } + } + } + } + } + } + } + } + } + } return false; } - inline bool jj_3_2() + inline bool jj_3R_347() { if (jj_done) return true; - if (jj_3R_61()) return true; + if (jj_scan_token(LPAREN_T)) return true; + if (jj_3R_238()) return true; + if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_342() + inline bool jj_3_2() { if (jj_done) return true; - if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_233()) return true; - if (jj_scan_token(RPAREN_T)) return true; + if (jj_3R_62()) return true; return false; } - inline bool jj_3R_516() + inline bool jj_3R_525() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_61()) return true; + if (jj_3R_62()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_515() + inline bool jj_3R_524() { if (jj_done) return true; if (jj_scan_token(BOX_T)) return true; return false; } - inline bool jj_3_75() + inline bool jj_3_76() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_122() + inline bool jj_3R_124() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3_75()) jj_scanpos = xsp; - if (jj_3R_180()) return true; + if (jj_3_76()) jj_scanpos = xsp; + if (jj_3R_182()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_514() + inline bool jj_3R_523() { if (jj_done) return true; - if (jj_3R_61()) return true; + if (jj_3R_62()) return true; return false; } - inline bool jj_3R_419() + inline bool jj_3R_424() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_514()) return false; + if (jj_3R_523()) { jj_scanpos = xsp; - if (!jj_3R_515()) return false; + if (jj_3R_524()) { jj_scanpos = xsp; - if (jj_3R_516()) return true; + if (jj_3R_525()) return true; + } + } return false; } - inline bool jj_3R_180() + inline bool jj_3R_182() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_342()) jj_scanpos = xsp; + if (jj_3R_347()) jj_scanpos = xsp; return false; } - inline bool jj_3R_233() + inline bool jj_3R_238() { if (jj_done) return true; - if (jj_3R_167()) return true; + if (jj_3R_169()) return true; return false; } - inline bool jj_3_73() + inline bool jj_3_74() { if (jj_done) return true; - if (jj_3R_89()) return true; + if (jj_3R_91()) return true; return false; } - inline bool jj_3R_211() + inline bool jj_3R_216() { if (jj_done) return true; - if (jj_3R_368()) return true; + if (jj_3R_87()) return true; return false; } - inline bool jj_3R_210() + inline bool jj_3R_215() { if (jj_done) return true; - if (jj_3R_367()) return true; + if (jj_3R_373()) return true; return false; } inline bool jj_3_1() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3_74() + inline bool jj_3_75() { if (jj_done) return true; - if (jj_3R_93()) return true; + if (jj_3R_95()) return true; return false; } - inline bool jj_3R_153() + inline bool jj_3R_155() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_209() + inline bool jj_3R_214() { if (jj_done) return true; - if (jj_3R_89()) return true; + if (jj_3R_91()) return true; return false; } - inline bool jj_3R_208() + inline bool jj_3R_213() { if (jj_done) return true; - if (jj_3R_366()) return true; + if (jj_3R_372()) return true; return false; } - inline bool jj_3_72() + inline bool jj_3_73() { if (jj_done) return true; - if (jj_3R_111()) return true; + if (jj_3R_113()) return true; return false; } - inline bool jj_3R_207() + inline bool jj_3R_212() { if (jj_done) return true; - if (jj_3R_365()) return true; + if (jj_3R_371()) return true; return false; } - inline bool jj_3R_97() + inline bool jj_3R_99() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_207()) return false; + if (jj_3R_212()) { jj_scanpos = xsp; - if (!jj_3R_208()) return false; + if (jj_3R_213()) { jj_scanpos = xsp; - if (!jj_3R_209()) return false; + if (jj_3R_214()) { jj_scanpos = xsp; - if (!jj_3_74()) return false; + if (jj_3_75()) { jj_scanpos = xsp; - if (!jj_3R_210()) return false; + if (jj_3R_215()) { jj_scanpos = xsp; - if (jj_3R_211()) return true; + if (jj_3R_216()) return true; + } + } + } + } + } return false; } - inline bool jj_3R_152() + inline bool jj_3R_154() { if (jj_done) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3_71() + inline bool jj_3_72() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_151() + inline bool jj_3R_153() { if (jj_done) return true; if (jj_scan_token(OPEN_T)) return true; return false; } - inline bool jj_3R_61() + inline bool jj_3R_62() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_151()) return false; + if (jj_3R_153()) { jj_scanpos = xsp; - if (!jj_3R_152()) return false; + if (jj_3R_154()) { jj_scanpos = xsp; - if (jj_3R_153()) return true; + if (jj_3R_155()) return true; + } + } return false; } - inline bool jj_3_70() + inline bool jj_3_71() { if (jj_done) return true; - if (jj_3R_110()) return true; + if (jj_3R_112()) return true; return false; } - inline bool jj_3R_475() + inline bool jj_3R_484() { if (jj_done) return true; - if (jj_3R_112()) return true; + if (jj_3R_114()) return true; return false; } - inline bool jj_3R_676() + inline bool jj_3_70() + { + if (jj_done) return true; + if (jj_3R_111()) return true; + return false; + } + + inline bool jj_3R_685() { if (jj_done) return true; if (jj_scan_token(ACCESS_T)) return true; - if (jj_3R_87()) return true; + if (jj_3R_89()) return true; return false; } - inline bool jj_3_69() + inline bool jj_3R_483() { if (jj_done) return true; - if (jj_3R_109()) return true; + if (jj_3R_113()) return true; return false; } - inline bool jj_3R_474() + inline bool jj_3_69() { if (jj_done) return true; - if (jj_3R_111()) return true; + if (jj_3R_64()) return true; return false; } - inline bool jj_3_68() + inline bool jj_3R_482() { if (jj_done) return true; if (jj_3R_63()) return true; return false; } - inline bool jj_3R_473() + inline bool jj_3R_237() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_scan_token(BASED_LITERAL)) return true; return false; } - inline bool jj_3R_232() + inline bool jj_3_68() { if (jj_done) return true; - if (jj_scan_token(BASED_LITERAL)) return true; + if (jj_scan_token(LPAREN_T)) return true; + if (jj_3R_61()) return true; + if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_231() + inline bool jj_3R_236() { if (jj_done) return true; if (jj_scan_token(INTEGER)) return true; return false; } - inline bool jj_3_67() + inline bool jj_3R_481() { if (jj_done) return true; - if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; - if (jj_scan_token(RPAREN_T)) return true; + if (jj_3R_112()) return true; return false; } - inline bool jj_3R_107() + inline bool jj_3R_109() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_230()) return false; + if (jj_3R_235()) { jj_scanpos = xsp; - if (!jj_3R_231()) return false; + if (jj_3R_236()) { jj_scanpos = xsp; - if (jj_3R_232()) return true; + if (jj_3R_237()) return true; + } + } return false; } - inline bool jj_3R_230() + inline bool jj_3R_235() { if (jj_done) return true; if (jj_scan_token(DECIMAL_LITERAL)) return true; return false; } - inline bool jj_3R_472() - { - if (jj_done) return true; - if (jj_3R_110()) return true; - return false; - } - - inline bool jj_3R_727() + inline bool jj_3R_736() { if (jj_done) return true; - if (jj_3R_62()) return true; + if (jj_3R_63()) return true; return false; } - inline bool jj_3_66() + inline bool jj_3_67() { if (jj_done) return true; - if (jj_3R_108()) return true; + if (jj_3R_110()) return true; return false; } - inline bool jj_3R_471() + inline bool jj_3R_480() { if (jj_done) return true; - if (jj_3R_109()) return true; + if (jj_3R_111()) return true; return false; } - inline bool jj_3R_470() + inline bool jj_3R_479() { if (jj_done) return true; - if (jj_3R_63()) return true; + if (jj_3R_64()) return true; return false; } - inline bool jj_3R_469() + inline bool jj_3R_478() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_386() + inline bool jj_3R_391() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_468()) return false; + if (jj_3R_477()) { jj_scanpos = xsp; - if (!jj_3R_469()) return false; + if (jj_3R_478()) { jj_scanpos = xsp; - if (!jj_3R_470()) return false; + if (jj_3R_479()) { jj_scanpos = xsp; - if (!jj_3R_471()) return false; + if (jj_3R_480()) { jj_scanpos = xsp; - if (!jj_3R_472()) return false; + if (jj_3R_481()) { jj_scanpos = xsp; - if (!jj_3R_473()) return false; + if (jj_3R_482()) { jj_scanpos = xsp; - if (!jj_3R_474()) return false; + if (jj_3R_483()) { jj_scanpos = xsp; - if (jj_3R_475()) return true; + if (jj_3R_484()) return true; + } + } + } + } + } + } + } return false; } - inline bool jj_3R_468() + inline bool jj_3R_477() { if (jj_done) return true; - if (jj_3R_108()) return true; + if (jj_3R_110()) return true; return false; } - inline bool jj_3R_341() + inline bool jj_3R_346() { if (jj_done) return true; if (jj_scan_token(PORT_T)) return true; if (jj_scan_token(MAP_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_167()) return true; + if (jj_3R_169()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_604() + inline bool jj_3R_613() { if (jj_done) return true; - if (jj_3R_324()) return true; + if (jj_3R_329()) return true; return false; } - inline bool jj_3R_726() + inline bool jj_3R_735() { if (jj_done) return true; - if (jj_3R_746()) return true; + if (jj_3R_755()) return true; return false; } - inline bool jj_3R_555() + inline bool jj_3R_564() { if (jj_done) return true; if (jj_scan_token(PORT_T)) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_604()) return true; + if (jj_3R_613()) return true; if (jj_scan_token(RPAREN_T)) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_719() + inline bool jj_3R_728() { if (jj_done) return true; if (jj_scan_token(UNITS_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(SEMI_T)) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_726()) { jj_scanpos = xsp; break; } + if (jj_3R_735()) { jj_scanpos = xsp; break; } } if (jj_scan_token(END_T)) return true; if (jj_scan_token(UNITS_T)) return true; xsp = jj_scanpos; - if (jj_3R_727()) jj_scanpos = xsp; + if (jj_3R_736()) jj_scanpos = xsp; return false; } - inline bool jj_3_65() + inline bool jj_3_66() { if (jj_done) return true; - if (jj_3R_107()) return true; + if (jj_3R_109()) return true; return false; } - inline bool jj_3R_229() + inline bool jj_3R_234() { if (jj_done) return true; - if (jj_3R_107()) return true; + if (jj_3R_109()) return true; return false; } - inline bool jj_3R_106() + inline bool jj_3R_108() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_229()) jj_scanpos = xsp; - if (jj_3R_62()) return true; + if (jj_3R_234()) jj_scanpos = xsp; + if (jj_3R_63()) return true; return false; } - inline bool jj_3R_423() + inline bool jj_3R_428() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(IN_T)) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; return false; } - inline bool jj_3_64() + inline bool jj_3_65() { if (jj_done) return true; - if (jj_3R_89()) return true; + if (jj_3R_91()) return true; return false; } - inline bool jj_3_62() + inline bool jj_3_63() { if (jj_done) return true; - if (jj_3R_66()) return true; + if (jj_3R_67()) return true; return false; } - inline bool jj_3_63() + inline bool jj_3_64() { if (jj_done) return true; - if (jj_3R_67()) return true; + if (jj_3R_68()) return true; return false; } - inline bool jj_3R_367() + inline bool jj_3R_373() { if (jj_done) return true; if (jj_scan_token(PACKAGE_T)) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3_61() + inline bool jj_3_62() { if (jj_done) return true; - if (jj_3R_67()) return true; + if (jj_3R_68()) return true; return false; } - inline bool jj_3R_328() + inline bool jj_3R_333() { if (jj_done) return true; - if (jj_3R_420()) return true; + if (jj_3R_425()) return true; return false; } - inline bool jj_3R_170() + inline bool jj_3R_172() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; if (jj_scan_token(50)) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_328()) jj_scanpos = xsp; + if (jj_3R_333()) jj_scanpos = xsp; return false; } - inline bool jj_3R_267() + inline bool jj_3R_272() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_318() + inline bool jj_3R_323() { if (jj_done) return true; if (jj_scan_token(STRINGLITERAL)) return true; return false; } - inline bool jj_3R_666() + inline bool jj_3R_675() { if (jj_done) return true; if (jj_scan_token(TYPE_T)) return true; return false; } - inline bool jj_3R_665() + inline bool jj_3R_674() { if (jj_done) return true; if (jj_scan_token(FILE_T)) return true; return false; } - inline bool jj_3R_664() + inline bool jj_3R_673() { if (jj_done) return true; if (jj_scan_token(SHARED_T)) return true; @@ -8158,247 +8476,261 @@ void parseInline(); return false; } - inline bool jj_3_60() + inline bool jj_3_61() { if (jj_done) return true; - if (jj_3R_106()) return true; + if (jj_3R_108()) return true; return false; } - inline bool jj_3R_663() + inline bool jj_3R_672() { if (jj_done) return true; if (jj_scan_token(VARIABLE_T)) return true; return false; } - inline bool jj_3R_662() + inline bool jj_3R_671() { if (jj_done) return true; if (jj_scan_token(SIGNAL_T)) return true; return false; } - inline bool jj_3R_661() + inline bool jj_3R_670() { if (jj_done) return true; if (jj_scan_token(CONSTANT_T)) return true; return false; } - inline bool jj_3R_635() + inline bool jj_3R_644() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_661()) return false; + if (jj_3R_670()) { jj_scanpos = xsp; - if (!jj_3R_662()) return false; + if (jj_3R_671()) { jj_scanpos = xsp; - if (!jj_3R_663()) return false; + if (jj_3R_672()) { jj_scanpos = xsp; - if (!jj_3R_664()) return false; + if (jj_3R_673()) { jj_scanpos = xsp; - if (!jj_3R_665()) return false; + if (jj_3R_674()) { jj_scanpos = xsp; - if (jj_3R_666()) return true; + if (jj_3R_675()) return true; + } + } + } + } + } return false; } - inline bool jj_3R_370() + inline bool jj_3R_375() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_213() + inline bool jj_3R_218() { if (jj_done) return true; - if (jj_3R_107()) return true; + if (jj_3R_109()) return true; return false; } - inline bool jj_3R_212() + inline bool jj_3R_217() { if (jj_done) return true; - if (jj_3R_106()) return true; + if (jj_3R_108()) return true; return false; } - inline bool jj_3R_99() + inline bool jj_3R_101() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_212()) return false; + if (jj_3R_217()) { jj_scanpos = xsp; - if (jj_3R_213()) return true; + if (jj_3R_218()) return true; + } return false; } - inline bool jj_3R_459() + inline bool jj_3R_468() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_379() + inline bool jj_3R_384() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_459()) jj_scanpos = xsp; + if (jj_3R_468()) jj_scanpos = xsp; if (jj_scan_token(NULL_T)) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_105() + inline bool jj_3R_107() { if (jj_done) return true; if (jj_scan_token(COMMA_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; return false; } - inline bool jj_3R_268() + inline bool jj_3R_273() { if (jj_done) return true; if (jj_scan_token(WHEN_T)) return true; - if (jj_3R_82()) return true; + if (jj_3R_83()) return true; return false; } - inline bool jj_3R_266() + inline bool jj_3R_271() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3_59() + inline bool jj_3_60() { if (jj_done) return true; if (jj_scan_token(LBRACKET_T)) return true; return false; } - inline bool jj_3R_126() + inline bool jj_3R_128() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_266()) jj_scanpos = xsp; + if (jj_3R_271()) jj_scanpos = xsp; if (jj_scan_token(NEXT_T)) return true; xsp = jj_scanpos; - if (jj_3R_267()) jj_scanpos = xsp; + if (jj_3R_272()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_268()) jj_scanpos = xsp; + if (jj_3R_273()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_228() + inline bool jj_3R_233() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3_58() + inline bool jj_3_59() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_105()) { jj_scanpos = xsp; break; } + if (jj_3R_107()) { jj_scanpos = xsp; break; } } if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_226() + inline bool jj_3R_231() { if (jj_done) return true; - if (jj_3R_242()) return true; + if (jj_3R_247()) return true; return false; } - inline bool jj_3R_104() + inline bool jj_3R_106() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_226()) jj_scanpos = xsp; + if (jj_3R_231()) jj_scanpos = xsp; if (jj_scan_token(APOSTROPHE_T)) return true; - if (jj_3R_227()) return true; + if (jj_3R_232()) return true; xsp = jj_scanpos; - if (jj_3R_228()) jj_scanpos = xsp; + if (jj_3R_233()) jj_scanpos = xsp; return false; } - inline bool jj_3_57() + inline bool jj_3_58() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_71()) return true; + if (jj_3R_72()) return true; if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3_53() + inline bool jj_3_54() { if (jj_done) return true; - if (jj_3R_102()) return true; + if (jj_3R_104()) return true; return false; } - inline bool jj_3_56() + inline bool jj_3_57() { if (jj_done) return true; - if (jj_3R_104()) return true; + if (jj_3R_106()) return true; + return false; + } + + inline bool jj_3_52() + { + if (jj_done) return true; + if (jj_3R_103()) return true; return false; } inline bool jj_3_51() { if (jj_done) return true; - if (jj_3R_101()) return true; + if (jj_3R_103()) return true; return false; } - inline bool jj_3_50() + inline bool jj_3_53() { if (jj_done) return true; - if (jj_3R_101()) return true; + if (jj_3R_103()) return true; return false; } - inline bool jj_3_52() + inline bool jj_3_56() { if (jj_done) return true; - if (jj_3R_101()) return true; + if (jj_scan_token(DOT_T)) return true; + if (jj_3R_105()) return true; return false; } - inline bool jj_3R_221() + inline bool jj_3R_226() { if (jj_done) return true; if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_60()) return true; + if (jj_3R_61()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_370()) { jj_scanpos = xsp; break; } + if (jj_3R_375()) { jj_scanpos = xsp; break; } } if (jj_scan_token(RPAREN_T)) return true; return false; @@ -8407,72 +8739,64 @@ void parseInline(); inline bool jj_3_55() { if (jj_done) return true; - if (jj_scan_token(DOT_T)) return true; - if (jj_3R_103()) return true; - return false; - } - - inline bool jj_3_54() - { - if (jj_done) return true; if (jj_scan_token(APOSTROPHE_T)) return true; if (jj_scan_token(SUBTYPE_T)) return true; return false; } - inline bool jj_3R_220() + inline bool jj_3R_221() { if (jj_done) return true; - if (jj_scan_token(LPAREN_T)) return true; - if (jj_3R_71()) return true; - if (jj_scan_token(RPAREN_T)) return true; + if (jj_3R_104()) return true; return false; } - inline bool jj_3R_216() + inline bool jj_3R_225() { if (jj_done) return true; - if (jj_3R_102()) return true; + if (jj_scan_token(LPAREN_T)) return true; + if (jj_3R_72()) return true; + if (jj_scan_token(RPAREN_T)) return true; return false; } - inline bool jj_3R_219() + inline bool jj_3R_224() { if (jj_done) return true; - if (jj_3R_104()) return true; + if (jj_3R_106()) return true; return false; } - inline bool jj_3R_319() + inline bool jj_3R_324() { if (jj_done) return true; - if (jj_3R_101()) return true; + if (jj_3R_103()) return true; return false; } - inline bool jj_3R_321() + inline bool jj_3R_326() { if (jj_done) return true; - if (jj_3R_101()) return true; + if (jj_3R_103()) return true; return false; } - inline bool jj_3R_322() + inline bool jj_3R_327() { if (jj_done) return true; - if (jj_3R_101()) return true; + if (jj_3R_103()) return true; return false; } - inline bool jj_3R_218() + inline bool jj_3R_223() { if (jj_done) return true; if (jj_scan_token(DOT_T)) return true; - if (jj_3R_103()) return true; + if (jj_3R_105()) return true; return false; } - inline bool jj_3R_217() + inline bool jj_3R_222() { if (jj_done) return true; if (jj_scan_token(APOSTROPHE_T)) return true; @@ -8480,306 +8804,323 @@ void parseInline(); return false; } - inline bool jj_3R_102() + inline bool jj_3R_104() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_217()) return false; + if (jj_3R_222()) { jj_scanpos = xsp; - if (!jj_3R_218()) return false; + if (jj_3R_223()) { jj_scanpos = xsp; - if (!jj_3R_219()) return false; + if (jj_3R_224()) { jj_scanpos = xsp; - if (!jj_3R_220()) return false; + if (jj_3R_225()) { jj_scanpos = xsp; - if (jj_3R_221()) return true; + if (jj_3R_226()) return true; + } + } + } + } return false; } - inline bool jj_3R_101() + inline bool jj_3R_103() { if (jj_done) return true; - if (jj_3R_102()) return true; + if (jj_3R_104()) return true; Token * xsp; while (true) { xsp = jj_scanpos; - if (jj_3R_216()) { jj_scanpos = xsp; break; } + if (jj_3R_221()) { jj_scanpos = xsp; break; } } return false; } - inline bool jj_3R_156() + inline bool jj_3R_158() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_322()) jj_scanpos = xsp; + if (jj_3R_327()) jj_scanpos = xsp; return false; } - inline bool jj_3R_155() + inline bool jj_3R_157() { if (jj_done) return true; - if (jj_3R_320()) return true; + if (jj_3R_325()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_321()) jj_scanpos = xsp; + if (jj_3R_326()) jj_scanpos = xsp; return false; } - inline bool jj_3R_154() + inline bool jj_3R_156() { if (jj_done) return true; - if (jj_3R_318()) return true; + if (jj_3R_323()) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_319()) jj_scanpos = xsp; + if (jj_3R_324()) jj_scanpos = xsp; return false; } - inline bool jj_3R_62() + inline bool jj_3R_63() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_154()) return false; + if (jj_3R_156()) { jj_scanpos = xsp; - if (!jj_3R_155()) return false; + if (jj_3R_157()) { jj_scanpos = xsp; - if (jj_3R_156()) return true; + if (jj_3R_158()) return true; + } + } return false; } - inline bool jj_3R_287() + inline bool jj_3R_292() { if (jj_done) return true; if (jj_scan_token(REM_T)) return true; return false; } - inline bool jj_3R_286() + inline bool jj_3R_291() { if (jj_done) return true; if (jj_scan_token(MOD_T)) return true; return false; } - inline bool jj_3R_285() + inline bool jj_3R_290() { if (jj_done) return true; if (jj_scan_token(SLASH_T)) return true; return false; } - inline bool jj_3R_138() + inline bool jj_3R_140() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_284()) return false; + if (jj_3R_289()) { jj_scanpos = xsp; - if (!jj_3R_285()) return false; + if (jj_3R_290()) { jj_scanpos = xsp; - if (!jj_3R_286()) return false; + if (jj_3R_291()) { jj_scanpos = xsp; - if (jj_3R_287()) return true; + if (jj_3R_292()) return true; + } + } + } return false; } - inline bool jj_3R_284() + inline bool jj_3R_289() { if (jj_done) return true; if (jj_scan_token(MULT_T)) return true; return false; } - inline bool jj_3R_647() + inline bool jj_3R_656() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } - inline bool jj_3R_449() + inline bool jj_3R_458() { if (jj_done) return true; if (jj_scan_token(LINKAGE_T)) return true; return false; } - inline bool jj_3R_448() + inline bool jj_3R_457() { if (jj_done) return true; if (jj_scan_token(BUFFER_T)) return true; return false; } - inline bool jj_3R_447() + inline bool jj_3R_456() { if (jj_done) return true; if (jj_scan_token(INOUT_T)) return true; return false; } - inline bool jj_3R_446() + inline bool jj_3R_455() { if (jj_done) return true; if (jj_scan_token(OUT_T)) return true; return false; } - inline bool jj_3R_362() + inline bool jj_3R_368() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_445()) return false; + if (jj_3R_454()) { jj_scanpos = xsp; - if (!jj_3R_446()) return false; + if (jj_3R_455()) { jj_scanpos = xsp; - if (!jj_3R_447()) return false; + if (jj_3R_456()) { jj_scanpos = xsp; - if (!jj_3R_448()) return false; + if (jj_3R_457()) { jj_scanpos = xsp; - if (jj_3R_449()) return true; + if (jj_3R_458()) return true; + } + } + } + } return false; } - inline bool jj_3R_445() + inline bool jj_3R_454() { if (jj_done) return true; if (jj_scan_token(IN_T)) return true; return false; } - inline bool jj_3R_264() + inline bool jj_3R_269() { if (jj_done) return true; - if (jj_3R_383()) return true; + if (jj_3R_388()) return true; return false; } - inline bool jj_3R_263() + inline bool jj_3R_268() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; if (jj_scan_token(COLON_T)) return true; return false; } - inline bool jj_3R_125() + inline bool jj_3R_127() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (jj_3R_263()) jj_scanpos = xsp; + if (jj_3R_268()) jj_scanpos = xsp; xsp = jj_scanpos; - if (jj_3R_264()) jj_scanpos = xsp; + if (jj_3R_269()) jj_scanpos = xsp; if (jj_scan_token(LOOP_T)) return true; - if (jj_3R_265()) return true; + if (jj_3R_270()) return true; if (jj_scan_token(END_T)) return true; if (jj_scan_token(LOOP_T)) return true; xsp = jj_scanpos; - if (jj_3R_647()) jj_scanpos = xsp; + if (jj_3R_656()) jj_scanpos = xsp; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3_49() + inline bool jj_3_50() { if (jj_done) return true; - if (jj_3R_100()) return true; + if (jj_3R_102()) return true; return false; } - inline bool jj_3_48() + inline bool jj_3_49() { if (jj_done) return true; - if (jj_3R_99()) return true; + if (jj_3R_101()) return true; return false; } - inline bool jj_3R_240() + inline bool jj_3R_245() { if (jj_done) return true; if (jj_scan_token(NULL_T)) return true; return false; } - inline bool jj_3_47() + inline bool jj_3_48() { if (jj_done) return true; - if (jj_3R_98()) return true; + if (jj_3R_100()) return true; return false; } - inline bool jj_3R_239() + inline bool jj_3R_244() { if (jj_done) return true; - if (jj_3R_373()) return true; + if (jj_3R_378()) return true; return false; } - inline bool jj_3R_238() + inline bool jj_3R_243() { if (jj_done) return true; - if (jj_3R_100()) return true; + if (jj_3R_102()) return true; return false; } - inline bool jj_3R_237() + inline bool jj_3R_242() { if (jj_done) return true; - if (jj_3R_99()) return true; + if (jj_3R_101()) return true; return false; } - inline bool jj_3R_110() + inline bool jj_3R_112() { if (jj_done) return true; Token * xsp; xsp = jj_scanpos; - if (!jj_3R_236()) return false; + if (jj_3R_241()) { jj_scanpos = xsp; - if (!jj_3R_237()) return false; + if (jj_3R_242()) { jj_scanpos = xsp; - if (!jj_3R_238()) return false; + if (jj_3R_243()) { jj_scanpos = xsp; - if (!jj_3R_239()) return false; + if (jj_3R_244()) { jj_scanpos = xsp; - if (jj_3R_240()) return true; + if (jj_3R_245()) return true; + } + } + } + } return false; } - inline bool jj_3R_236() + inline bool jj_3R_241() { if (jj_done) return true; - if (jj_3R_98()) return true; + if (jj_3R_100()) return true; return false; } - inline bool jj_3_46() + inline bool jj_3_47() { if (jj_done) return true; - if (jj_3R_97()) return true; + if (jj_3R_99()) return true; return false; } - inline bool jj_3R_346() + inline bool jj_3R_351() { if (jj_done) return true; if (jj_scan_token(LIBRARY_T)) return true; - if (jj_3R_202()) return true; + if (jj_3R_207()) return true; if (jj_scan_token(SEMI_T)) return true; return false; } - inline bool jj_3R_143() + inline bool jj_3R_145() { if (jj_done) return true; - if (jj_3R_73()) return true; + if (jj_3R_74()) return true; return false; } @@ -8799,7 +9140,7 @@ public: private: int jj_ntk; - JJCalls jj_2_rtns[125]; + JJCalls jj_2_rtns[126]; bool jj_rescan; int jj_gc; Token *jj_scanpos, *jj_lastpos; @@ -8808,7 +9149,7 @@ private: bool jj_lookingAhead; bool jj_semLA; int jj_gen; - int jj_la1[302]; + int jj_la1[304]; ErrorHandler *errorHandler = nullptr; protected: diff --git a/vhdlparser/vhdlparser.jj b/vhdlparser/vhdlparser.jj index d9d1136..0e5f75c 100755 --- a/vhdlparser/vhdlparser.jj +++ b/vhdlparser/vhdlparser.jj @@ -908,6 +908,7 @@ void context_item() : {} { library_clause() | use_clause() +| LOOKAHEAD(context_declaration()) context_declaration() | context_ref() } @@ -2610,9 +2611,9 @@ void protected_type_declarative_part() : {} // VHDL 2008 // ----------------------------------------------------------------- -QCString context_ref() : {QCString s;} +QCString context_ref() : {QCString s, s1;} { - s=name() + s=identifier() ( s1=identifier() {s+=".";s+=s1;} )* { return "context "+s ; } -- cgit v0.12