From 05fc04d307bc52ac7077c788de751b536032963d Mon Sep 17 00:00:00 2001 From: albert-github Date: Tue, 29 Dec 2020 15:20:48 +0100 Subject: issue #8286 Incorrect processing of VHDL strings See a `\` in comment in VHDL also as a normal character in a string. --- src/commentcnv.l | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/src/commentcnv.l b/src/commentcnv.l index 036f830..aaa4bad 100644 --- a/src/commentcnv.l +++ b/src/commentcnv.l @@ -541,7 +541,7 @@ NUMBER {INTEGER_NUMBER}|{FLOAT_NUMBER} copyToOutput(yyscanner,yytext,(int)yyleng); } \\. { /* escaped character in string */ - if (yyextra->lang==SrcLangExt_Fortran) + if (yyextra->lang==SrcLangExt_Fortran || yyextra->lang==SrcLangExt_VHDL) { unput(yytext[1]); copyToOutput(yyscanner,yytext,1); @@ -562,7 +562,7 @@ NUMBER {INTEGER_NUMBER}|{FLOAT_NUMBER} copyToOutput(yyscanner,yytext,(int)yyleng); } \\. { /* escaped character */ - if (yyextra->lang==SrcLangExt_Fortran) + if (yyextra->lang==SrcLangExt_Fortran || yyextra->lang==SrcLangExt_VHDL) { unput(yytext[1]); copyToOutput(yyscanner,yytext,1); -- cgit v0.12