From 3916139c2dc69ee96def9b1582ae93555e3a50e8 Mon Sep 17 00:00:00 2001 From: Dimitri van Heesch Date: Thu, 30 May 2013 21:47:30 +0200 Subject: Bug 698998 - vhdldocgen fails to generate proper latex for VHDL record type --- src/vhdldocgen.cpp | 2 ++ 1 file changed, 2 insertions(+) diff --git a/src/vhdldocgen.cpp b/src/vhdldocgen.cpp index 8545c90..7f898d6 100644 --- a/src/vhdldocgen.cpp +++ b/src/vhdldocgen.cpp @@ -3188,6 +3188,8 @@ void VhdlDocGen::writeRecUnitDocu( { QCString n=ql[i].utf8(); ol.startParameterType(first,""); + ol.endParameterType(); + ol.startParameterName(TRUE); VhdlDocGen::formatString(n,ol,md); if ((len-i)>1) { -- cgit v0.12