From d7bca4a0a3540f4228b4c2a913e05fb45f20df76 Mon Sep 17 00:00:00 2001 From: Josh Soref Date: Mon, 11 Nov 2019 23:26:40 -0500 Subject: spelling: entity --- src/vhdljjparser.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/vhdljjparser.h b/src/vhdljjparser.h index f2bf60d..56444ba 100644 --- a/src/vhdljjparser.h +++ b/src/vhdljjparser.h @@ -77,7 +77,7 @@ struct VhdlConfNode { arch=a; // architecture e.g. for iobuffer arch=arch.lower(); - binding=b; // binding e.g. use entiy work.xxx(bev) + binding=b; // binding e.g. use entity work.xxx(bev) binding=binding.lower(); confVhdl=config; // configuration foo is bar compSpec=cs; -- cgit v0.12