summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--Lib/test/output/test_new6
-rw-r--r--Lib/test/output/test_nis1
-rw-r--r--Lib/test/output/test_operator38
-rw-r--r--Lib/test/output/test_pwd6
-rw-r--r--Lib/test/output/test_rotor5
-rw-r--r--Lib/test/output/test_xdr4
6 files changed, 60 insertions, 0 deletions
diff --git a/Lib/test/output/test_new b/Lib/test/output/test_new
index 174881e..b7f2ed9 100644
--- a/Lib/test/output/test_new
+++ b/Lib/test/output/test_new
@@ -1 +1,7 @@
test_new
+new.module()
+new.classobj()
+new.instance()
+new.instancemethod()
+new.function()
+new.code()
diff --git a/Lib/test/output/test_nis b/Lib/test/output/test_nis
index 8d8f270..0853ab4 100644
--- a/Lib/test/output/test_nis
+++ b/Lib/test/output/test_nis
@@ -1 +1,2 @@
test_nis
+nis.maps()
diff --git a/Lib/test/output/test_operator b/Lib/test/output/test_operator
index d2d7da2..1ff755e 100644
--- a/Lib/test/output/test_operator
+++ b/Lib/test/output/test_operator
@@ -1 +1,39 @@
test_operator
+testing: abs
+testing: add
+testing: and_
+testing: concat
+testing: countOf
+testing: delitem
+testing: delslice
+testing: div
+testing: getitem
+testing: getslice
+testing: indexOf
+testing: inv
+testing: isCallable
+testing: isCallable
+testing: isMappingType
+testing: isMappingType
+testing: isNumberType
+testing: isNumberType
+testing: isSequenceType
+testing: isSequenceType
+testing: isSequenceType
+testing: lshift
+testing: mod
+testing: mul
+testing: neg
+testing: or_
+testing: pos
+testing: repeat
+testing: rshift
+testing: sequenceIncludes
+testing: sequenceIncludes
+testing: setitem
+testing: setslice
+testing: sub
+testing: truth
+testing: truth
+testing: xor
+testing: indexOf
diff --git a/Lib/test/output/test_pwd b/Lib/test/output/test_pwd
index 7f58a0a..ede4d8d 100644
--- a/Lib/test/output/test_pwd
+++ b/Lib/test/output/test_pwd
@@ -1 +1,7 @@
test_pwd
+pwd.getpwall()
+pwd.getpwuid()
+pwd.getpwnam()
+name matches uid
+caught expected exception
+caught expected exception
diff --git a/Lib/test/output/test_rotor b/Lib/test/output/test_rotor
new file mode 100644
index 0000000..e2a61b2
--- /dev/null
+++ b/Lib/test/output/test_rotor
@@ -0,0 +1,5 @@
+test_rotor
+qIT
+>k(,˟
+spam and eggs
+cheese shop
diff --git a/Lib/test/output/test_xdr b/Lib/test/output/test_xdr
index 38e407d..1801a13 100644
--- a/Lib/test/output/test_xdr
+++ b/Lib/test/output/test_xdr
@@ -1 +1,5 @@
test_xdr
+_xdr.pack_float()
+_xdr.unpack_float()
+_xdr.pack_double()
+_xdr.unpack_double()