summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rw-r--r--CMakeLists.txt4
-rw-r--r--MANIFEST455
-rw-r--r--README.txt2
-rw-r--r--aclocal.m49
-rwxr-xr-xbin/h5vers8
-rwxr-xr-xbin/trace1
-rw-r--r--c++/CMakeLists.txt5
-rw-r--r--c++/src/CMakeLists.txt2
-rw-r--r--c++/src/H5AbstractDs.cpp5
-rw-r--r--c++/src/H5AbstractDs.h2
-rw-r--r--c++/src/H5ArrayType.h2
-rw-r--r--c++/src/H5AtomType.h2
-rw-r--r--c++/src/H5Attribute.cpp25
-rw-r--r--c++/src/H5Attribute.h6
-rw-r--r--c++/src/H5Classes.h1
-rw-r--r--c++/src/H5CommonFG.cpp28
-rw-r--r--c++/src/H5CompType.h20
-rw-r--r--c++/src/H5Cpp.h1
-rw-r--r--c++/src/H5CppDoc.h2
-rw-r--r--c++/src/H5DataSet.cpp48
-rw-r--r--c++/src/H5DataSet.h9
-rw-r--r--c++/src/H5DataSpace.h2
-rw-r--r--c++/src/H5DataType.cpp45
-rw-r--r--c++/src/H5DataType.h7
-rw-r--r--c++/src/H5DcreatProp.h2
-rw-r--r--c++/src/H5DxferProp.h2
-rw-r--r--c++/src/H5EnumType.h2
-rw-r--r--c++/src/H5FaccProp.h2
-rw-r--r--c++/src/H5FcreatProp.h2
-rw-r--r--c++/src/H5File.cpp237
-rw-r--r--c++/src/H5File.h33
-rw-r--r--c++/src/H5FloatType.h2
-rw-r--r--c++/src/H5Group.cpp47
-rw-r--r--c++/src/H5Group.h7
-rw-r--r--c++/src/H5IdComponent.h2
-rw-r--r--c++/src/H5IntType.h2
-rw-r--r--c++/src/H5Location.cpp669
-rw-r--r--c++/src/H5Location.h146
-rw-r--r--c++/src/H5Object.cpp514
-rw-r--r--c++/src/H5Object.h93
-rw-r--r--c++/src/H5PredType.h2
-rw-r--r--c++/src/H5PropList.h2
-rw-r--r--c++/src/H5StrType.h2
-rw-r--r--c++/src/H5VarLenType.h2
-rw-r--r--c++/src/Makefile.am17
-rw-r--r--c++/src/Makefile.in32
-rw-r--r--c++/test/dsets.cpp11
-rw-r--r--c++/test/h5cpputil.cpp1
-rw-r--r--c++/test/tattr.cpp135
-rw-r--r--c++/test/tfile.cpp137
-rw-r--r--c++/test/th5s.cpp2
-rw-r--r--c++/test/trefer.cpp44
-rw-r--r--config/apple16
-rw-r--r--config/cmake/ConfigureChecks.cmake5
-rw-r--r--config/ibm-aix8
-rw-r--r--config/lt_vers.am2
-rwxr-xr-xconfigure1262
-rw-r--r--configure.ac2357
-rw-r--r--examples/CMakeLists.txt5
-rw-r--r--fortran/robodoc.rc82
-rw-r--r--fortran/src/H5FDmpiof.c37
-rw-r--r--fortran/src/H5FDmpioff.f9082
-rw-r--r--fortran/src/H5Gff.f902
-rw-r--r--fortran/src/H5Of.c655
-rw-r--r--fortran/src/H5Off.f90468
-rw-r--r--fortran/src/H5Off_F03.f90232
-rw-r--r--fortran/src/H5Pff.f902
-rw-r--r--fortran/src/H5Rf.c41
-rw-r--r--fortran/src/H5Rff.f9061
-rw-r--r--fortran/src/H5Rff_F03.f90174
-rw-r--r--fortran/src/H5Rff_F90.f9065
-rw-r--r--fortran/src/H5_f.c6
-rw-r--r--fortran/src/H5f90global.f9014
-rw-r--r--fortran/src/H5f90kit.c2
-rw-r--r--fortran/src/H5f90proto.h37
-rw-r--r--fortran/src/H5match_types.c24
-rw-r--r--fortran/src/Makefile.in2
-rw-r--r--fortran/src/hdf5_fortrandll.def20
-rw-r--r--fortran/src/phdf5_fortrandll.def25
-rw-r--r--fortran/test/CMakeLists.txt1
-rw-r--r--fortran/test/Makefile.am2
-rw-r--r--fortran/test/Makefile.in6
-rw-r--r--fortran/test/fortranlib_test_1_8.f90141
-rw-r--r--fortran/test/fortranlib_test_F03.f9037
-rw-r--r--fortran/test/tH5A.f904
-rw-r--r--fortran/test/tH5O.f90255
-rw-r--r--fortran/test/tH5O_F03.f90547
-rw-r--r--fortran/test/tH5T.f904
-rw-r--r--fortran/test/tH5T_F03.f90307
-rw-r--r--fortran/testpar/hyper.f9019
-rw-r--r--hl/CMakeLists.txt5
-rw-r--r--hl/c++/src/Makefile.in2
-rw-r--r--hl/fortran/src/Makefile.in2
-rw-r--r--hl/src/H5LTanalyze.c42
-rw-r--r--hl/src/H5LTanalyze.l1
-rw-r--r--hl/src/H5TB.c446
-rw-r--r--hl/src/H5TBpublic.h4
-rw-r--r--hl/src/Makefile.in2
-rw-r--r--hl/test/test_image.c2
-rw-r--r--hl/test/test_lite.c5
-rw-r--r--perform/CMakeLists.txt20
-rw-r--r--perform/Makefile.am3
-rw-r--r--perform/Makefile.in38
-rw-r--r--perform/mpi-perf.c373
-rw-r--r--release_docs/INSTALL_MinGW.txt269
-rw-r--r--release_docs/INSTALL_Windows.txt1754
-rw-r--r--release_docs/INSTALL_Windows_From_Command_Line.txt168
-rw-r--r--release_docs/INSTALL_Windows_Short_NET.TXT10
-rw-r--r--release_docs/INSTALL_Windows_Short_VS2005.TXT10
-rw-r--r--release_docs/INSTALL_Windows_Short_VS2008.TXT192
-rw-r--r--release_docs/INSTALL_parallel2
-rw-r--r--release_docs/RELEASE.txt67
-rw-r--r--release_docs/USING_CMake.txt (renamed from release_docs/Using_CMake.txt)0
-rw-r--r--release_docs/USING_Windows.txt4
-rw-r--r--src/CMakeLists.txt27
-rw-r--r--src/H5AC.c18
-rw-r--r--src/H5ACprivate.h32
-rw-r--r--src/H5Dprivate.h2
-rw-r--r--src/H5Edefin.h4
-rw-r--r--src/H5Einit.h4
-rw-r--r--src/H5Eint.c4
-rw-r--r--src/H5Epubgen.h4
-rw-r--r--src/H5Eterm.h2
-rw-r--r--src/H5FDmpiposix.c6
-rw-r--r--src/H5Fprivate.h70
-rw-r--r--src/H5Fsfile.c8
-rw-r--r--src/H5P.c98
-rw-r--r--src/H5Pdapl.c16
-rw-r--r--src/H5Pdcpl.c536
-rw-r--r--src/H5Pdeprec.c5
-rw-r--r--src/H5Pdxpl.c806
-rw-r--r--src/H5Pencdec.c813
-rw-r--r--src/H5Pfapl.c831
-rw-r--r--src/H5Pfcpl.c391
-rw-r--r--src/H5Pfmpl.c14
-rw-r--r--src/H5Pgcpl.c217
-rw-r--r--src/H5Pint.c472
-rw-r--r--src/H5Plapl.c282
-rw-r--r--src/H5Plcpl.c11
-rw-r--r--src/H5Pocpl.c274
-rw-r--r--src/H5Pocpypl.c354
-rw-r--r--src/H5Ppkg.h39
-rw-r--r--src/H5Pprivate.h3
-rw-r--r--src/H5Ppublic.h6
-rw-r--r--src/H5Pstrcpl.c120
-rw-r--r--src/H5T.c8
-rw-r--r--src/H5Tconv.c80
-rw-r--r--src/H5Tpkg.h4
-rw-r--r--src/H5Tprivate.h2
-rw-r--r--src/H5Zprivate.h2
-rw-r--r--src/H5Ztrans.c8
-rw-r--r--src/H5err.txt6
-rw-r--r--src/H5public.h4
-rw-r--r--src/Makefile.am3
-rw-r--r--src/Makefile.in35
-rw-r--r--test/CMakeLists.txt49
-rw-r--r--test/Makefile.am6
-rw-r--r--test/Makefile.in48
-rw-r--r--test/enc_dec_plist.c480
-rw-r--r--test/enc_dec_plist_with_endianess.c160
-rw-r--r--test/enum.c102
-rw-r--r--test/file_image.c17
-rw-r--r--test/gen_plist.c435
-rw-r--r--test/h5test.c20
-rw-r--r--test/h5test.h3
-rw-r--r--test/links.c87
-rw-r--r--test/mount.c75
-rw-r--r--test/testfiles/plist_files/acpl_bebin0 -> 23 bytes
-rw-r--r--test/testfiles/plist_files/acpl_lebin0 -> 23 bytes
-rw-r--r--test/testfiles/plist_files/dapl_bebin0 -> 136 bytes
-rw-r--r--test/testfiles/plist_files/dapl_lebin0 -> 136 bytes
-rw-r--r--test/testfiles/plist_files/dcpl_bebin0 -> 221 bytes
-rw-r--r--test/testfiles/plist_files/dcpl_lebin0 -> 221 bytes
-rw-r--r--test/testfiles/plist_files/dxpl_bebin0 -> 229 bytes
-rw-r--r--test/testfiles/plist_files/dxpl_lebin0 -> 229 bytes
-rw-r--r--test/testfiles/plist_files/fapl_bebin0 -> 1402 bytes
-rw-r--r--test/testfiles/plist_files/fapl_lebin0 -> 1402 bytes
-rw-r--r--test/testfiles/plist_files/fcpl_bebin0 -> 413 bytes
-rw-r--r--test/testfiles/plist_files/fcpl_lebin0 -> 413 bytes
-rw-r--r--test/testfiles/plist_files/gcpl_bebin0 -> 113 bytes
-rw-r--r--test/testfiles/plist_files/gcpl_lebin0 -> 113 bytes
-rw-r--r--test/testfiles/plist_files/lapl_bebin0 -> 1502 bytes
-rw-r--r--test/testfiles/plist_files/lapl_lebin0 -> 1502 bytes
-rw-r--r--test/testfiles/plist_files/lcpl_bebin0 -> 47 bytes
-rw-r--r--test/testfiles/plist_files/lcpl_lebin0 -> 47 bytes
-rw-r--r--test/testfiles/plist_files/ocpl_bebin0 -> 86 bytes
-rw-r--r--test/testfiles/plist_files/ocpl_lebin0 -> 86 bytes
-rw-r--r--test/testfiles/plist_files/ocpypl_bebin0 -> 56 bytes
-rw-r--r--test/testfiles/plist_files/ocpypl_lebin0 -> 56 bytes
-rw-r--r--test/testfiles/plist_files/strcpl_bebin0 -> 23 bytes
-rw-r--r--test/testfiles/plist_files/strcpl_lebin0 -> 23 bytes
-rw-r--r--test/testframe.c6
-rw-r--r--test/testhdf5.h12
-rw-r--r--test/tgenprop.c122
-rw-r--r--testpar/CMakeLists.txt6
-rw-r--r--testpar/Makefile.am3
-rw-r--r--testpar/Makefile.in6
-rw-r--r--testpar/t_dset.c94
-rw-r--r--testpar/t_prop.c452
-rw-r--r--testpar/testpar.h3
-rw-r--r--testpar/testphdf5.c3
-rw-r--r--testpar/testphdf5.h1
-rw-r--r--tools/CMakeLists.txt5
-rw-r--r--tools/h5copy/h5copygentest.c10
-rw-r--r--tools/h5diff/testfiles/h5diff_220.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_221.txt4
-rw-r--r--tools/h5diff/testfiles/h5diff_222.txt6
-rw-r--r--tools/h5diff/testfiles/h5diff_59.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_70.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_700.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_701.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_702.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_703.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_705.txt2
-rw-r--r--tools/h5diff/testfiles/h5diff_710.txt2
-rw-r--r--tools/h5dump/CMakeLists.txt14
-rw-r--r--tools/h5dump/h5dump.c20
-rw-r--r--tools/h5dump/h5dump_xml.c3
-rw-r--r--tools/h5dump/h5dump_xml.h8
-rw-r--r--tools/h5dump/h5dumpgentest.c419
-rw-r--r--tools/h5dump/testh5dump.sh.in8
-rw-r--r--tools/h5import/h5import.c369
-rw-r--r--tools/h5import/h5import.h35
-rw-r--r--tools/h5repack/CMakeLists.txt22
-rw-r--r--tools/h5repack/h5repack.c1
-rw-r--r--tools/h5repack/h5repack.sh.in21
-rw-r--r--tools/h5repack/h5repack_copy.c20
-rw-r--r--tools/h5repack/h5repacktst.c101
-rw-r--r--tools/h5repack/testfiles/h5repack_layout3.h5bin966904 -> 491840 bytes
-rw-r--r--tools/lib/CMakeLists.txt5
-rw-r--r--tools/lib/h5diff.c22
-rw-r--r--tools/lib/h5diff_attr.c18
-rw-r--r--tools/lib/h5diff_dset.c8
-rw-r--r--tools/lib/h5tools.h2
-rw-r--r--tools/misc/talign.c23
-rw-r--r--tools/testfiles/tscalarattrintsize.ddl130
-rw-r--r--tools/testfiles/tscalarattrintsize.h5bin0 -> 12944 bytes
-rw-r--r--tools/testfiles/tscalarintsize.ddl130
-rw-r--r--tools/testfiles/tscalarintsize.h5bin0 -> 15968 bytes
-rw-r--r--vms/src/h5pubconf.h6
-rw-r--r--windows/COPYING16
-rwxr-xr-xwindows/InstallExamples.bat99
-rwxr-xr-xwindows/InstallcppExamples.BAT83
-rwxr-xr-xwindows/Installf90Examples.BAT140
-rw-r--r--windows/c++/examples/allcppexamples/allcppexamples.sln181
-rw-r--r--windows/c++/examples/allcppexamples/allcppexamples.vcproj127
-rw-r--r--windows/c++/examples/chunkstest/chunkstest.vcproj401
-rw-r--r--windows/c++/examples/chunkstestdll/chunkstestdll.vcproj397
-rw-r--r--windows/c++/examples/compoundtest/compoundtest.vcproj401
-rw-r--r--windows/c++/examples/compoundtestdll/compoundtestdll.vcproj397
-rw-r--r--windows/c++/examples/createtest/createtest.vcproj401
-rw-r--r--windows/c++/examples/createtestdll/createtestdll.vcproj397
-rw-r--r--windows/c++/examples/extend_dstest/extend_dstest.vcproj401
-rw-r--r--windows/c++/examples/extend_dstestdll/extend_dstestdll.vcproj397
-rw-r--r--windows/c++/examples/h5grouptest/h5grouptest.vcproj401
-rw-r--r--windows/c++/examples/h5grouptestdll/h5grouptestdll.vcproj397
-rw-r--r--windows/c++/examples/readdatatest/readdatatest.vcproj401
-rw-r--r--windows/c++/examples/readdatatestdll/readdatatestdll.vcproj397
-rwxr-xr-xwindows/c++/examples/testcppExamples.BAT59
-rw-r--r--windows/c++/examples/writedatatest/writedatatest.vcproj402
-rw-r--r--windows/c++/examples/writedatatestdll/writedatatestdll.vcproj397
-rw-r--r--windows/c++/test/H5srcdir_str.h22
-rw-r--r--windows/c++/test/checkcpptests.bat98
-rw-r--r--windows/c++/test/testhdf5_cpp/testhdf5_cpp.vcproj465
-rw-r--r--windows/c++/test/testhdf5_cppdll/testhdf5_cppdll.vcproj465
-rwxr-xr-xwindows/copy_hdf.bat29
-rw-r--r--windows/examples/allexamples/allexamples.sln225
-rw-r--r--windows/examples/allexamples/allexamples.vcproj129
-rw-r--r--windows/examples/attributetest/attributetest.vcproj397
-rw-r--r--windows/examples/attributetestdll/attributetestdll.vcproj397
-rw-r--r--windows/examples/chunkread/chunkread.vcproj397
-rw-r--r--windows/examples/chunkreaddll/chunkreaddll.vcproj397
-rw-r--r--windows/examples/compoundtest/compoundtest.vcproj397
-rw-r--r--windows/examples/compoundtestdll/compoundtestdll.vcproj397
-rw-r--r--windows/examples/extendwritetest/extendwritetest.vcproj397
-rw-r--r--windows/examples/extendwritetestdll/extendwritetestdll.vcproj397
-rw-r--r--windows/examples/grouptest/grouptest.vcproj397
-rw-r--r--windows/examples/grouptestdll/grouptestdll.vcproj397
-rw-r--r--windows/examples/intermgrouptest/intermgrouptest.vcproj414
-rw-r--r--windows/examples/intermgrouptestdll/intermgrouptestdll.vcproj412
-rw-r--r--windows/examples/readtest/readtest.vcproj397
-rw-r--r--windows/examples/readtestdll/readtestdll.vcproj397
-rw-r--r--windows/examples/selectest/selectest.vcproj397
-rw-r--r--windows/examples/selectestdll/selectestdll.vcproj397
-rwxr-xr-xwindows/examples/testExamples.bat64
-rw-r--r--windows/examples/testExamples_exp_output.txt92
-rw-r--r--windows/examples/writetest/writetest.vcproj397
-rw-r--r--windows/examples/writetestdll/writetestdll.vcproj397
-rw-r--r--windows/fortran/examples/allf90examples/allf90examples.sln335
-rw-r--r--windows/fortran/examples/allf90examples/allf90examples.vcproj128
-rw-r--r--windows/fortran/examples/attreexampletest/attreexampletest.vfproj45
-rw-r--r--windows/fortran/examples/attreexampletestdll/attreexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/compoundtest/compoundtest.vfproj45
-rw-r--r--windows/fortran/examples/compoundtestdll/compoundtestdll.vfproj45
-rw-r--r--windows/fortran/examples/dsetexampletest/dsetexampletest.vfproj45
-rw-r--r--windows/fortran/examples/dsetexampletestdll/dsetexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/fileexampletest/fileexampletest.vfproj45
-rw-r--r--windows/fortran/examples/fileexampletestdll/fileexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/groupexampletest/groupexampletest.vfproj45
-rw-r--r--windows/fortran/examples/groupexampletestdll/groupexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/grpdsetexampletest/grpdsetexampletest.vfproj45
-rw-r--r--windows/fortran/examples/grpdsetexampletestdll/grpdsetexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/grpittest/grpittest.vfproj45
-rw-r--r--windows/fortran/examples/grpittestdll/grpittestdll.vfproj45
-rw-r--r--windows/fortran/examples/grpsexampletest/grpsexampletest.vfproj45
-rw-r--r--windows/fortran/examples/grpsexampletestdll/grpsexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/hyperslabtest/hyperslabtest.vfproj45
-rw-r--r--windows/fortran/examples/hyperslabtestdll/hyperslabtestdll.vfproj45
-rw-r--r--windows/fortran/examples/mountexampletest/mountexampletest.vfproj45
-rw-r--r--windows/fortran/examples/mountexampletestdll/mountexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/refobjexampletest/refobjexampletest.vfproj45
-rw-r--r--windows/fortran/examples/refobjexampletestdll/refobjexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/refregexampletest/refregexampletest.vfproj45
-rw-r--r--windows/fortran/examples/refregexampletestdll/refregexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/rwdsetexampletest/rwdsetexampletest.vfproj45
-rw-r--r--windows/fortran/examples/rwdsetexampletestdll/rwdsetexampletestdll.vfproj45
-rw-r--r--windows/fortran/examples/selecteletest/selecteletest.vfproj45
-rw-r--r--windows/fortran/examples/selecteletestdll/selecteletestdll.vfproj45
-rw-r--r--windows/fortran/test/checkfortrantests.bat102
-rw-r--r--windows/fortran/test/flush1_fortran/flush1_fortran.vfproj45
-rw-r--r--windows/fortran/test/flush1_fortrandll/flush1_fortrandll.vfproj45
-rw-r--r--windows/fortran/test/flush2_fortran/flush2_fortran.vfproj45
-rw-r--r--windows/fortran/test/flush2_fortrandll/flush2_fortrandll.vfproj45
-rw-r--r--windows/fortran/test/libtest_cstubdll/libtest_cstubdll.vcproj412
-rw-r--r--windows/fortran/test/libtest_fortran/libtest_cstub.vcproj339
-rw-r--r--windows/fortran/test/libtest_fortran/libtest_fortran.vfproj45
-rw-r--r--windows/fortran/test/libtest_fortrandll/libtest_fortrandll.vfproj45
-rw-r--r--windows/fortran/test/testhdf5_fortran/testhdf5_fortran.vfproj61
-rw-r--r--windows/fortran/test/testhdf5_fortran_1_8/testhdf5_fortran_1_8.vfproj52
-rw-r--r--windows/fortran/test/testhdf5_fortran_1_8dll/testhdf5_fortran_1_8dll.vfproj52
-rw-r--r--windows/fortran/test/testhdf5_fortrandll/testhdf5_fortrandll.vfproj65
-rwxr-xr-xwindows/hdf5bt.BAT240
-rwxr-xr-xwindows/hdf5build.BAT303
-rw-r--r--windows/hdf5build_examples.BAT248
-rwxr-xr-xwindows/hdf5check.BAT157
-rw-r--r--windows/hl/c++/test/checkhlcpptests.bat99
-rw-r--r--windows/hl/c++/test/hl_test_table_cpp/hl_test_table_cpp.vcproj399
-rw-r--r--windows/hl/c++/test/hl_test_table_cppdll/hl_test_table_cppdll.vcproj399
-rw-r--r--windows/hl/examples/allhlcexamples/allhlcexamples.sln437
-rw-r--r--windows/hl/examples/allhlcexamples/allhlcexamples.vcproj124
-rw-r--r--windows/hl/examples/ex_ds1/ex_ds1.vcproj403
-rw-r--r--windows/hl/examples/ex_ds1dll/ex_ds1dll.vcproj403
-rw-r--r--windows/hl/examples/ex_image1/ex_image1.vcproj403
-rw-r--r--windows/hl/examples/ex_image1dll/ex_image1dll.vcproj403
-rw-r--r--windows/hl/examples/ex_image2/ex_image2.vcproj361
-rw-r--r--windows/hl/examples/ex_image2dll/ex_image2dll.vcproj361
-rw-r--r--windows/hl/examples/ex_lite1/ex_lite1.vcproj403
-rw-r--r--windows/hl/examples/ex_lite1dll/ex_lite1dll.vcproj403
-rw-r--r--windows/hl/examples/ex_lite2/ex_lite2.vcproj361
-rw-r--r--windows/hl/examples/ex_lite2dll/ex_lite2dll.vcproj361
-rw-r--r--windows/hl/examples/ex_lite3/ex_lite3.vcproj361
-rw-r--r--windows/hl/examples/ex_lite3dll/ex_lite3dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table01/ex_table01.vcproj403
-rw-r--r--windows/hl/examples/ex_table01dll/ex_table01dll.vcproj403
-rw-r--r--windows/hl/examples/ex_table02/ex_table02.vcproj361
-rw-r--r--windows/hl/examples/ex_table02dll/ex_table02dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table03/ex_table03.vcproj361
-rw-r--r--windows/hl/examples/ex_table03dll/ex_table03dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table04/ex_table04.vcproj361
-rw-r--r--windows/hl/examples/ex_table04dll/ex_table04dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table05/ex_table05.vcproj361
-rw-r--r--windows/hl/examples/ex_table05dll/ex_table05dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table06/ex_table06.vcproj361
-rw-r--r--windows/hl/examples/ex_table06dll/ex_table06dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table07/ex_table07.vcproj361
-rw-r--r--windows/hl/examples/ex_table07dll/ex_table07dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table08/ex_table08.vcproj361
-rw-r--r--windows/hl/examples/ex_table08dll/ex_table08dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table09/ex_table09.vcproj361
-rw-r--r--windows/hl/examples/ex_table09dll/ex_table09dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table10/ex_table10.vcproj361
-rw-r--r--windows/hl/examples/ex_table10dll/ex_table10dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table11/ex_table11.vcproj361
-rw-r--r--windows/hl/examples/ex_table11dll/ex_table11dll.vcproj361
-rw-r--r--windows/hl/examples/ex_table12/ex_table12.vcproj361
-rw-r--r--windows/hl/examples/ex_table12dll/ex_table12dll.vcproj361
-rw-r--r--windows/hl/examples/ptExampleFL/ptExampleFL.vcproj403
-rw-r--r--windows/hl/examples/ptExampleFLdll/ptExampleFLdll.vcproj403
-rw-r--r--windows/hl/examples/ptExampleVL/ptExampleVL.vcproj361
-rw-r--r--windows/hl/examples/ptExampleVLdll/ptExampleVLdll.vcproj361
-rw-r--r--windows/hl/examples/test_hl_cexamples.BAT100
-rw-r--r--windows/hl/fortran/examples/allhlf90examples/allhlf90examples.sln49
-rw-r--r--windows/hl/fortran/examples/allhlf90examples/allhlf90examples.vcproj125
-rw-r--r--windows/hl/fortran/examples/ex_lite/ex_lite.vfproj48
-rw-r--r--windows/hl/fortran/examples/ex_litedll/ex_litedll.vfproj48
-rw-r--r--windows/hl/fortran/examples/test_hl_f90examples.BAT64
-rw-r--r--windows/hl/fortran/test/checkhlfortrantests.bat101
-rw-r--r--windows/hl/fortran/test/hl_test_image_fortran/hl_test_image_fortran.vfproj46
-rw-r--r--windows/hl/fortran/test/hl_test_image_fortrandll/hl_test_image_fortrandll.vfproj48
-rw-r--r--windows/hl/fortran/test/hl_test_lite_fortran/hl_test_lite_fortran.vfproj46
-rw-r--r--windows/hl/fortran/test/hl_test_lite_fortrandll/hl_test_lite_fortrandll.vfproj48
-rw-r--r--windows/hl/fortran/test/hl_test_table_fortran/hl_test_table_fortran.vfproj46
-rw-r--r--windows/hl/fortran/test/hl_test_table_fortrandll/hl_test_table_fortrandll.vfproj48
-rw-r--r--windows/hl/test/H5srcdir_str.h22
-rw-r--r--windows/hl/test/checkhltests.bat151
-rw-r--r--windows/hl/test/hl_test_ds/hl_test_ds.vcproj415
-rw-r--r--windows/hl/test/hl_test_dsdll/hl_test_dsdll.vcproj413
-rw-r--r--windows/hl/test/hl_test_image/hl_test_image.vcproj396
-rw-r--r--windows/hl/test/hl_test_imagedll/hl_test_imagedll.vcproj394
-rw-r--r--windows/hl/test/hl_test_lite/hl_test_lite.vcproj396
-rw-r--r--windows/hl/test/hl_test_litedll/hl_test_litedll.vcproj399
-rw-r--r--windows/hl/test/hl_test_packet/hl_test_packet.vcproj401
-rw-r--r--windows/hl/test/hl_test_packetdll/hl_test_packetdll.vcproj399
-rw-r--r--windows/hl/test/hl_test_table/hl_test_table.vcproj396
-rw-r--r--windows/hl/test/hl_test_tabledll/hl_test_tabledll.vcproj399
-rw-r--r--windows/hl/tools/gif2h5/h52giftest.bat89
-rw-r--r--windows/hl/tools/gifconv/gif2h5.vcproj419
-rw-r--r--windows/hl/tools/gifconv/h52gif.vcproj407
-rw-r--r--windows/hl/tools/gifconvdll/gif2h5dll.vcproj411
-rw-r--r--windows/hl/tools/gifconvdll/h52gifdll.vcproj399
-rwxr-xr-xwindows/install_dll.BAT81
-rwxr-xr-xwindows/install_hlcexamples.BAT93
-rw-r--r--windows/install_hlf90examples.BAT34
-rwxr-xr-xwindows/installhdf5lib.bat334
-rw-r--r--windows/misc/typegen/h5fort_type_defines/h5fort_type_defines.vfproj48
-rw-r--r--windows/misc/typegen/h5fortran_detect/h5fortran_detect.vfproj48
-rw-r--r--windows/misc/typegen/h5libsettings/h5libsettings.vcproj399
-rw-r--r--windows/misc/typegen/h5match_types/h5match_types.vcproj401
-rw-r--r--windows/misc/typegen/h5tinit/h5tinit.vcproj399
-rw-r--r--windows/perform/checkperformtests.bat102
-rw-r--r--windows/perform/perf_serial/perf_serial.vcproj405
-rw-r--r--windows/perform/perf_serialdll/perf_serialdll.vcproj406
-rwxr-xr-xwindows/proj/all/all.sln2492
-rw-r--r--windows/proj/all/all.vcproj124
-rw-r--r--windows/proj/all_fortran/all_fortran.sln2965
-rw-r--r--windows/proj/all_fortran/all_fortran.vcproj124
-rw-r--r--windows/proj/hdf5/hdf5.vcproj1589
-rw-r--r--windows/proj/hdf5_cpp/hdf5_cpp.vcproj561
-rw-r--r--windows/proj/hdf5_cppdll/hdf5_cppdll.vcproj643
-rw-r--r--windows/proj/hdf5_f90cstub/hdf5_f90cstub.vcproj409
-rw-r--r--windows/proj/hdf5_f90cstubdll/hdf5_f90cstubdll.vcproj487
-rw-r--r--windows/proj/hdf5_fortran/hdf5_fortran.vfproj64
-rw-r--r--windows/proj/hdf5_fortrandll/hdf5_fortrandll.vfproj65
-rw-r--r--windows/proj/hdf5_hl/hdf5_hl.vcproj365
-rw-r--r--windows/proj/hdf5_hl_cpp/hdf5_hl_cpp.vcproj331
-rw-r--r--windows/proj/hdf5_hl_cppdll/hdf5_hl_cppdll.vcproj410
-rw-r--r--windows/proj/hdf5_hl_f90cstubdll/hdf5_hl_f90cstubdll.vcproj425
-rw-r--r--windows/proj/hdf5_hl_fortran/hdf5_hl_f90cstub.vcproj527
-rw-r--r--windows/proj/hdf5_hl_fortran/hdf5_hl_fortran.vfproj49
-rw-r--r--windows/proj/hdf5_hl_fortrandll/hdf5_hl_fortrandll.vfproj50
-rw-r--r--windows/proj/hdf5_hldll/hdf5_hldll.vcproj441
-rw-r--r--windows/proj/hdf5dll/hdf5dll.vcproj1676
-rw-r--r--windows/proj/property_sheets/remove-posix-warnings.vsprops11
-rw-r--r--windows/src/H5pubconf.h755
-rw-r--r--windows/test/H5srcdir_str.h22
-rw-r--r--windows/test/app_ref/app_ref.vcproj343
-rw-r--r--windows/test/app_refdll/app_refdll.vcproj343
-rw-r--r--windows/test/big/big.vcproj398
-rw-r--r--windows/test/bigdll/bigdll.vcproj392
-rw-r--r--windows/test/bittests/bittests.vcproj396
-rw-r--r--windows/test/bittestsdll/bittestsdll.vcproj388
-rw-r--r--windows/test/btree2/btree2.vcproj403
-rw-r--r--windows/test/btree2dll/btree2dll.vcproj399
-rw-r--r--windows/test/cache/cache.vcproj402
-rw-r--r--windows/test/cache_api/cache_api.vcproj407
-rw-r--r--windows/test/cache_apidll/cache_apidll.vcproj403
-rw-r--r--windows/test/cachedll/cachedll.vcproj398
-rw-r--r--windows/test/checktests.bat147
-rw-r--r--windows/test/chunk/chunk.vcproj398
-rw-r--r--windows/test/chunk_info/chunk_info.vcproj343
-rw-r--r--windows/test/chunk_infodll/chunk_infodll.vcproj343
-rw-r--r--windows/test/chunkdll/chunkdll.vcproj388
-rw-r--r--windows/test/cmpd_dset/cmpd_dset.vcproj396
-rw-r--r--windows/test/cmpd_dsetdll/cmpd_dsetdll.vcproj392
-rw-r--r--windows/test/cross_read/cross_read.vcproj403
-rw-r--r--windows/test/cross_readdll/cross_readdll.vcproj399
-rw-r--r--windows/test/dangle/dangle.vcproj398
-rw-r--r--windows/test/dangledll/dangledll.vcproj390
-rw-r--r--windows/test/dsets/dsets.vcproj396
-rw-r--r--windows/test/dsetsdll/dsetsdll.vcproj392
-rw-r--r--windows/test/dt_arith/dt_arith.vcproj403
-rw-r--r--windows/test/dt_arithdll/dt_arithdll.vcproj399
-rw-r--r--windows/test/dtransform/dtransform.vcproj398
-rw-r--r--windows/test/dtransformdll/dtransformdll.vcproj390
-rw-r--r--windows/test/dtypes/dtypes.vcproj396
-rw-r--r--windows/test/dtypesdll/dtypesdll.vcproj392
-rw-r--r--windows/test/earray/earray.vcproj339
-rw-r--r--windows/test/earraydll/earraydll.vcproj339
-rw-r--r--windows/test/efc/efc.vcproj435
-rw-r--r--windows/test/efcdll/efcdll.vcproj431
-rw-r--r--windows/test/enum/enum.vcproj396
-rw-r--r--windows/test/enumdll/enumdll.vcproj392
-rw-r--r--windows/test/err_compat/err_compat.vcproj403
-rw-r--r--windows/test/err_compatdll/err_compatdll.vcproj399
-rw-r--r--windows/test/error_test/error_test.vcproj403
-rw-r--r--windows/test/error_testdll/error_testdll.vcproj399
-rw-r--r--windows/test/extend/extend.vcproj396
-rw-r--r--windows/test/extenddll/extenddll.vcproj392
-rw-r--r--windows/test/external/external.vcproj396
-rw-r--r--windows/test/externaldll/externaldll.vcproj392
-rw-r--r--windows/test/farray/farray.vcproj339
-rw-r--r--windows/test/farraydll/farraydll.vcproj339
-rw-r--r--windows/test/fheap/fheap.vcproj403
-rw-r--r--windows/test/fheapdll/fheapdll.vcproj399
-rw-r--r--windows/test/fillval/fillval.vcproj396
-rw-r--r--windows/test/fillvaldll/fillvaldll.vcproj392
-rw-r--r--windows/test/flush1/flush1.vcproj396
-rw-r--r--windows/test/flush1dll/flush1dll.vcproj392
-rw-r--r--windows/test/flush2/flush2.vcproj396
-rw-r--r--windows/test/flush2dll/flush2dll.vcproj392
-rw-r--r--windows/test/freespace/freespace.vcproj339
-rw-r--r--windows/test/freespacedll/freespacedll.vcproj339
-rw-r--r--windows/test/getname/getname.vcproj398
-rw-r--r--windows/test/getnamedll/getnamedll.vcproj398
-rw-r--r--windows/test/getub/getub.vcproj396
-rw-r--r--windows/test/gheap/gheap.vcproj396
-rw-r--r--windows/test/gheapdll/gheapdll.vcproj392
-rw-r--r--windows/test/hyperslab/hyperslab.vcproj396
-rw-r--r--windows/test/hyperslabdll/hyperslabdll.vcproj388
-rw-r--r--windows/test/iopipe/iopipe.vcproj396
-rw-r--r--windows/test/iopipedll/iopipedll.vcproj388
-rw-r--r--windows/test/istore/istore.vcproj396
-rw-r--r--windows/test/istoredll/istoredll.vcproj392
-rw-r--r--windows/test/lheap/lheap.vcproj396
-rw-r--r--windows/test/lheapdll/lheapdll.vcproj392
-rw-r--r--windows/test/libtest/libtest.vcproj340
-rw-r--r--windows/test/libtestdll/libtestdll.vcproj421
-rw-r--r--windows/test/links/links.vcproj396
-rw-r--r--windows/test/linksdll/linksdll.vcproj392
-rw-r--r--windows/test/mf/mf.vcproj339
-rw-r--r--windows/test/mfdll/mfdll.vcproj339
-rw-r--r--windows/test/mount/mount.vcproj396
-rw-r--r--windows/test/mountdll/mountdll.vcproj392
-rw-r--r--windows/test/mtime/mtime.vcproj396
-rw-r--r--windows/test/mtimedll/mtimedll.vcproj392
-rw-r--r--windows/test/ntypes/ntypes.vcproj398
-rw-r--r--windows/test/ntypesdll/ntypesdll.vcproj398
-rw-r--r--windows/test/objcopy/objcopy.vcproj401
-rw-r--r--windows/test/objcopydll/objcopydll.vcproj399
-rw-r--r--windows/test/ohdr/ohdr.vcproj396
-rw-r--r--windows/test/ohdrdll/ohdrdll.vcproj392
-rw-r--r--windows/test/overhead/overhead.vcproj396
-rw-r--r--windows/test/overheaddll/overheaddll.vcproj388
-rw-r--r--windows/test/pool/pool.vcproj403
-rw-r--r--windows/test/pooldll/pooldll.vcproj399
-rw-r--r--windows/test/reserved/reserved.vcproj398
-rw-r--r--windows/test/reserveddll/reserveddll.vcproj394
-rw-r--r--windows/test/set_extent/set_extent.vcproj397
-rw-r--r--windows/test/set_extentdll/set_extentdll.vcproj394
-rw-r--r--windows/test/stab/stab.vcproj396
-rw-r--r--windows/test/stabdll/stabdll.vcproj392
-rw-r--r--windows/test/tcheckversion/tcheckversion.vcproj396
-rw-r--r--windows/test/tcheckversiondll/tcheckversiondll.vcproj392
-rw-r--r--windows/test/tellub/tellub.vcproj396
-rw-r--r--windows/test/testerror.bat204
-rw-r--r--windows/test/testhdf5/testhdf5.vcproj492
-rw-r--r--windows/test/testhdf5dll/testhdf5dll.vcproj484
-rw-r--r--windows/test/ttsafedll/ttsafedll.vcproj415
-rw-r--r--windows/test/unlink/unlink.vcproj396
-rw-r--r--windows/test/unlinkdll/unlinkdll.vcproj392
-rw-r--r--windows/test/vfd/vfd.vcproj403
-rw-r--r--windows/test/vfddll/vfddll.vcproj403
-rw-r--r--windows/tools/checktools.bat164
-rw-r--r--windows/tools/h5copy/h5copy.vcproj398
-rw-r--r--windows/tools/h5copy/testh5copy.bat448
-rw-r--r--windows/tools/h5debug/h5debug.vcproj396
-rw-r--r--windows/tools/h5debugdll/h5debugdll.vcproj388
-rw-r--r--windows/tools/h5diff/h5diff.vcproj404
-rw-r--r--windows/tools/h5diff/testh5diff.bat980
-rw-r--r--windows/tools/h5diffdll/h5diffdll.vcproj394
-rw-r--r--windows/tools/h5dump/h5dump.vcproj396
-rw-r--r--windows/tools/h5dump/testh5dump.bat703
-rw-r--r--windows/tools/h5dump/testh5dumpxml.bat224
-rw-r--r--windows/tools/h5dumpdll/h5dumpdll.vcproj390
-rw-r--r--windows/tools/h5import/h5import.vcproj390
-rw-r--r--windows/tools/h5import/h5importtestutil.bat181
-rw-r--r--windows/tools/h5importdll/h5importdll.vcproj382
-rw-r--r--windows/tools/h5jam/h5jam.vcproj396
-rw-r--r--windows/tools/h5jam/testh5jam.bat598
-rw-r--r--windows/tools/h5ls/h5ls.vcproj396
-rw-r--r--windows/tools/h5ls/testh5ls.bat263
-rw-r--r--windows/tools/h5lsdll/h5lsdll.vcproj388
-rw-r--r--windows/tools/h5mkgrp/h5mkgrp.vcproj394
-rw-r--r--windows/tools/h5mkgrp/testh5mkgrp.bat254
-rw-r--r--windows/tools/h5repack/h5repack.bat802
-rw-r--r--windows/tools/h5repack/h5repack.vcproj444
-rw-r--r--windows/tools/h5repackdll/h5repackdll.vcproj432
-rw-r--r--windows/tools/h5repart/h5repart.vcproj396
-rw-r--r--windows/tools/h5repart/testh5repart.bat148
-rw-r--r--windows/tools/h5repartdll/h5repartdll.vcproj388
-rw-r--r--windows/tools/h5stat/h5stat.vcproj394
-rw-r--r--windows/tools/h5stat/testh5stat.bat183
-rw-r--r--windows/tools/h5statdll/h5statdll.vcproj392
-rw-r--r--windows/tools/h5unjam/h5unjam.vcproj396
-rw-r--r--windows/tools/talign/talign.vcproj398
-rw-r--r--windows/tools/taligndll/taligndll.vcproj394
-rw-r--r--windows/tools/testfiles/binread/binread.vcproj382
-rw-r--r--windows/tools/testfiles/h5difftst/h5difftst.vcproj416
-rw-r--r--windows/tools/testfiles/h5dumptst/h5dumptst.vcproj396
-rw-r--r--windows/tools/testfiles/h5importtst/h5importtst.vcproj390
-rw-r--r--windows/tools/testfiles/h5jamtst/h5jamtst.vcproj396
-rw-r--r--windows/tools/testfiles/h5repacktst/h5repacktst.vcproj440
-rw-r--r--windows/tools/testfiles/h5repart_gentest/h5repart_gentest.vcproj394
-rw-r--r--windows/tools/testfiles/h5reparttst/h5reparttst.vcproj394
-rw-r--r--windows/tools/testfiles/testh5repack_detect_szip/testh5repack_detect_szip.vcproj396
-rw-r--r--windows/tools/testfiles/testh5repack_detect_szipdll/testh5repack_detect_szipdll.vcproj390
-rw-r--r--windows/tools/toolslib/toolslib.vcproj399
-rw-r--r--windows/tools/toolslibdll/toolslibdll.vcproj398
598 files changed, 15233 insertions, 126730 deletions
diff --git a/CMakeLists.txt b/CMakeLists.txt
index 340c4ec..0d2db1d 100644
--- a/CMakeLists.txt
+++ b/CMakeLists.txt
@@ -916,7 +916,7 @@ IF (NOT HDF5_EXTERNALLY_CONFIGURED)
${HDF5_BINARY_DIR}/CMakeFiles/${HDF5_PACKAGE}${HDF_PACKAGE_EXT}-config-version.cmake @ONLY
)
INSTALL (
- FILES ${HDF5_BINARY_DIR}/CMakeFiles/${HDF5_PACKAGE}${HDF5_PACKAGE_EXT}-config-version.cmake
+ FILES ${HDF5_BINARY_DIR}/CMakeFiles/${HDF5_PACKAGE}${HDF_PACKAGE_EXT}-config-version.cmake
DESTINATION ${HDF5_INSTALL_CMAKE_DIR}/${HDF5_PACKAGE}
COMPONENT configinstall
)
@@ -955,7 +955,7 @@ IF (NOT HDF5_EXTERNALLY_CONFIGURED)
IF (EXISTS "${HDF5_SOURCE_DIR}/release_docs" AND IS_DIRECTORY "${HDF5_SOURCE_DIR}/release_docs")
SET (release_files
${HDF5_SOURCE_DIR}/release_docs/CMake.txt
- ${HDF5_SOURCE_DIR}/release_docs/Using_CMake.txt
+ ${HDF5_SOURCE_DIR}/release_docs/USING_CMake.txt
${HDF5_SOURCE_DIR}/release_docs/COPYING
${HDF5_SOURCE_DIR}/release_docs/HISTORY-1_9.txt
${HDF5_SOURCE_DIR}/release_docs/INSTALL
diff --git a/MANIFEST b/MANIFEST
index fa9ff05..23a75fd 100644
--- a/MANIFEST
+++ b/MANIFEST
@@ -349,6 +349,7 @@
./fortran/test/tH5I.f90
./fortran/test/tH5L_F03.f90
./fortran/test/tH5O.f90
+./fortran/test/tH5O_F03.f90
./fortran/test/tH5P_F03.f90
./fortran/test/tH5P.f90
./fortran/test/tH5R.f90
@@ -441,6 +442,8 @@
./c++/src/H5IntType.h
./c++/src/H5Library.cpp
./c++/src/H5Library.h
+./c++/src/H5Location.cpp
+./c++/src/H5Location.h
./c++/src/H5Object.cpp
./c++/src/H5Object.h
./c++/src/H5PredType.cpp
@@ -494,7 +497,6 @@
./perform/chunk.c
./perform/gen_report.pl
./perform/iopipe.c
-./perform/mpi-perf.c
./perform/overhead.c
./perform/perf.c
./perform/perf_meta.c
@@ -514,22 +516,19 @@
./perform/sio_timer.h
./perform/zip_perf.c
+./release_docs/CMake.txt
./release_docs/COPYING
./release_docs/HISTORY-1_0-1_8_0_rc3.txt
./release_docs/HISTORY-1_9.txt
./release_docs/INSTALL
./release_docs/INSTALL_Cygwin.txt
+./release_docs/INSTALL_MinGW.txt
+./release_docs/INSTALL_parallel
./release_docs/INSTALL_VMS.txt
./release_docs/INSTALL_Windows.txt
-./release_docs/USING_Windows.txt
-./release_docs/INSTALL_Windows_From_Command_Line.txt
-./release_docs/INSTALL_Windows_Short_NET.TXT
-./release_docs/INSTALL_Windows_Short_VS2005.TXT
-./release_docs/INSTALL_Windows_Short_VS2008.TXT
-./release_docs/CMake.txt
-./release_docs/INSTALL_parallel
./release_docs/RELEASE.txt
-./release_docs/Using_CMake.txt
+./release_docs/USING_CMake.txt
+./release_docs/USING_Windows.txt
./src/.indent.pro _DO_NOT_DISTRIBUTE_
./src/hdf5.lnt _DO_NOT_DISTRIBUTE_
@@ -822,6 +821,7 @@
./src/H5Pdcpl.c
./src/H5Pdeprec.c
./src/H5Pdxpl.c
+./src/H5Pencdec.c
./src/H5Pfapl.c
./src/H5Pfcpl.c
./src/H5Pfmpl.c
@@ -947,6 +947,8 @@
./test/dtransform.c
./test/earray.c
./test/efc.c
+./test/enc_dec_plist.c
+./test/enc_dec_plist_with_endianess.c
./test/enum.c
./test/extend.c
./test/external.c
@@ -989,6 +991,7 @@
./test/gen_old_group.c _DO_NOT_DISTRIBUTE_
./test/gen_old_layout.c _DO_NOT_DISTRIBUTE_
./test/gen_old_mtime.c _DO_NOT_DISTRIBUTE_
+./test/gen_plist.c _DO_NOT_DISTRIBUTE_
./test/gen_sizes_lheap.c _DO_NOT_DISTRIBUTE_
./test/gen_specmetaread.c _DO_NOT_DISTRIBUTE_
./test/gen_udlinks.c _DO_NOT_DISTRIBUTE_
@@ -1079,23 +1082,48 @@
./test/testfiles/error_test_1
./test/testfiles/error_test_2
./test/testfiles/links_env.out
+./test/testfiles/plist_files/acpl_be
+./test/testfiles/plist_files/acpl_le
+./test/testfiles/plist_files/dapl_be
+./test/testfiles/plist_files/dapl_le
+./test/testfiles/plist_files/dcpl_be
+./test/testfiles/plist_files/dcpl_le
+./test/testfiles/plist_files/dxpl_be
+./test/testfiles/plist_files/dxpl_le
+./test/testfiles/plist_files/fapl_be
+./test/testfiles/plist_files/fapl_le
+./test/testfiles/plist_files/fcpl_be
+./test/testfiles/plist_files/fcpl_le
+./test/testfiles/plist_files/gcpl_be
+./test/testfiles/plist_files/gcpl_le
+./test/testfiles/plist_files/lapl_be
+./test/testfiles/plist_files/lapl_le
+./test/testfiles/plist_files/lcpl_be
+./test/testfiles/plist_files/lcpl_le
+./test/testfiles/plist_files/ocpl_be
+./test/testfiles/plist_files/ocpl_le
+./test/testfiles/plist_files/ocpypl_be
+./test/testfiles/plist_files/ocpypl_le
+./test/testfiles/plist_files/strcpl_be
+./test/testfiles/plist_files/strcpl_le
./testpar/COPYING
./testpar/Makefile.am
./testpar/Makefile.in
./testpar/t_cache.c
+./testpar/t_chunk_alloc.c
+./testpar/t_coll_chunk.c
./testpar/t_dset.c
./testpar/t_file.c
./testpar/t_file_image.c
+./testpar/t_filter_read.c
./testpar/t_mdset.c
./testpar/t_mpi.c
./testpar/t_ph5basic.c
./testpar/t_pflush1.c
./testpar/t_pflush2.c
-./testpar/t_chunk_alloc.c
-./testpar/t_coll_chunk.c
-./testpar/t_filter_read.c
./testpar/t_posix_compliant.c
+./testpar/t_prop.c
./testpar/t_shapesame.c
./testpar/t_span_tree.c
./testpar/testpar.h
@@ -1449,6 +1477,10 @@
./tools/testfiles/topaque.h5
./tools/testfiles/tsaf.ddl
./tools/testfiles/tsaf.h5
+./tools/testfiles/tscalarattrintsize.ddl
+./tools/testfiles/tscalarattrintsize.h5
+./tools/testfiles/tscalarintsize.ddl
+./tools/testfiles/tscalarintsize.h5
./tools/testfiles/tscaleoffset.ddl
./tools/testfiles/tslink-1.ddl
./tools/testfiles/tslink-2.ddl
@@ -2356,402 +2388,3 @@
./tools/h5stat/CMakeLists.txt
./tools/lib/CMakeLists.txt
./tools/misc/CMakeLists.txt
-
-
-# Windows-specific Files.
-# Batch scripts
-./windows/copy_hdf.bat
-./windows/COPYING
-./windows/hdf5bt.BAT
-./windows/hdf5build.BAT
-./windows/hdf5build_examples.BAT
-./windows/hdf5check.BAT
-./windows/InstallcppExamples.BAT
-./windows/install_dll.BAT
-./windows/InstallExamples.bat
-./windows/Installf90Examples.BAT
-./windows/installhdf5lib.bat
-./windows/install_hlcexamples.BAT
-./windows/install_hlf90examples.BAT
-
-# C++ Examples
-./windows/c++/examples/testcppExamples.BAT
-./windows/c++/examples/allcppexamples/allcppexamples.sln
-./windows/c++/examples/allcppexamples/allcppexamples.vcproj
-./windows/c++/examples/chunkstest/chunkstest.vcproj
-./windows/c++/examples/chunkstestdll/chunkstestdll.vcproj
-./windows/c++/examples/compoundtest/compoundtest.vcproj
-./windows/c++/examples/compoundtestdll/compoundtestdll.vcproj
-./windows/c++/examples/createtest/createtest.vcproj
-./windows/c++/examples/createtestdll/createtestdll.vcproj
-./windows/c++/examples/extend_dstest/extend_dstest.vcproj
-./windows/c++/examples/extend_dstestdll/extend_dstestdll.vcproj
-./windows/c++/examples/h5grouptest/h5grouptest.vcproj
-./windows/c++/examples/h5grouptestdll/h5grouptestdll.vcproj
-./windows/c++/examples/readdatatest/readdatatest.vcproj
-./windows/c++/examples/readdatatestdll/readdatatestdll.vcproj
-./windows/c++/examples/writedatatest/writedatatest.vcproj
-./windows/c++/examples/writedatatestdll/writedatatestdll.vcproj
-
-# C++ Tests
-./windows/c++/test/H5srcdir_str.h
-./windows/c++/test/checkcpptests.bat
-./windows/c++/test/testhdf5_cpp/testhdf5_cpp.vcproj
-./windows/c++/test/testhdf5_cppdll/testhdf5_cppdll.vcproj
-
-# Library Examples
-./windows/examples/testExamples.bat
-./windows/examples/testExamples_exp_output.txt
-./windows/examples/allexamples/allexamples.sln
-./windows/examples/allexamples/allexamples.vcproj
-./windows/examples/attributetest/attributetest.vcproj
-./windows/examples/attributetestdll/attributetestdll.vcproj
-./windows/examples/chunkread/chunkread.vcproj
-./windows/examples/chunkreaddll/chunkreaddll.vcproj
-./windows/examples/compoundtest/compoundtest.vcproj
-./windows/examples/compoundtestdll/compoundtestdll.vcproj
-./windows/examples/extendwritetest/extendwritetest.vcproj
-./windows/examples/extendwritetestdll/extendwritetestdll.vcproj
-./windows/examples/grouptest/grouptest.vcproj
-./windows/examples/grouptestdll/grouptestdll.vcproj
-./windows/examples/intermgrouptest/intermgrouptest.vcproj
-./windows/examples/intermgrouptestdll/intermgrouptestdll.vcproj
-./windows/examples/readtest/readtest.vcproj
-./windows/examples/readtestdll/readtestdll.vcproj
-./windows/examples/selectest/selectest.vcproj
-./windows/examples/selectestdll/selectestdll.vcproj
-./windows/examples/writetest/writetest.vcproj
-./windows/examples/writetestdll/writetestdll.vcproj
-
-# Fortran Examples
-./windows/fortran/examples/allf90examples/allf90examples.sln
-./windows/fortran/examples/allf90examples/allf90examples.vcproj
-./windows/fortran/examples/attreexampletest/attreexampletest.vfproj
-./windows/fortran/examples/attreexampletestdll/attreexampletestdll.vfproj
-./windows/fortran/examples/compoundtest/compoundtest.vfproj
-./windows/fortran/examples/compoundtestdll/compoundtestdll.vfproj
-./windows/fortran/examples/dsetexampletest/dsetexampletest.vfproj
-./windows/fortran/examples/dsetexampletestdll/dsetexampletestdll.vfproj
-./windows/fortran/examples/fileexampletest/fileexampletest.vfproj
-./windows/fortran/examples/fileexampletestdll/fileexampletestdll.vfproj
-./windows/fortran/examples/groupexampletest/groupexampletest.vfproj
-./windows/fortran/examples/groupexampletestdll/groupexampletestdll.vfproj
-./windows/fortran/examples/grpdsetexampletest/grpdsetexampletest.vfproj
-./windows/fortran/examples/grpdsetexampletestdll/grpdsetexampletestdll.vfproj
-./windows/fortran/examples/grpittest/grpittest.vfproj
-./windows/fortran/examples/grpittestdll/grpittestdll.vfproj
-./windows/fortran/examples/grpsexampletest/grpsexampletest.vfproj
-./windows/fortran/examples/grpsexampletestdll/grpsexampletestdll.vfproj
-./windows/fortran/examples/hyperslabtest/hyperslabtest.vfproj
-./windows/fortran/examples/hyperslabtestdll/hyperslabtestdll.vfproj
-./windows/fortran/examples/mountexampletest/mountexampletest.vfproj
-./windows/fortran/examples/mountexampletestdll/mountexampletestdll.vfproj
-./windows/fortran/examples/refobjexampletest/refobjexampletest.vfproj
-./windows/fortran/examples/refobjexampletestdll/refobjexampletestdll.vfproj
-./windows/fortran/examples/refregexampletest/refregexampletest.vfproj
-./windows/fortran/examples/refregexampletestdll/refregexampletestdll.vfproj
-./windows/fortran/examples/rwdsetexampletest/rwdsetexampletest.vfproj
-./windows/fortran/examples/rwdsetexampletestdll/rwdsetexampletestdll.vfproj
-./windows/fortran/examples/selecteletest/selecteletest.vfproj
-./windows/fortran/examples/selecteletestdll/selecteletestdll.vfproj
-
-# Fortran Tests
-./windows/fortran/test/checkfortrantests.bat
-./windows/fortran/test/flush1_fortran/flush1_fortran.vfproj
-./windows/fortran/test/flush1_fortrandll/flush1_fortrandll.vfproj
-./windows/fortran/test/flush2_fortran/flush2_fortran.vfproj
-./windows/fortran/test/flush2_fortrandll/flush2_fortrandll.vfproj
-./windows/fortran/test/libtest_cstubdll/libtest_cstubdll.vcproj
-./windows/fortran/test/libtest_fortran/libtest_cstub.vcproj
-./windows/fortran/test/libtest_fortran/libtest_fortran.vfproj
-./windows/fortran/test/libtest_fortrandll/libtest_fortrandll.vfproj
-./windows/fortran/test/testhdf5_fortran/testhdf5_fortran.vfproj
-./windows/fortran/test/testhdf5_fortran_1_8/testhdf5_fortran_1_8.vfproj
-./windows/fortran/test/testhdf5_fortran_1_8dll/testhdf5_fortran_1_8dll.vfproj
-./windows/fortran/test/testhdf5_fortrandll/testhdf5_fortrandll.vfproj
-
-# High-Leve C++ Tests
-./windows/hl/c++/test/checkhlcpptests.bat
-./windows/hl/c++/test/hl_test_table_cpp/hl_test_table_cpp.vcproj
-./windows/hl/c++/test/hl_test_table_cppdll/hl_test_table_cppdll.vcproj
-
-# High-Level Library Examples
-./windows/hl/examples/test_hl_cexamples.BAT
-./windows/hl/examples/allhlcexamples/allhlcexamples.sln
-./windows/hl/examples/allhlcexamples/allhlcexamples.vcproj
-./windows/hl/examples/ex_ds1/ex_ds1.vcproj
-./windows/hl/examples/ex_ds1dll/ex_ds1dll.vcproj
-./windows/hl/examples/ex_image1/ex_image1.vcproj
-./windows/hl/examples/ex_image1dll/ex_image1dll.vcproj
-./windows/hl/examples/ex_image2/ex_image2.vcproj
-./windows/hl/examples/ex_image2dll/ex_image2dll.vcproj
-./windows/hl/examples/ex_lite1/ex_lite1.vcproj
-./windows/hl/examples/ex_lite1dll/ex_lite1dll.vcproj
-./windows/hl/examples/ex_lite2/ex_lite2.vcproj
-./windows/hl/examples/ex_lite2dll/ex_lite2dll.vcproj
-./windows/hl/examples/ex_lite3/ex_lite3.vcproj
-./windows/hl/examples/ex_lite3dll/ex_lite3dll.vcproj
-./windows/hl/examples/ex_table01/ex_table01.vcproj
-./windows/hl/examples/ex_table01dll/ex_table01dll.vcproj
-./windows/hl/examples/ex_table02/ex_table02.vcproj
-./windows/hl/examples/ex_table02dll/ex_table02dll.vcproj
-./windows/hl/examples/ex_table03/ex_table03.vcproj
-./windows/hl/examples/ex_table03dll/ex_table03dll.vcproj
-./windows/hl/examples/ex_table04/ex_table04.vcproj
-./windows/hl/examples/ex_table04dll/ex_table04dll.vcproj
-./windows/hl/examples/ex_table05/ex_table05.vcproj
-./windows/hl/examples/ex_table05dll/ex_table05dll.vcproj
-./windows/hl/examples/ex_table06/ex_table06.vcproj
-./windows/hl/examples/ex_table06dll/ex_table06dll.vcproj
-./windows/hl/examples/ex_table07/ex_table07.vcproj
-./windows/hl/examples/ex_table07dll/ex_table07dll.vcproj
-./windows/hl/examples/ex_table08/ex_table08.vcproj
-./windows/hl/examples/ex_table08dll/ex_table08dll.vcproj
-./windows/hl/examples/ex_table09/ex_table09.vcproj
-./windows/hl/examples/ex_table09dll/ex_table09dll.vcproj
-./windows/hl/examples/ex_table10/ex_table10.vcproj
-./windows/hl/examples/ex_table10dll/ex_table10dll.vcproj
-./windows/hl/examples/ex_table11/ex_table11.vcproj
-./windows/hl/examples/ex_table11dll/ex_table11dll.vcproj
-./windows/hl/examples/ex_table12/ex_table12.vcproj
-./windows/hl/examples/ex_table12dll/ex_table12dll.vcproj
-./windows/hl/examples/ptExampleFL/ptExampleFL.vcproj
-./windows/hl/examples/ptExampleFLdll/ptExampleFLdll.vcproj
-./windows/hl/examples/ptExampleVL/ptExampleVL.vcproj
-./windows/hl/examples/ptExampleVLdll/ptExampleVLdll.vcproj
-
-# High-Level Fortran Examples
-./windows/hl/fortran/examples/test_hl_f90examples.BAT
-./windows/hl/fortran/examples/allhlf90examples/allhlf90examples.sln
-./windows/hl/fortran/examples/allhlf90examples/allhlf90examples.vcproj
-./windows/hl/fortran/examples/ex_lite/ex_lite.vfproj
-./windows/hl/fortran/examples/ex_litedll/ex_litedll.vfproj
-
-# High-Level Fortran Tests
-./windows/hl/fortran/test/checkhlfortrantests.bat
-./windows/hl/fortran/test/hl_test_image_fortran/hl_test_image_fortran.vfproj
-./windows/hl/fortran/test/hl_test_image_fortrandll/hl_test_image_fortrandll.vfproj
-./windows/hl/fortran/test/hl_test_lite_fortran/hl_test_lite_fortran.vfproj
-./windows/hl/fortran/test/hl_test_lite_fortrandll/hl_test_lite_fortrandll.vfproj
-./windows/hl/fortran/test/hl_test_table_fortran/hl_test_table_fortran.vfproj
-./windows/hl/fortran/test/hl_test_table_fortrandll/hl_test_table_fortrandll.vfproj
-
-# High-Level Library Tests
-./windows/hl/test/H5srcdir_str.h
-./windows/hl/test/checkhltests.bat
-./windows/hl/test/hl_test_ds/hl_test_ds.vcproj
-./windows/hl/test/hl_test_dsdll/hl_test_dsdll.vcproj
-./windows/hl/test/hl_test_image/hl_test_image.vcproj
-./windows/hl/test/hl_test_imagedll/hl_test_imagedll.vcproj
-./windows/hl/test/hl_test_lite/hl_test_lite.vcproj
-./windows/hl/test/hl_test_litedll/hl_test_litedll.vcproj
-./windows/hl/test/hl_test_packet/hl_test_packet.vcproj
-./windows/hl/test/hl_test_packetdll/hl_test_packetdll.vcproj
-./windows/hl/test/hl_test_table/hl_test_table.vcproj
-./windows/hl/test/hl_test_tabledll/hl_test_tabledll.vcproj
-
-# High-Level Tools
-./windows/hl/tools/gif2h5/h52giftest.bat
-./windows/hl/tools/gifconv/gif2h5.vcproj
-./windows/hl/tools/gifconv/h52gif.vcproj
-./windows/hl/tools/gifconvdll/gif2h5dll.vcproj
-./windows/hl/tools/gifconvdll/h52gifdll.vcproj
-
-# Misc. Projects
-./windows/misc/typegen/h5fort_type_defines/h5fort_type_defines.vfproj
-./windows/misc/typegen/h5fortran_detect/h5fortran_detect.vfproj
-./windows/misc/typegen/h5match_types/h5match_types.vcproj
-./windows/misc/typegen/h5tinit/h5tinit.vcproj
-./windows/misc/typegen/h5libsettings/h5libsettings.vcproj
-
-# Performance Tests
-./windows/perform/checkperformtests.bat
-./windows/perform/perf_serialdll/perf_serialdll.vcproj
-./windows/perform/perf_serial/perf_serial.vcproj
-
-# Project Files
-./windows/proj/all/all.sln
-./windows/proj/all/all.vcproj
-./windows/proj/all_fortran/all_fortran.sln
-./windows/proj/all_fortran/all_fortran.vcproj
-./windows/proj/hdf5/hdf5.vcproj
-./windows/proj/hdf5_cpp/hdf5_cpp.vcproj
-./windows/proj/hdf5_cppdll/hdf5_cppdll.vcproj
-./windows/proj/hdf5dll/hdf5dll.vcproj
-./windows/proj/hdf5_f90cstub/hdf5_f90cstub.vcproj
-./windows/proj/hdf5_f90cstubdll/hdf5_f90cstubdll.vcproj
-./windows/proj/hdf5_fortran/hdf5_fortran.vfproj
-./windows/proj/hdf5_fortrandll/hdf5_fortrandll.vfproj
-./windows/proj/hdf5_hl/hdf5_hl.vcproj
-./windows/proj/hdf5_hl_cpp/hdf5_hl_cpp.vcproj
-./windows/proj/hdf5_hl_cppdll/hdf5_hl_cppdll.vcproj
-./windows/proj/hdf5_hldll/hdf5_hldll.vcproj
-./windows/proj/hdf5_hl_f90cstubdll/hdf5_hl_f90cstubdll.vcproj
-./windows/proj/hdf5_hl_fortran/hdf5_hl_f90cstub.vcproj
-./windows/proj/hdf5_hl_fortran/hdf5_hl_fortran.vfproj
-./windows/proj/hdf5_hl_fortrandll/hdf5_hl_fortrandll.vfproj
-
-# Visual Studio Property Sheets
-./windows/proj/property_sheets/remove-posix-warnings.vsprops
-
-# Windows-maintainted Source
-./windows/src/H5pubconf.h
-
-# Library Test Projects
-./windows/test/H5srcdir_str.h
-./windows/test/checktests.bat
-./windows/test/testerror.bat
-./windows/test/app_ref/app_ref.vcproj
-./windows/test/app_refdll/app_refdll.vcproj
-./windows/test/big/big.vcproj
-./windows/test/bigdll/bigdll.vcproj
-./windows/test/bittests/bittests.vcproj
-./windows/test/bittestsdll/bittestsdll.vcproj
-./windows/test/btree2/btree2.vcproj
-./windows/test/btree2dll/btree2dll.vcproj
-./windows/test/cache/cache.vcproj
-./windows/test/cache_api/cache_api.vcproj
-./windows/test/cache_apidll/cache_apidll.vcproj
-./windows/test/cachedll/cachedll.vcproj
-./windows/test/chunk/chunk.vcproj
-./windows/test/chunk_info/chunk_info.vcproj
-./windows/test/chunk_infodll/chunk_infodll.vcproj
-./windows/test/chunkdll/chunkdll.vcproj
-./windows/test/cmpd_dset/cmpd_dset.vcproj
-./windows/test/cmpd_dsetdll/cmpd_dsetdll.vcproj
-./windows/test/cross_read/cross_read.vcproj
-./windows/test/cross_readdll/cross_readdll.vcproj
-./windows/test/dangle/dangle.vcproj
-./windows/test/dangledll/dangledll.vcproj
-./windows/test/dsets/dsets.vcproj
-./windows/test/dsetsdll/dsetsdll.vcproj
-./windows/test/dt_arith/dt_arith.vcproj
-./windows/test/dt_arithdll/dt_arithdll.vcproj
-./windows/test/dtransform/dtransform.vcproj
-./windows/test/dtransformdll/dtransformdll.vcproj
-./windows/test/dtypes/dtypes.vcproj
-./windows/test/dtypesdll/dtypesdll.vcproj
-./windows/test/efc/efc.vcproj
-./windows/test/efcdll/efcdll.vcproj
-./windows/test/earray/earray.vcproj
-./windows/test/earraydll/earraydll.vcproj
-./windows/test/enum/enum.vcproj
-./windows/test/enumdll/enumdll.vcproj
-./windows/test/error_test/error_test.vcproj
-./windows/test/error_testdll/error_testdll.vcproj
-./windows/test/err_compat/err_compat.vcproj
-./windows/test/err_compatdll/err_compatdll.vcproj
-./windows/test/extend/extend.vcproj
-./windows/test/extenddll/extenddll.vcproj
-./windows/test/external/external.vcproj
-./windows/test/externaldll/externaldll.vcproj
-./windows/test/farray/farray.vcproj
-./windows/test/farraydll/farraydll.vcproj
-./windows/test/fheap/fheap.vcproj
-./windows/test/fheapdll/fheapdll.vcproj
-./windows/test/fillval/fillval.vcproj
-./windows/test/fillvaldll/fillvaldll.vcproj
-./windows/test/flush1/flush1.vcproj
-./windows/test/flush1dll/flush1dll.vcproj
-./windows/test/flush2/flush2.vcproj
-./windows/test/flush2dll/flush2dll.vcproj
-./windows/test/freespace/freespace.vcproj
-./windows/test/freespacedll/freespacedll.vcproj
-./windows/test/getname/getname.vcproj
-./windows/test/getnamedll/getnamedll.vcproj
-./windows/test/getub/getub.vcproj
-./windows/test/gheap/gheap.vcproj
-./windows/test/gheapdll/gheapdll.vcproj
-./windows/test/hyperslab/hyperslab.vcproj
-./windows/test/hyperslabdll/hyperslabdll.vcproj
-./windows/test/iopipe/iopipe.vcproj
-./windows/test/iopipedll/iopipedll.vcproj
-./windows/test/istore/istore.vcproj
-./windows/test/istoredll/istoredll.vcproj
-./windows/test/lheap/lheap.vcproj
-./windows/test/lheapdll/lheapdll.vcproj
-./windows/test/libtest/libtest.vcproj
-./windows/test/libtestdll/libtestdll.vcproj
-./windows/test/links/links.vcproj
-./windows/test/linksdll/linksdll.vcproj
-./windows/test/mf/mf.vcproj
-./windows/test/mfdll/mfdll.vcproj
-./windows/test/mount/mount.vcproj
-./windows/test/mountdll/mountdll.vcproj
-./windows/test/mtime/mtime.vcproj
-./windows/test/mtimedll/mtimedll.vcproj
-./windows/test/ntypes/ntypes.vcproj
-./windows/test/ntypesdll/ntypesdll.vcproj
-./windows/test/objcopy/objcopy.vcproj
-./windows/test/objcopydll/objcopydll.vcproj
-./windows/test/ohdr/ohdr.vcproj
-./windows/test/ohdrdll/ohdrdll.vcproj
-./windows/test/overhead/overhead.vcproj
-./windows/test/overheaddll/overheaddll.vcproj
-./windows/test/pool/pool.vcproj
-./windows/test/pooldll/pooldll.vcproj
-./windows/test/reserved/reserved.vcproj
-./windows/test/reserveddll/reserveddll.vcproj
-./windows/test/set_extent/set_extent.vcproj
-./windows/test/set_extentdll/set_extentdll.vcproj
-./windows/test/stab/stab.vcproj
-./windows/test/stabdll/stabdll.vcproj
-./windows/test/tcheckversion/tcheckversion.vcproj
-./windows/test/tcheckversiondll/tcheckversiondll.vcproj
-./windows/test/tellub/tellub.vcproj
-./windows/test/testhdf5/testhdf5.vcproj
-./windows/test/testhdf5dll/testhdf5dll.vcproj
-./windows/test/ttsafedll/ttsafedll.vcproj
-./windows/test/unlink/unlink.vcproj
-./windows/test/unlinkdll/unlinkdll.vcproj
-./windows/test/vfd/vfd.vcproj
-./windows/test/vfddll/vfddll.vcproj
-
-# Library Tools
-./windows/tools/checktools.bat
-./windows/tools/h5copy/h5copy.vcproj
-./windows/tools/h5copy/testh5copy.bat
-./windows/tools/h5debug/h5debug.vcproj
-./windows/tools/h5debugdll/h5debugdll.vcproj
-./windows/tools/h5diff/h5diff.vcproj
-./windows/tools/h5diff/testh5diff.bat
-./windows/tools/h5diffdll/h5diffdll.vcproj
-./windows/tools/h5dump/h5dump.vcproj
-./windows/tools/h5dump/testh5dump.bat
-./windows/tools/h5dump/testh5dumpxml.bat
-./windows/tools/h5dumpdll/h5dumpdll.vcproj
-./windows/tools/h5import/h5import.vcproj
-./windows/tools/h5import/h5importtestutil.bat
-./windows/tools/h5importdll/h5importdll.vcproj
-./windows/tools/h5jam/h5jam.vcproj
-./windows/tools/h5jam/testh5jam.bat
-./windows/tools/h5ls/h5ls.vcproj
-./windows/tools/h5ls/testh5ls.bat
-./windows/tools/h5lsdll/h5lsdll.vcproj
-./windows/tools/h5mkgrp/h5mkgrp.vcproj
-./windows/tools/h5mkgrp/testh5mkgrp.bat
-./windows/tools/h5repack/h5repack.vcproj
-./windows/tools/h5repack/h5repack.bat
-./windows/tools/h5repackdll/h5repackdll.vcproj
-./windows/tools/h5repart/h5repart.vcproj
-./windows/tools/h5repart/testh5repart.bat
-./windows/tools/h5repartdll/h5repartdll.vcproj
-./windows/tools/h5stat/h5stat.vcproj
-./windows/tools/h5stat/testh5stat.bat
-./windows/tools/h5statdll/h5statdll.vcproj
-./windows/tools/h5unjam/h5unjam.vcproj
-./windows/tools/talign/talign.vcproj
-./windows/tools/taligndll/taligndll.vcproj
-./windows/tools/testfiles/binread/binread.vcproj
-./windows/tools/testfiles/h5difftst/h5difftst.vcproj
-./windows/tools/testfiles/h5dumptst/h5dumptst.vcproj
-./windows/tools/testfiles/h5importtst/h5importtst.vcproj
-./windows/tools/testfiles/h5jamtst/h5jamtst.vcproj
-./windows/tools/testfiles/h5repacktst/h5repacktst.vcproj
-./windows/tools/testfiles/h5repart_gentest/h5repart_gentest.vcproj
-./windows/tools/testfiles/h5reparttst/h5reparttst.vcproj
-./windows/tools/testfiles/testh5repack_detect_szip/testh5repack_detect_szip.vcproj
-./windows/tools/testfiles/testh5repack_detect_szipdll/testh5repack_detect_szipdll.vcproj
-./windows/tools/toolslib/toolslib.vcproj
-./windows/tools/toolslibdll/toolslibdll.vcproj
-
diff --git a/README.txt b/README.txt
index 3a668c4..2a17830 100644
--- a/README.txt
+++ b/README.txt
@@ -1,4 +1,4 @@
-HDF5 version 1.9.128 currently under development
+HDF5 version 1.9.132 currently under development
Please refer to the release_docs/INSTALL file for installation instructions.
------------------------------------------------------------------------------
diff --git a/aclocal.m4 b/aclocal.m4
index ee9c639..e6aae87 100644
--- a/aclocal.m4
+++ b/aclocal.m4
@@ -406,15 +406,6 @@ AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
[AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"])
])
-# Copyright (C) 1996-2012 Free Software Foundation, Inc.
-#
-# This file is free software; the Free Software Foundation
-# gives unlimited permission to copy and/or distribute it,
-# with or without modifications, as long as this notice is preserved.
-
-# AM_CONFIG_HEADER is obsolete. It has been replaced by AC_CONFIG_HEADERS.
-AU_DEFUN([AM_CONFIG_HEADER], [AC_CONFIG_HEADERS($@)])
-
# Do all the work for Automake. -*- Autoconf -*-
# Copyright (C) 1996-2012 Free Software Foundation, Inc.
diff --git a/bin/h5vers b/bin/h5vers
index 138a8e9..475ff5a 100755
--- a/bin/h5vers
+++ b/bin/h5vers
@@ -187,10 +187,6 @@ die "unable to read file: $RELEASE\n" unless -r $file;
my $CONFIGURE = $file;
$CONFIGURE =~ s/[^\/]*$/..\/configure.ac/;
die "unable to read file: $CONFIGURE\n" unless -r $file;
-# windows/src/H5pubconf.h
-my $H5PUBCONF = $file;
-$H5PUBCONF =~ s/[^\/]*$/..\/windows\/src\/H5pubconf.h/;
-die "unable to read file: $H5PUBCONF\n" unless -r $file;
# vms/src/h5pubconf.h
my $H5VMSPUBCONF = $file;
$H5VMSPUBCONF =~ s/[^\/]*$/..\/vms\/src\/h5pubconf.h/;
@@ -242,7 +238,6 @@ if ($set) {
$README = "";
$RELEASE = "";
$CONFIGURE = "";
- $H5PUBCONF = "";
$H5VMSPUBCONF = "";
$LT_VERS = "";
@newver = @curver;
@@ -392,9 +387,6 @@ sub gen_h5pubconf {
close FILE;
}
-# Update the Windows-maintained H5pubconf.h file
-gen_h5pubconf("HDF5", $H5PUBCONF, @newver) if $H5PUBCONF;
-
# Update the VMS-maintained h5pubconf.h file
gen_h5pubconf("HDF5", $H5VMSPUBCONF, @newver) if $H5VMSPUBCONF;
diff --git a/bin/trace b/bin/trace
index e9d1203..67aeb17 100755
--- a/bin/trace
+++ b/bin/trace
@@ -64,6 +64,7 @@ $Source = "";
"int32_t" => "Is",
"unsigned" => "Iu",
"unsigned int" => "Iu",
+ "uint32_t" => "Iu",
"H5I_type_t" => "It",
"H5G_link_t" => "Ll", #Same as H5L_type_t now
"H5L_type_t" => "Ll",
diff --git a/c++/CMakeLists.txt b/c++/CMakeLists.txt
index dad98f8..0076335 100644
--- a/c++/CMakeLists.txt
+++ b/c++/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_CPP)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Shared/Static Libs
#-----------------------------------------------------------------------------
IF (BUILD_SHARED_LIBS)
diff --git a/c++/src/CMakeLists.txt b/c++/src/CMakeLists.txt
index 375bd4a..d983d1e 100644
--- a/c++/src/CMakeLists.txt
+++ b/c++/src/CMakeLists.txt
@@ -40,6 +40,7 @@ SET (CPP_SRCS
${HDF5_CPP_SRC_SOURCE_DIR}/H5IdComponent.cpp
${HDF5_CPP_SRC_SOURCE_DIR}/H5IntType.cpp
${HDF5_CPP_SRC_SOURCE_DIR}/H5Library.cpp
+ ${HDF5_CPP_SRC_SOURCE_DIR}/H5Location.cpp
${HDF5_CPP_SRC_SOURCE_DIR}/H5Object.cpp
${HDF5_CPP_SRC_SOURCE_DIR}/H5PredType.cpp
${HDF5_CPP_SRC_SOURCE_DIR}/H5PropList.cpp
@@ -74,6 +75,7 @@ SET (CPP_HDRS
${HDF5_CPP_SRC_SOURCE_DIR}/H5Include.h
${HDF5_CPP_SRC_SOURCE_DIR}/H5IntType.h
${HDF5_CPP_SRC_SOURCE_DIR}/H5Library.h
+ ${HDF5_CPP_SRC_SOURCE_DIR}/H5Location.h
${HDF5_CPP_SRC_SOURCE_DIR}/H5Object.h
${HDF5_CPP_SRC_SOURCE_DIR}/H5PredType.h
${HDF5_CPP_SRC_SOURCE_DIR}/H5PropList.h
diff --git a/c++/src/H5AbstractDs.cpp b/c++/src/H5AbstractDs.cpp
index 9cf1ee8..e6cacf9 100644
--- a/c++/src/H5AbstractDs.cpp
+++ b/c++/src/H5AbstractDs.cpp
@@ -25,11 +25,6 @@
#include "H5CommonFG.h"
#include "H5Alltypes.h"
-#include <iostream> // remove when done
-
- using std::cerr;
- using std::endl;
-
#ifndef H5_NO_NAMESPACE
namespace H5 {
#endif
diff --git a/c++/src/H5AbstractDs.h b/c++/src/H5AbstractDs.h
index 1d04d6c..354b47b 100644
--- a/c++/src/H5AbstractDs.h
+++ b/c++/src/H5AbstractDs.h
@@ -65,7 +65,7 @@ class H5_DLLCPP AbstractDs {
// dataset - pure virtual.
virtual hsize_t getStorageSize() const = 0;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass() const = 0;
// Copy constructor
diff --git a/c++/src/H5ArrayType.h b/c++/src/H5ArrayType.h
index d50017a..7daafed 100644
--- a/c++/src/H5ArrayType.h
+++ b/c++/src/H5ArrayType.h
@@ -36,7 +36,7 @@ class H5_DLLCPP ArrayType : public DataType {
// Returns the sizes of dimensions of this array datatype.
int getArrayDims(hsize_t* dims);
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("ArrayType"); }
// Copy constructor: makes copy of the original object.
diff --git a/c++/src/H5AtomType.h b/c++/src/H5AtomType.h
index 1ddfd7d..25770f5 100644
--- a/c++/src/H5AtomType.h
+++ b/c++/src/H5AtomType.h
@@ -57,7 +57,7 @@ class H5_DLLCPP AtomType : public DataType {
// Sets the total size for an atomic datatype.
void setSize( size_t size ) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("AtomType"); }
// Copy constructor - makes copy of the original object
diff --git a/c++/src/H5Attribute.cpp b/c++/src/H5Attribute.cpp
index 226ae5c..120ed39 100644
--- a/c++/src/H5Attribute.cpp
+++ b/c++/src/H5Attribute.cpp
@@ -385,6 +385,31 @@ hsize_t Attribute::getStorageSize() const
}
//--------------------------------------------------------------------------
+// Function: Attribute::flush
+///\brief Flushes all buffers associated with a file specified by
+/// this attribute, to disk.
+///\param scope - IN: Specifies the scope of the flushing action,
+/// which can be either of these values:
+/// \li \c H5F_SCOPE_GLOBAL - Flushes the entire virtual file
+/// \li \c H5F_SCOPE_LOCAL - Flushes only the specified file
+///\exception H5::AttributeIException
+///\par Description
+/// This attribute is used to identify the file to be flushed.
+// Programmer Binh-Minh Ribler - 2012
+// Modification
+// Sep 2012 - BMR
+// Duplicated from H5Location
+//--------------------------------------------------------------------------
+void Attribute::flush(H5F_scope_t scope) const
+{
+ herr_t ret_value = H5Fflush(getId(), scope);
+ if( ret_value < 0 )
+ {
+ throw AttributeIException("Attribute::flush", "H5Fflush failed");
+ }
+}
+
+//--------------------------------------------------------------------------
// Function: Attribute::getId
// Purpose: Get the id of this attribute
// Description:
diff --git a/c++/src/H5Attribute.h b/c++/src/H5Attribute.h
index 284e5bc..dd37a99 100644
--- a/c++/src/H5Attribute.h
+++ b/c++/src/H5Attribute.h
@@ -51,7 +51,11 @@ class H5_DLLCPP Attribute : public AbstractDs, public IdComponent {
void write(const DataType& mem_type, const void *buf ) const;
void write(const DataType& mem_type, const H5std_string& strg ) const;
- // Returns this class name
+ // Flushes all buffers associated with the file specified by this
+ // attribute to disk
+ void flush( H5F_scope_t scope ) const;
+
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("Attribute"); }
// Creates a copy of an existing attribute using the attribute id
diff --git a/c++/src/H5Classes.h b/c++/src/H5Classes.h
index f691548..c3b61ca 100644
--- a/c++/src/H5Classes.h
+++ b/c++/src/H5Classes.h
@@ -22,6 +22,7 @@ namespace H5 {
#endif
class Exception;
class IdComponent;
+ class H5Location;
class H5Object;
class PropList;
class FileCreatPropList;
diff --git a/c++/src/H5CommonFG.cpp b/c++/src/H5CommonFG.cpp
index 6a8609f..dcc331f 100644
--- a/c++/src/H5CommonFG.cpp
+++ b/c++/src/H5CommonFG.cpp
@@ -68,25 +68,29 @@ namespace H5 {
//--------------------------------------------------------------------------
Group CommonFG::createGroup( const char* name, size_t size_hint ) const
{
- // Create group creation property list for size_hint
- hid_t gcpl_id = H5Pcreate(H5P_GROUP_CREATE);
-
- // If the creation of the property list failed, throw an exception
- if( gcpl_id < 0 )
- throwException("createGroup", "H5Pcreate failed");
+ // Group creation property list for size_hint
+ hid_t gcpl_id = 0;
// Set the local heap size hint
- if( H5Pset_local_heap_size_hint(gcpl_id, size_hint) < 0) {
- H5Pclose(gcpl_id);
- throwException("createGroup", "H5Pset_local_heap_size failed");
- }
+ if(!(size_hint == (size_t)-1 || size_hint == 0)) {
+
+ // If the creation of the property list failed, throw an exception
+ if((gcpl_id = H5Pcreate(H5P_GROUP_CREATE)) < 0)
+ throwException("createGroup", "H5Pcreate failed");
+
+ if( H5Pset_local_heap_size_hint(gcpl_id, size_hint) < 0) {
+ H5Pclose(gcpl_id);
+ throwException("createGroup", "H5Pset_local_heap_size failed");
+ }
+ }
// Call C routine H5Gcreate2 to create the named group, giving the
// location id which can be a file id or a group id
hid_t group_id = H5Gcreate2( getLocId(), name, H5P_DEFAULT, gcpl_id, H5P_DEFAULT );
- // Close the group creation property list
- H5Pclose(gcpl_id);
+ // Close the group creation property list, if necessary
+ if(gcpl_id > 0)
+ H5Pclose(gcpl_id);
// If the creation of the group failed, throw an exception
if( group_id < 0 )
diff --git a/c++/src/H5CompType.h b/c++/src/H5CompType.h
index ae030ee..9b2b572 100644
--- a/c++/src/H5CompType.h
+++ b/c++/src/H5CompType.h
@@ -26,12 +26,21 @@ namespace H5 {
class H5_DLLCPP CompType : public DataType {
public:
+ // Default constructor
+ CompType();
+
+ // Creates a compound datatype using an existing id
+ CompType( const hid_t existing_id );
+
// Creates a new compound datatype, given the type's size
CompType( size_t size ); // H5Tcreate
// Gets the compound datatype of the specified dataset
CompType( const DataSet& dataset ); // H5Dget_type
+ // Copy constructor - makes a copy of original object
+ CompType( const CompType& original );
+
// Returns the type class of the specified member of this compound
// datatype. It provides to the user a way of knowing what type
// to create another datatype of the same class
@@ -88,18 +97,9 @@ class H5_DLLCPP CompType : public DataType {
// Recursively removes padding from within this compound datatype.
void pack() const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("CompType"); }
- // Default constructor
- CompType();
-
- // Creates a compound datatype using an existing id
- CompType( const hid_t existing_id );
-
- // Copy constructor - makes a copy of original object
- CompType( const CompType& original );
-
// Noop destructor.
virtual ~CompType();
diff --git a/c++/src/H5Cpp.h b/c++/src/H5Cpp.h
index 75d82ba..ddf4f19 100644
--- a/c++/src/H5Cpp.h
+++ b/c++/src/H5Cpp.h
@@ -22,6 +22,7 @@
#include "H5IdComponent.h"
#include "H5DataSpace.h"
#include "H5PropList.h"
+#include "H5Location.h"
#include "H5Object.h"
#include "H5AbstractDs.h"
#include "H5Attribute.h"
diff --git a/c++/src/H5CppDoc.h b/c++/src/H5CppDoc.h
index b974238..ab3fa79 100644
--- a/c++/src/H5CppDoc.h
+++ b/c++/src/H5CppDoc.h
@@ -30,7 +30,7 @@
* It is assumed that the user has knowledge of the HDF5 file format
* and its components. If you are not familiar with HDF5 file format,
* and would like to find out more, please refer to the HDF5 documentation
- * at http://hdf.ncsa.uiuc.edu/HDF5/doc/H5.intro.html
+ * at http://www.hdfgroup.org/HDF5/doc/index.html
*
* Because the HDF5 library maps very well to
* the object oriented design approach, classes in the C++ API can
diff --git a/c++/src/H5DataSet.cpp b/c++/src/H5DataSet.cpp
index f7aaa72..6a1524d 100644
--- a/c++/src/H5DataSet.cpp
+++ b/c++/src/H5DataSet.cpp
@@ -644,54 +644,6 @@ void DataSet::fillMemBuf(void *buf, DataType& buf_type, DataSpace& space)
}
}
-#ifndef H5_NO_DEPRECATED_SYMBOLS
-//--------------------------------------------------------------------------
-// Function: DataSet::getObjType
-///\brief Retrieves the type of object that an object reference points to.
-///\param ref_type - IN: Type of reference to query, valid values are:
-/// \li \c H5R_OBJECT - Reference is an object reference.
-/// \li \c H5R_DATASET_REGION - Reference is a dataset region reference.
-///\param ref - IN: Reference to query
-///\return An object type, which can be one of the following:
-/// \li \c H5G_LINK (0) - Object is a symbolic link.
-/// \li \c H5G_GROUP (1) - Object is a group.
-/// \li \c H5G_DATASET (2) - Object is a dataset.
-/// \li \c H5G_TYPE (3) - Object is a named datatype
-///\exception H5::DataSetIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-H5G_obj_t DataSet::getObjType(void *ref, H5R_type_t ref_type) const
-{
- try {
- return(p_get_obj_type(ref, ref_type));
- }
- catch (IdComponentException E) {
- throw DataSetIException("DataSet::getObjType", E.getDetailMsg());
- }
-}
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
-//--------------------------------------------------------------------------
-// Function: DataSet::getRegion
-///\brief Retrieves a dataspace with the region pointed to selected.
-///\param ref - IN: Reference to get region of
-///\param ref_type - IN: Type of reference to get region of - default
-/// to H5R_DATASET_REGION
-///\return DataSpace instance
-///\exception H5::DataSetIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-DataSpace DataSet::getRegion(void *ref, H5R_type_t ref_type) const
-{
- try {
- DataSpace dataspace(p_get_region(ref, ref_type));
- return(dataspace);
- }
- catch (IdComponentException E) {
- throw DataSetIException("DataSet::getRegion", E.getDetailMsg());
- }
-}
-
//--------------------------------------------------------------------------
// Function: DataSet::getId
///\brief Get the id of this dataset.
diff --git a/c++/src/H5DataSet.h b/c++/src/H5DataSet.h
index 3d9183d..54e9d6f 100644
--- a/c++/src/H5DataSet.h
+++ b/c++/src/H5DataSet.h
@@ -76,15 +76,10 @@ class H5_DLLCPP DataSet : public H5Object, public AbstractDs {
// Iterates the selected elements in the specified dataspace - not implemented in C++ style yet
int iterateElems( void* buf, const DataType& type, const DataSpace& space, H5D_operator_t op, void* op_data = NULL );
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- // Retrieves the type of object that an object reference points to.
- H5G_obj_t getObjType(void *ref, H5R_type_t ref_type = H5R_OBJECT) const;
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
// Retrieves a dataspace with the region pointed to selected.
DataSpace getRegion(void *ref, H5R_type_t ref_type = H5R_DATASET_REGION) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("DataSet"); }
// Creates a dataset by way of dereference.
@@ -110,6 +105,7 @@ class H5_DLLCPP DataSet : public H5Object, public AbstractDs {
private:
hid_t id; // HDF5 dataset id
+#ifndef DOXYGEN_SHOULD_SKIP_THIS
// This function contains the common code that is used by
// getTypeClass and various API functions getXxxType
// defined in AbstractDs for generic datatype and specific
@@ -123,6 +119,7 @@ class H5_DLLCPP DataSet : public H5Object, public AbstractDs {
protected:
// Sets the dataset id.
virtual void p_setId(const hid_t new_id);
+#endif // DOXYGEN_SHOULD_SKIP_THIS
};
#ifndef H5_NO_NAMESPACE
}
diff --git a/c++/src/H5DataSpace.h b/c++/src/H5DataSpace.h
index 80842f7..9afb8ab 100644
--- a/c++/src/H5DataSpace.h
+++ b/c++/src/H5DataSpace.h
@@ -103,7 +103,7 @@ class H5_DLLCPP DataSpace : public IdComponent {
// Sets or resets the size of this dataspace.
void setExtentSimple( int rank, const hsize_t *current_size, const hsize_t *maximum_size = NULL ) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("DataSpace"); }
// Creates a DataSpace object using an existing dataspace id.
diff --git a/c++/src/H5DataType.cpp b/c++/src/H5DataType.cpp
index 3edb163..99525bc 100644
--- a/c++/src/H5DataType.cpp
+++ b/c++/src/H5DataType.cpp
@@ -679,51 +679,6 @@ bool DataType::isVariableStr() const
}
}
-#ifndef H5_NO_DEPRECATED_SYMBOLS
-//--------------------------------------------------------------------------
-// Function: DataType::getObjType
-///\brief Retrieves the type of object that an object reference points to.
-///\param ref - IN: Reference to query
-///\param ref_type - IN: Type of reference to query
-///\return Object type, which can be one of the following:
-/// \li \c H5G_LINK Object is a symbolic link.
-/// \li \c H5G_GROUP Object is a group.
-/// \li \c H5G_DATASET Object is a dataset.
-/// \li \c H5G_TYPE Object is a named datatype
-///\exception H5::DataTypeIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-H5G_obj_t DataType::getObjType(void *ref, H5R_type_t ref_type) const
-{
- try {
- return(p_get_obj_type(ref, ref_type));
- }
- catch (IdComponentException E) {
- throw DataTypeIException(inMemFunc("getObjType"), E.getDetailMsg());
- }
-}
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
-//--------------------------------------------------------------------------
-// Function: DataType::getRegion
-///\brief Retrieves a dataspace with the region pointed to selected.
-///\param ref - IN: Reference to get region of
-///\param ref_type - IN: Type of reference to get region of - default
-///\return DataSpace instance
-///\exception H5::DataTypeIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-DataSpace DataType::getRegion(void *ref, H5R_type_t ref_type) const
-{
- try {
- DataSpace dataspace(p_get_region(ref, ref_type));
- return(dataspace);
- }
- catch (IdComponentException E) {
- throw DataTypeIException(inMemFunc("getRegion"), E.getDetailMsg());
- }
-}
-
//--------------------------------------------------------------------------
// Function: DataType::getId
// Purpose: Get the id of this attribute
diff --git a/c++/src/H5DataType.h b/c++/src/H5DataType.h
index 48aeaf8..98514bb 100644
--- a/c++/src/H5DataType.h
+++ b/c++/src/H5DataType.h
@@ -101,15 +101,10 @@ class H5_DLLCPP DataType : public H5Object {
// Checks whether this datatype is a variable-length string.
bool isVariableStr() const;
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- // Retrieves the type of object that an object reference points to.
- H5G_obj_t getObjType(void *ref, H5R_type_t ref_type = H5R_OBJECT) const;
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
// Retrieves a dataspace with the region pointed to selected.
DataSpace getRegion(void *ref, H5R_type_t ref_type = H5R_DATASET_REGION) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("DataType"); }
// Creates a copy of an existing DataType using its id
diff --git a/c++/src/H5DcreatProp.h b/c++/src/H5DcreatProp.h
index b7f5823..ac7664e 100644
--- a/c++/src/H5DcreatProp.h
+++ b/c++/src/H5DcreatProp.h
@@ -107,7 +107,7 @@ class H5_DLLCPP DSetCreatPropList : public PropList {
// Sets SZIP compression method.
void setSzip(unsigned int options_mask, unsigned int pixels_per_block) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("DSetCreatPropList"); }
// Copy constructor: creates a copy of a DSetCreatPropList object.
diff --git a/c++/src/H5DxferProp.h b/c++/src/H5DxferProp.h
index 11e15fc..66216d8 100644
--- a/c++/src/H5DxferProp.h
+++ b/c++/src/H5DxferProp.h
@@ -92,7 +92,7 @@ class H5_DLLCPP DSetMemXferPropList : public PropList {
// Determines whether error-detection is enabled for dataset reads.
H5Z_EDC_t getEDCCheck();
- // Returns this class name.
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("DSetMemXferPropList"); }
// Copy constructor: makes a copy of a DSetMemXferPropList object.
diff --git a/c++/src/H5EnumType.h b/c++/src/H5EnumType.h
index 2a2c263..914eb66 100644
--- a/c++/src/H5EnumType.h
+++ b/c++/src/H5EnumType.h
@@ -57,7 +57,7 @@ class H5_DLLCPP EnumType : public DataType {
void valueOf( const char* name, void *value ) const;
void valueOf( const H5std_string& name, void *value ) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("EnumType"); }
// Default constructor
diff --git a/c++/src/H5FaccProp.h b/c++/src/H5FaccProp.h
index 7f133bb..0c2cc21 100644
--- a/c++/src/H5FaccProp.h
+++ b/c++/src/H5FaccProp.h
@@ -123,7 +123,7 @@ class H5_DLLCPP FileAccPropList : public PropList {
// Returns garbage collecting references setting.
unsigned getGcReferences() const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("FileAccPropList"); }
// Copy constructor: creates a copy of a FileAccPropList object.
diff --git a/c++/src/H5FcreatProp.h b/c++/src/H5FcreatProp.h
index 1aa102f..61074ea 100644
--- a/c++/src/H5FcreatProp.h
+++ b/c++/src/H5FcreatProp.h
@@ -62,7 +62,7 @@ class H5_DLLCPP FileCreatPropList : public PropList {
// indexing chunked datasets.
void setIstorek( unsigned ik ) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("FileCreatPropList"); }
// Copy constructor: creates a copy of a FileCreatPropList object.
diff --git a/c++/src/H5File.cpp b/c++/src/H5File.cpp
index da0241f..92c4d32 100644
--- a/c++/src/H5File.cpp
+++ b/c++/src/H5File.cpp
@@ -50,7 +50,7 @@ namespace H5 {
///\brief Default constructor: creates a stub H5File object.
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5File::H5File() : IdComponent(), id(0) {}
+H5File::H5File() : H5Location(), id(0) {}
//--------------------------------------------------------------------------
// Function: H5File overloaded constructor
@@ -79,7 +79,7 @@ H5File::H5File() : IdComponent(), id(0) {}
/// http://www.hdfgroup.org/HDF5/doc/RM/RM_H5F.html#File-Create
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5File::H5File( const char* name, unsigned int flags, const FileCreatPropList& create_plist, const FileAccPropList& access_plist ) : IdComponent(0)
+H5File::H5File( const char* name, unsigned int flags, const FileCreatPropList& create_plist, const FileAccPropList& access_plist ) : H5Location(0)
{
p_get_file(name, flags, create_plist, access_plist);
}
@@ -97,7 +97,7 @@ H5File::H5File( const char* name, unsigned int flags, const FileCreatPropList& c
/// FileCreatPropList::DEFAULT
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5File::H5File( const H5std_string& name, unsigned int flags, const FileCreatPropList& create_plist, const FileAccPropList& access_plist ) : IdComponent(0)
+H5File::H5File( const H5std_string& name, unsigned int flags, const FileCreatPropList& create_plist, const FileAccPropList& access_plist ) : H5Location(0)
{
p_get_file(name.c_str(), flags, create_plist, access_plist);
}
@@ -140,34 +140,15 @@ void H5File::p_get_file(const char* name, unsigned int flags, const FileCreatPro
///\param original - IN: H5File instance to copy
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5File::H5File(const H5File& original) : IdComponent(original)
+H5File::H5File(const H5File& original) : H5Location(original)
{
id = original.getId();
incRefCount(); // increment number of references to this id
}
//--------------------------------------------------------------------------
-// Function: H5File::flush
-///\brief Flushes all buffers associated with a file to disk.
-///\param scope - IN: Specifies the scope of the flushing action,
-/// which can be either of these values:
-/// \li \c H5F_SCOPE_GLOBAL - Flushes the entire virtual file
-/// \li \c H5F_SCOPE_LOCAL - Flushes only the specified file
-///\exception H5::FileIException
-// Programmer Binh-Minh Ribler - Dec. 2005
-//--------------------------------------------------------------------------
-void H5File::flush(H5F_scope_t scope) const
-{
- herr_t ret_value = H5Fflush( id, scope );
- if( ret_value < 0 )
- {
- throw FileIException("H5File::flush", "H5Fflush failed");
- }
-}
-
-//--------------------------------------------------------------------------
// Function: H5File::isHdf5
-///\brief Determines whether a file in HDF5 format.
+///\brief Determines whether a file in HDF5 format. (Static)
///\param name - IN: Name of the file
///\return true if the file is in HDF5 format, and false, otherwise
///\exception H5::FileIException
@@ -191,7 +172,7 @@ bool H5File::isHdf5(const char* name)
//--------------------------------------------------------------------------
// Function: H5File::isHdf5
///\brief This is an overloaded member function, provided for convenience.
-/// It takes an \c H5std_string for \a name.
+/// It takes an \c H5std_string for \a name. (Static)
///\param name - IN: Name of the file - \c H5std_string
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
@@ -269,8 +250,8 @@ void H5File::reOpen()
throw FileIException("H5File::reOpen", close_error.getDetailMsg());
}
- // call C routine to reopen the file - Note: not sure about this
- // does id need to be closed later? which id to be the parameter?
+ // call C routine to reopen the file - Note: not sure about this,
+ // which id to be the parameter when closing?
id = H5Freopen( id );
if( id < 0 ) // Raise exception when H5Freopen returns a neg value
throw FileIException("H5File::reOpen", "H5Freopen failed");
@@ -278,12 +259,10 @@ void H5File::reOpen()
//--------------------------------------------------------------------------
// Function: H5File::reopen
-///\brief Reopens this file.
-///
-///\exception H5::FileIException
-///\par Description
-/// This function will be replaced by the above function \c reOpen
-/// in future releases.
+// Purpose: Reopens this file.
+// Exception H5::FileIException
+// Description
+// This function is replaced by the above function reOpen.
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
void H5File::reopen()
@@ -490,70 +469,6 @@ void H5File::getVFDHandle(void **file_handle) const
}
//--------------------------------------------------------------------------
-// Function: H5File::getFileName
-///\brief Gets the name of this file.
-///\return File name
-///\exception H5::FileIException
-// Programmer Binh-Minh Ribler - Jul, 2004
-//--------------------------------------------------------------------------
-H5std_string H5File::getFileName() const
-{
- try {
- return(p_get_file_name());
- }
- catch (IdComponentException E) {
- throw FileIException("H5File::getFileName", E.getDetailMsg());
- }
-}
-
-#ifndef H5_NO_DEPRECATED_SYMBOLS
-//--------------------------------------------------------------------------
-// Function: H5File::getObjType
-///\brief Retrieves the type of object that an object reference points to.
-///\param ref - IN: Reference to query
-///\param ref_type - IN: Type of reference, valid values are:
-/// \li \c H5R_OBJECT - Reference is an object reference
-/// \li \c H5R_DATASET_REGION - Reference is a dataset region reference
-///\return Object type, which can be one of the following:
-/// \li \c H5G_LINK - Object is a symbolic link.
-/// \li \c H5G_GROUP - Object is a group.
-/// \li \c H5G_DATASET - Object is a dataset.
-/// \li \c H5G_TYPE - Object is a named datatype
-///\exception H5::FileIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-H5G_obj_t H5File::getObjType(void *ref, H5R_type_t ref_type) const
-{
- try {
- return(p_get_obj_type(ref, ref_type));
- }
- catch (IdComponentException E) {
- throw FileIException("H5File::getObjType", E.getDetailMsg());
- }
-}
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
-//--------------------------------------------------------------------------
-// Function: H5File::getRegion
-///\brief Retrieves a dataspace with the region pointed to selected.
-///\param ref - IN: Reference to get region of
-///\param ref_type - IN: Type of reference to get region of - default
-///\return DataSpace instance
-///\exception H5::FileIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-DataSpace H5File::getRegion(void *ref, H5R_type_t ref_type) const
-{
- try {
- DataSpace dataspace(p_get_region(ref, ref_type));
- return(dataspace);
- }
- catch (IdComponentException E) {
- throw FileIException("H5File::getRegion", E.getDetailMsg());
- }
-}
-
-//--------------------------------------------------------------------------
// Function: H5File::getFileSize
///\brief Returns the file size of the HDF5 file.
///\return File size
@@ -575,134 +490,6 @@ hsize_t H5File::getFileSize() const
}
//--------------------------------------------------------------------------
-// Function: H5File::p_reference (protected)
-// Purpose Creates a reference to an HDF5 object or a dataset region.
-// Parameters
-// name - IN: Name of the object to be referenced
-// dataspace - IN: Dataspace with selection
-// ref_type - IN: Type of reference; default to \c H5R_DATASET_REGION
-// Exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5File::p_reference(void* ref, const char* name, hid_t space_id, H5R_type_t ref_type) const
-{
- herr_t ret_value = H5Rcreate(ref, getId(), name, ref_type, space_id);
- if (ret_value < 0)
- {
- throw IdComponentException("", "H5Rcreate failed");
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5File::reference
-///\brief Creates a reference to an HDF5 object or a dataset region.
-///\param ref - IN: Reference pointer
-///\param name - IN: Name of the object to be referenced
-///\param dataspace - IN: Dataspace with selection
-///\param ref_type - IN: Type of reference to query, valid values are:
-/// \li \c H5R_OBJECT - Reference is an object reference
-/// \li \c H5R_DATASET_REGION - Reference is a dataset region
-/// reference - this is the default
-///\exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5File::reference(void* ref, const char* name, const DataSpace& dataspace, H5R_type_t ref_type) const
-{
- try {
- p_reference(ref, name, dataspace.getId(), ref_type);
- }
- catch (IdComponentException E) {
- throw IdComponentException("H5File::reference", E.getDetailMsg());
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5File::reference
-///\brief This is an overloaded function, provided for your convenience.
-/// It differs from the above function in that it only creates
-/// a reference to an HDF5 object, not to a dataset region.
-///\param ref - IN: Reference pointer
-///\param name - IN: Name of the object to be referenced - \c char pointer
-///\exception H5::IdComponentException
-///\par Description
-// This function passes H5R_OBJECT and -1 to the protected
-// function for it to pass to the C API H5Rcreate
-// to create a reference to the named object.
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5File::reference(void* ref, const char* name) const
-{
- try {
- p_reference(ref, name, -1, H5R_OBJECT);
- }
- catch (IdComponentException E) {
- throw IdComponentException("H5File::reference", E.getDetailMsg());
- }
-}
-//--------------------------------------------------------------------------
-// Function: H5File::reference
-///\brief This is an overloaded function, provided for your convenience.
-/// It differs from the above function in that it takes an
-/// \c H5std_string for the object's name.
-///\param ref - IN: Reference pointer
-///\param name - IN: Name of the object to be referenced - \c H5std_string
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5File::reference(void* ref, const H5std_string& name) const
-{
- reference(ref, name.c_str());
-}
-
-#ifndef H5_NO_DEPRECATED_SYMBOLS
-//--------------------------------------------------------------------------
-// Function: H5File::p_get_obj_type (protected)
-// Purpose Retrieves the type of object that an object reference points to.
-// Parameters
-// ref - IN: Reference to query
-// ref_type - IN: Type of reference to query
-// Return An object type, which can be one of the following:
-// H5G_LINK Object is a symbolic link.
-// H5G_GROUP Object is a group.
-// H5G_DATASET Object is a dataset.
-// H5G_TYPE Object is a named datatype
-// Exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-H5G_obj_t H5File::p_get_obj_type(void *ref, H5R_type_t ref_type) const
-{
- H5G_obj_t obj_type = H5Rget_obj_type1(getId(), ref_type, ref);
-
- if (obj_type == H5G_UNKNOWN)
- {
- throw IdComponentException("", "H5Rget_obj_type failed");
- }
- return(obj_type);
-}
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
-
-//--------------------------------------------------------------------------
-// Function: H5File::p_get_region (protected)
-// Purpose Retrieves a dataspace with the region pointed to selected.
-// Parameters
-// ref_type - IN: Type of reference to get region of - default
-// to H5R_DATASET_REGION
-// ref - IN: Reference to get region of
-// Return Dataspace id
-// Exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-hid_t H5File::p_get_region(void *ref, H5R_type_t ref_type) const
-{
- hid_t space_id = H5Rget_region(getId(), ref_type, ref);
- if (space_id < 0)
- {
- throw IdComponentException("", "H5Rget_region failed");
- }
- return(space_id);
-}
-
-//--------------------------------------------------------------------------
// Function: H5File::getLocId
// Purpose: Get the id of this file
// Description
diff --git a/c++/src/H5File.h b/c++/src/H5File.h
index b69c963..cfb6bdf 100644
--- a/c++/src/H5File.h
+++ b/c++/src/H5File.h
@@ -21,7 +21,7 @@
namespace H5 {
#endif
-class H5_DLLCPP H5File : public IdComponent, public CommonFG {
+class H5_DLLCPP H5File : public H5Location, public CommonFG {
public:
// Creates or opens an HDF5 file.
H5File( const char* name, unsigned int flags,
@@ -40,18 +40,12 @@ class H5_DLLCPP H5File : public IdComponent, public CommonFG {
// Close this file.
virtual void close();
- // Flushes all buffers associated with this file to disk
- void flush(H5F_scope_t scope) const;
-
// Gets the access property list of this file.
FileAccPropList getAccessPlist() const;
// Gets the creation property list of this file.
FileCreatPropList getCreatePlist() const;
- // Gets the name of this file.
- H5std_string getFileName() const;
-
// Retrieves the file size of an opened file.
hsize_t getFileSize() const;
@@ -67,11 +61,6 @@ class H5_DLLCPP H5File : public IdComponent, public CommonFG {
// and datatypes) in the same file.
void getObjIDs(unsigned types, size_t max_objs, hid_t *oid_list) const;
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- // Retrieves the type of object that an object reference points to.
- H5G_obj_t getObjType(void *ref, H5R_type_t ref_type = H5R_OBJECT) const;
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
// Retrieves a dataspace with the region pointed to selected.
DataSpace getRegion(void *ref, H5R_type_t ref_type = H5R_DATASET_REGION) const;
@@ -87,14 +76,7 @@ class H5_DLLCPP H5File : public IdComponent, public CommonFG {
void reOpen(); // added for better name
void reopen();
- // Creates a reference to a named HDF5 object or to a dataset region
- // in this object.
- void reference(void* ref, const char* name, const DataSpace& dataspace,
- H5R_type_t ref_type = H5R_DATASET_REGION) const;
- void reference(void* ref, const char* name) const;
- void reference(void* ref, const H5std_string& name) const;
-
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("H5File"); }
// Throw file exception.
@@ -124,17 +106,6 @@ class H5_DLLCPP H5File : public IdComponent, public CommonFG {
// constructors taking a string or a char*
void p_get_file( const char* name, unsigned int flags, const FileCreatPropList& create_plist, const FileAccPropList& access_plist );
- // Creates a reference to an HDF5 object or a dataset region.
- void p_reference(void* ref, const char* name, hid_t space_id, H5R_type_t ref_type) const;
-
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- // Retrieves the type of object that an object reference points to.
- H5G_obj_t p_get_obj_type(void *ref, H5R_type_t ref_type) const;
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
- // Retrieves a dataspace with the region pointed to selected.
- hid_t p_get_region(void *ref, H5R_type_t ref_type) const;
-
protected:
// Sets the HDF5 file id.
virtual void p_setId(const hid_t new_id);
diff --git a/c++/src/H5FloatType.h b/c++/src/H5FloatType.h
index 7444bd5..cbb9541 100644
--- a/c++/src/H5FloatType.h
+++ b/c++/src/H5FloatType.h
@@ -53,7 +53,7 @@ class H5_DLLCPP FloatType : public AtomType {
// Sets the mantissa normalization of a floating-point datatype.
void setNorm( H5T_norm_t norm ) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("FloatType"); }
// Default constructor
diff --git a/c++/src/H5Group.cpp b/c++/src/H5Group.cpp
index 6ec2dbb..e83b635 100644
--- a/c++/src/H5Group.cpp
+++ b/c++/src/H5Group.cpp
@@ -147,53 +147,6 @@ Group::Group(Attribute& attr, const void* ref, H5R_type_t ref_type) : H5Object()
}
}
-#ifndef H5_NO_DEPRECATED_SYMBOLS
-//--------------------------------------------------------------------------
-// Function: Group::getObjType
-///\brief Retrieves the type of object that an object reference points to.
-///\param ref - IN: Reference to query
-///\param ref_type - IN: Type of reference to query, valid values are:
-/// \li \c H5R_OBJECT - Reference is an object reference.
-/// \li \c H5R_DATASET_REGION - Reference is a dataset region reference.
-///\return An object type, which can be one of the following:
-/// \li \c H5G_LINK (0) - Object is a symbolic link.
-/// \li \c H5G_GROUP (1) - Object is a group.
-/// \li \c H5G_DATASET (2) - Object is a dataset.
-/// \li \c H5G_TYPE (3) - Object is a named datatype
-///\exception H5::GroupIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-H5G_obj_t Group::getObjType(void *ref, H5R_type_t ref_type) const
-{
- try {
- return(p_get_obj_type(ref, ref_type));
- }
- catch (IdComponentException E) {
- throw GroupIException("Group::getObjType", E.getDetailMsg());
- }
-}
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
-//--------------------------------------------------------------------------
-// Function: Group::getRegion
-///\brief Retrieves a dataspace with the region pointed to selected.
-///\param ref - IN: Reference to get region of
-///\param ref_type - IN: Type of reference to get region of - default
-///\return DataSpace instance
-///\exception H5::GroupIException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-DataSpace Group::getRegion(void *ref, H5R_type_t ref_type) const
-{
- try {
- DataSpace dataspace(p_get_region(ref, ref_type));
- return(dataspace);
- }
- catch (IdComponentException E) {
- throw GroupIException("Group::getRegion", E.getDetailMsg());
- }
-}
-
//--------------------------------------------------------------------------
// Function: Group::getId
// Purpose: Get the id of this attribute
diff --git a/c++/src/H5Group.h b/c++/src/H5Group.h
index 9978cf0..e4c2415 100644
--- a/c++/src/H5Group.h
+++ b/c++/src/H5Group.h
@@ -26,15 +26,10 @@ class H5_DLLCPP Group : public H5Object, public CommonFG {
// Close this group.
virtual void close();
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- // Retrieves the type of object that an object reference points to.
- H5G_obj_t getObjType(void *ref, H5R_type_t ref_type = H5R_OBJECT) const;
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
// Retrieves a dataspace with the region pointed to selected.
DataSpace getRegion(void *ref, H5R_type_t ref_type = H5R_DATASET_REGION) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("Group"); }
// Throw group exception.
diff --git a/c++/src/H5IdComponent.h b/c++/src/H5IdComponent.h
index b217c10..7dc1da3 100644
--- a/c++/src/H5IdComponent.h
+++ b/c++/src/H5IdComponent.h
@@ -65,7 +65,7 @@ class H5_DLLCPP IdComponent {
// <class-name> is returned by fromClass().
H5std_string inMemFunc(const char* func_name) const;
- // Returns this class name.
+ ///\brief Returns this class name.
virtual H5std_string fromClass() const { return("IdComponent");}
#endif // DOXYGEN_SHOULD_SKIP_THIS
diff --git a/c++/src/H5IntType.h b/c++/src/H5IntType.h
index b712103..53864ee 100644
--- a/c++/src/H5IntType.h
+++ b/c++/src/H5IntType.h
@@ -35,7 +35,7 @@ class H5_DLLCPP IntType : public AtomType {
// Sets the sign proprety for an integer type.
void setSign( H5T_sign_t sign ) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("IntType"); }
// Default constructor
diff --git a/c++/src/H5Location.cpp b/c++/src/H5Location.cpp
new file mode 100644
index 0000000..b93cd86
--- /dev/null
+++ b/c++/src/H5Location.cpp
@@ -0,0 +1,669 @@
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+#include <string>
+
+#include "H5Include.h"
+#include "H5Exception.h"
+#include "H5IdComponent.h"
+#include "H5PropList.h"
+#include "H5Location.h"
+#include "H5Object.h"
+#include "H5DcreatProp.h"
+#include "H5DxferProp.h"
+#include "H5FaccProp.h"
+#include "H5FcreatProp.h"
+#include "H5CommonFG.h"
+#include "H5DataType.h"
+#include "H5DataSpace.h"
+#include "H5AbstractDs.h"
+#include "H5File.h"
+#include "H5DataSet.h"
+#include "H5Attribute.h"
+
+#ifndef H5_NO_NAMESPACE
+namespace H5 {
+#endif
+
+#ifndef DOXYGEN_SHOULD_SKIP_THIS
+// userAttrOpWrpr simply interfaces between the user's function and the
+// C library function H5Aiterate2; used to resolve the different prototype
+// problem. May be moved to Iterator later.
+extern "C" herr_t userAttrOpWrpr(hid_t loc_id, const char *attr_name,
+ const H5A_info_t *ainfo, void *op_data)
+{
+ H5std_string s_attr_name = H5std_string( attr_name );
+#ifdef NO_STATIC_CAST
+ UserData4Aiterate* myData = (UserData4Aiterate *) op_data;
+#else
+ UserData4Aiterate* myData = static_cast <UserData4Aiterate *> (op_data);
+#endif
+ myData->op( *myData->location, s_attr_name, myData->opData );
+ return 0;
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location default constructor (protected)
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+H5Location::H5Location() : IdComponent(0) {}
+
+//--------------------------------------------------------------------------
+// Function: H5Location overloaded constructor (protected)
+// Purpose Creates an H5Location object using the id of an existing HDF5
+// object.
+// Parameters object_id - IN: Id of an existing HDF5 object
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+H5Location::H5Location(const hid_t object_id) : IdComponent(object_id) {}
+
+#endif // DOXYGEN_SHOULD_SKIP_THIS
+
+//--------------------------------------------------------------------------
+// Function: H5Location copy constructor
+///\brief Copy constructor: makes a copy of the original H5Location
+/// instance.
+///\param original - IN: H5Location instance to copy
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+H5Location::H5Location( const H5Location& original ) : IdComponent( original ) {}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::createAttribute
+///\brief Creates an attribute for a group, dataset, or named datatype.
+///\param name - IN: Name of the attribute
+///\param data_type - IN: Datatype for the attribute
+///\param data_space - IN: Dataspace for the attribute - only simple
+/// dataspaces are allowed at this time
+///\param create_plist - IN: Creation property list - default to
+/// PropList::DEFAULT
+///\return Attribute instance
+///\exception H5::AttributeIException
+///\par Description
+/// The attribute name specified in \a name must be unique.
+/// Attempting to create an attribute with the same name as an
+/// existing attribute will raise an exception, leaving the
+/// pre-existing attribute intact. To overwrite an existing
+/// attribute with a new attribute of the same name, first
+/// delete the existing one with \c H5Location::removeAttr, then
+/// recreate it with this function.
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+Attribute H5Location::createAttribute( const char* name, const DataType& data_type, const DataSpace& data_space, const PropList& create_plist ) const
+{
+ hid_t type_id = data_type.getId();
+ hid_t space_id = data_space.getId();
+ hid_t plist_id = create_plist.getId();
+ hid_t attr_id = H5Acreate2(getId(), name, type_id, space_id, plist_id, H5P_DEFAULT );
+
+ // If the attribute id is valid, create and return the Attribute object
+ if( attr_id > 0 )
+ {
+ Attribute attr( attr_id );
+ return( attr );
+ }
+ else
+ throw AttributeIException(inMemFunc("createAttribute"), "H5Acreate2 failed");
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::createAttribute
+///\brief This is an overloaded member function, provided for convenience.
+/// It differs from the above function in that it takes
+/// a reference to an \c H5std_string for \a name.
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+Attribute H5Location::createAttribute( const H5std_string& name, const DataType& data_type, const DataSpace& data_space, const PropList& create_plist ) const
+{
+ return( createAttribute( name.c_str(), data_type, data_space, create_plist ));
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::openAttribute
+///\brief Opens an attribute given its name.
+///\param name - IN: Name of the attribute
+///\return Attribute instance
+///\exception H5::AttributeIException
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+Attribute H5Location::openAttribute( const char* name ) const
+{
+ hid_t attr_id = H5Aopen(getId(), name, H5P_DEFAULT);
+ if( attr_id > 0 )
+ {
+ Attribute attr( attr_id );
+ return( attr );
+ }
+ else
+ {
+ throw AttributeIException(inMemFunc("openAttribute"), "H5Aopen failed");
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::openAttribute
+///\brief This is an overloaded member function, provided for convenience.
+/// It differs from the above function in that it takes
+/// a reference to an \c H5std_string for \a name.
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+Attribute H5Location::openAttribute( const H5std_string& name ) const
+{
+ return( openAttribute( name.c_str()) );
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::openAttribute
+///\brief Opens an attribute given its index.
+///\param idx - IN: Index of the attribute, a 0-based, non-negative integer
+///\return Attribute instance
+///\exception H5::AttributeIException
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+Attribute H5Location::openAttribute( const unsigned int idx ) const
+{
+ hid_t attr_id = H5Aopen_by_idx(getId(), ".", H5_INDEX_CRT_ORDER,
+ H5_ITER_INC, (hsize_t)idx, H5P_DEFAULT, H5P_DEFAULT);
+ if( attr_id > 0 )
+ {
+ Attribute attr( attr_id );
+ return( attr );
+ }
+ else
+ {
+ throw AttributeIException(inMemFunc("openAttribute"), "H5Aopen_by_idx failed");
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::iterateAttrs
+///\brief Iterates a user's function over all the attributes of an H5
+/// object, which may be a group, dataset or named datatype.
+///\param user_op - IN: User's function to operate on each attribute
+///\param _idx - IN/OUT: Starting (IN) and ending (OUT) attribute indices
+///\param op_data - IN: User's data to pass to user's operator function
+///\return Returned value of the last operator if it was non-zero, or
+/// zero if all attributes were processed
+///\exception H5::AttributeIException
+///\par Description
+/// The signature of user_op is
+/// void (*)(H5::H5Location&, H5std_string, void*).
+/// For information, please refer to the C layer Reference Manual
+/// at:
+/// http://www.hdfgroup.org/HDF5/doc/RM/RM_H5A.html#Annot-Iterate
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+int H5Location::iterateAttrs( attr_operator_t user_op, unsigned *_idx, void *op_data )
+{
+ // store the user's function and data
+ UserData4Aiterate* userData = new UserData4Aiterate;
+ userData->opData = op_data;
+ userData->op = user_op;
+ userData->location = this;
+
+ // call the C library routine H5Aiterate2 to iterate the attributes
+ hsize_t idx = _idx ? (hsize_t)*_idx : 0;
+ int ret_value = H5Aiterate2(getId(), H5_INDEX_NAME, H5_ITER_INC, &idx,
+ userAttrOpWrpr, (void *) userData);
+
+ // release memory
+ delete userData;
+
+ if( ret_value >= 0 ) {
+ /* Pass back update index value to calling code */
+ if (_idx)
+ *_idx = (unsigned)idx;
+
+ return( ret_value );
+ }
+ else // raise exception when H5Aiterate returns a negative value
+ throw AttributeIException(inMemFunc("iterateAttrs"), "H5Aiterate2 failed");
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::getNumAttrs
+///\brief Returns the number of attributes attached to this HDF5 object.
+///\return Number of attributes
+///\exception H5::AttributeIException
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+int H5Location::getNumAttrs() const
+{
+ H5O_info_t oinfo; /* Object info */
+
+ if(H5Oget_info(getId(), &oinfo) < 0)
+ throw AttributeIException(inMemFunc("getNumAttrs"), "H5Oget_info failed");
+ else
+ return( (int)oinfo.num_attrs );
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::removeAttr
+///\brief Removes the named attribute from this object.
+///\param name - IN: Name of the attribute to be removed
+///\exception H5::AttributeIException
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+void H5Location::removeAttr( const char* name ) const
+{
+ herr_t ret_value = H5Adelete(getId(), name);
+ if( ret_value < 0 )
+ throw AttributeIException(inMemFunc("removeAttr"), "H5Adelete failed");
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::removeAttr
+///\brief This is an overloaded member function, provided for convenience.
+/// It differs from the above function in that it takes
+/// a reference to an \c H5std_string for \a name.
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+void H5Location::removeAttr( const H5std_string& name ) const
+{
+ removeAttr( name.c_str() );
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::renameAttr
+///\brief Renames the named attribute from this object.
+///\param oldname - IN: Name of the attribute to be renamed
+///\param newname - IN: New name ame of the attribute
+///\exception H5::AttributeIException
+// Programmer Binh-Minh Ribler - Mar, 2005
+//--------------------------------------------------------------------------
+void H5Location::renameAttr(const char* oldname, const char* newname) const
+{
+ herr_t ret_value = H5Arename(getId(), oldname, newname);
+ if (ret_value < 0)
+ throw AttributeIException(inMemFunc("renameAttr"), "H5Arename failed");
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::renameAttr
+///\brief This is an overloaded member function, provided for convenience.
+/// It differs from the above function in that it takes
+/// a reference to an \c H5std_string for the names.
+// Programmer Binh-Minh Ribler - Mar, 2005
+//--------------------------------------------------------------------------
+void H5Location::renameAttr(const H5std_string& oldname, const H5std_string& newname) const
+{
+ renameAttr (oldname.c_str(), newname.c_str());
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::flush
+///\brief Flushes all buffers associated with a location to disk.
+///\param scope - IN: Specifies the scope of the flushing action,
+/// which can be either of these values:
+/// \li \c H5F_SCOPE_GLOBAL - Flushes the entire virtual file
+/// \li \c H5F_SCOPE_LOCAL - Flushes only the specified file
+///\exception H5::FileIException
+///\par Description
+/// This location is used to identify the file to be flushed.
+// Programmer Binh-Minh Ribler - 2012
+// Modification
+// Sep 2012 - BMR
+// Moved from H5File/H5Object
+//--------------------------------------------------------------------------
+void H5Location::flush(H5F_scope_t scope) const
+{
+ herr_t ret_value = H5Fflush(getId(), scope);
+ if( ret_value < 0 )
+ {
+ throw FileIException(inMemFunc("flush"), "H5Fflush failed");
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::getFileName
+///\brief Gets the name of the file, in which this HDF5 object belongs.
+///\return File name
+///\exception H5::IdComponentException
+// Programmer Binh-Minh Ribler - Jul, 2004
+//--------------------------------------------------------------------------
+H5std_string H5Location::getFileName() const
+{
+ try {
+ return(p_get_file_name());
+ }
+ catch (IdComponentException E) {
+ throw FileIException(inMemFunc("getFileName"), E.getDetailMsg());
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::p_reference (protected)
+// Purpose Creates a reference to an HDF5 object or a dataset region.
+// Parameters
+// name - IN: Name of the object to be referenced
+// dataspace - IN: Dataspace with selection
+// ref_type - IN: Type of reference; default to \c H5R_DATASET_REGION
+// Exception H5::IdComponentException
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+void H5Location::p_reference(void* ref, const char* name, hid_t space_id, H5R_type_t ref_type) const
+{
+ herr_t ret_value = H5Rcreate(ref, getId(), name, ref_type, space_id);
+ if (ret_value < 0)
+ {
+ throw ReferenceException("", "H5Rcreate failed");
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::reference
+///\brief Creates a reference to an HDF5 object or a dataset region.
+///\param ref - IN: Reference pointer
+///\param name - IN: Name of the object to be referenced
+///\param dataspace - IN: Dataspace with selection
+///\param ref_type - IN: Type of reference to query, valid values are:
+/// \li \c H5R_OBJECT - Reference is an object reference.
+/// \li \c H5R_DATASET_REGION - Reference is a dataset region
+/// reference. - this is the default
+///\exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+void H5Location::reference(void* ref, const char* name, const DataSpace& dataspace, H5R_type_t ref_type) const
+{
+ try {
+ p_reference(ref, name, dataspace.getId(), ref_type);
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::reference", E.getDetailMsg());
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::reference
+///\brief This is an overloaded function, provided for your convenience.
+/// It differs from the above function in that it only creates
+/// a reference to an HDF5 object, not to a dataset region.
+///\param ref - IN: Reference pointer
+///\param name - IN: Name of the object to be referenced - \c char pointer
+///\exception H5::ReferenceException
+///\par Description
+// This function passes H5R_OBJECT and -1 to the protected
+// function for it to pass to the C API H5Rcreate
+// to create a reference to the named object.
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+void H5Location::reference(void* ref, const char* name) const
+{
+ try {
+ p_reference(ref, name, -1, H5R_OBJECT);
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::reference", E.getDetailMsg());
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::reference
+///\brief This is an overloaded function, provided for your convenience.
+/// It differs from the above function in that it takes an
+/// \c H5std_string for the object's name.
+///\param ref - IN: Reference pointer
+///\param name - IN: Name of the object to be referenced - \c H5std_string
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+void H5Location::reference(void* ref, const H5std_string& name) const
+{
+ reference(ref, name.c_str());
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::p_dereference (protected)
+// Purpose Dereference a ref into an hdf5 object.
+// Parameters
+// loc_id - IN: An hdf5 identifier specifying the location of the
+// referenced object
+// ref - IN: Reference pointer
+// ref_type - IN: Reference type
+// Exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - Oct, 2006
+// Modification
+// May 2008 - BMR
+// Moved from IdComponent.
+//--------------------------------------------------------------------------
+hid_t H5Location::p_dereference(hid_t loc_id, const void* ref, H5R_type_t ref_type)
+{
+ hid_t temp_id;
+ temp_id = H5Rdereference2(loc_id, H5P_DEFAULT, ref_type, ref);
+ if (temp_id < 0)
+ {
+ throw ReferenceException("", "H5Rdereference failed");
+ }
+
+ // No failure, set id to the object
+ return(temp_id);
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::dereference
+///\brief Dereferences a reference into an HDF5 object, given an HDF5 object.
+///\param obj - IN: Object specifying the location of the referenced object
+///\param ref - IN: Reference pointer
+///\param ref_type - IN: Reference type
+///\exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - Oct, 2006
+// Modification
+// May, 2008
+// Corrected missing parameters. - BMR
+//--------------------------------------------------------------------------
+void H5Location::dereference(H5Object& obj, const void* ref, H5R_type_t ref_type)
+{
+ hid_t temp_id;
+ try {
+ temp_id = p_dereference(obj.getId(), ref, ref_type);
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::dereference - located by object", E.getDetailMsg());
+ }
+ p_setId(temp_id);
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::dereference
+///\brief Dereferences a reference into an HDF5 object, given an HDF5 file.
+///\param h5file - IN: HDF5 file specifying the location of the referenced object
+///\param ref - IN: Reference pointer
+///\param ref_type - IN: Reference type
+///\exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - Oct, 2006
+// Modification
+// May, 2008
+// Corrected missing parameters. - BMR
+//--------------------------------------------------------------------------
+void H5Location::dereference(H5File& h5file, const void* ref, H5R_type_t ref_type)
+{
+ hid_t temp_id;
+ try {
+ temp_id = p_dereference(h5file.getId(), ref, ref_type);
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::dereference - located by file", E.getDetailMsg());
+ }
+ p_setId(temp_id);
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::dereference
+///\brief Dereferences a reference into an HDF5 object, given an attribute.
+///\param attr - IN: Attribute specifying the location of the referenced object
+///\param ref - IN: Reference pointer
+///\param ref_type - IN: Reference type
+///\exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - Oct, 2006
+// Modification
+// May, 2008
+// Corrected missing parameters. - BMR
+//--------------------------------------------------------------------------
+void H5Location::dereference(Attribute& attr, const void* ref, H5R_type_t ref_type)
+{
+ hid_t temp_id;
+ try {
+ temp_id = p_dereference(attr.getId(), ref, ref_type);
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::dereference - located by attribute", E.getDetailMsg());
+ }
+ p_setId(temp_id);
+}
+
+#ifndef H5_NO_DEPRECATED_SYMBOLS
+//--------------------------------------------------------------------------
+// Function: H5Location::getObjType
+///\brief Retrieves the type of object that an object reference points to.
+///\param ref_type - IN: Type of reference to query, valid values are:
+/// \li \c H5R_OBJECT \tReference is an object reference.
+/// \li \c H5R_DATASET_REGION \tReference is a dataset region reference.
+///\param ref - IN: Reference to query
+///\return An object type, which can be one of the following:
+/// \li \c H5G_UNKNOWN \tA failure occurs. (-1)
+/// \li \c H5G_GROUP \tObject is a group.
+/// \li \c H5G_DATASET \tObject is a dataset.
+/// \li \c H5G_TYPE Object \tis a named datatype
+/// \li \c H5G_LINK \tObject is a symbolic link.
+/// \li \c H5G_UDLINK \tObject is a user-defined link.
+///\exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - May, 2004
+// Modification
+// Sep 2012: Moved up from H5File, Group, DataSet, and DataType
+//--------------------------------------------------------------------------
+H5G_obj_t H5Location::getObjType(void *ref, H5R_type_t ref_type) const
+{
+ try {
+ return(p_get_obj_type(ref, ref_type));
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::getObjType", E.getDetailMsg());
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::p_get_obj_type (protected)
+// Purpose Retrieves the type of object that an object reference points to.
+// Parameters
+// ref - IN: Reference to query
+// ref_type - IN: Type of reference to query
+// Return An object type, which can be one of the following:
+// H5G_UNKNOWN \tFailure occurs (-1)
+// H5G_GROUP \tObject is a group.
+// H5G_DATASET \tObject is a dataset.
+// H5G_TYPE Object \tis a named datatype.
+// H5G_LINK \tObject is a symbolic link.
+// H5G_UDLINK \tObject is a user-defined link.
+// Exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+H5G_obj_t H5Location::p_get_obj_type(void *ref, H5R_type_t ref_type) const
+{
+ H5G_obj_t obj_type = H5Rget_obj_type1(getId(), ref_type, ref);
+
+ if (obj_type == H5G_UNKNOWN)
+ {
+ throw ReferenceException("", "H5Rget_obj_type1 failed");
+ }
+ return(obj_type);
+}
+#endif /* H5_NO_DEPRECATED_SYMBOLS */
+
+
+//--------------------------------------------------------------------------
+// Function: H5Location::getRefObjType
+///\brief Retrieves the type of object that an object reference points to.
+///\param ref - IN: Reference to query
+///\param ref_type - IN: Type of reference to query, valid values are:
+/// \li \c H5R_OBJECT - Reference is an object reference.
+/// \li \c H5R_DATASET_REGION - Reference is a dataset region reference.
+///\return An object type, which can be one of the following:
+/// \li \c H5O_TYPE_UNKNOWN - Unknown object type (-1)
+/// \li \c H5O_TYPE_GROUP - Object is a group
+/// \li \c H5O_TYPE_DATASET - Object is a dataset
+/// \li \c H5O_TYPE_NAMED_DATATYPE - Object is a named datatype
+/// \li \c H5O_TYPE_NTYPES - Number of different object types
+///\exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+H5O_type_t H5Location::getRefObjType(void *ref, H5R_type_t ref_type) const
+{
+ try {
+ return(p_get_ref_obj_type(ref, ref_type));
+ }
+ catch (ReferenceException E) {
+ throw ReferenceException("H5Location::getRefObjType", E.getDetailMsg());
+ }
+}
+
+//--------------------------------------------------------------------------
+// Function: H5Location::p_get_ref_obj_type (protected)
+// Purpose Retrieves the type of object that an object reference points to.
+// Parameters
+// ref - IN: Reference to query
+// ref_type - IN: Type of reference to query
+// Return An object type, which can be one of the following:
+// H5O_TYPE_UNKNOWN - Unknown object type (-1)
+// H5O_TYPE_GROUP - Object is a group
+// H5O_TYPE_DATASET - Object is a dataset
+// H5O_TYPE_NAMED_DATATYPE - Object is a named datatype
+// H5O_TYPE_NTYPES - Number of object types
+// Exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+H5O_type_t H5Location::p_get_ref_obj_type(void *ref, H5R_type_t ref_type) const
+{
+ H5O_type_t obj_type = H5O_TYPE_UNKNOWN;
+ herr_t ret_value = H5Rget_obj_type2(getId(), ref_type, ref, &obj_type);
+
+ if (obj_type == H5O_TYPE_UNKNOWN || obj_type >= H5O_TYPE_NTYPES)
+ {
+ throw ReferenceException("", "H5Rget_obj_type2 failed");
+ }
+ return(obj_type);
+}
+
+
+//--------------------------------------------------------------------------
+// Function: H5Location::p_get_region (protected)
+// Purpose Retrieves a dataspace with the region pointed to selected.
+// Parameters
+// ref_type - IN: Type of reference to get region of - default
+// to H5R_DATASET_REGION
+// ref - IN: Reference to get region of
+// Return Dataspace id
+// Exception H5::ReferenceException
+// Programmer Binh-Minh Ribler - May, 2004
+//--------------------------------------------------------------------------
+hid_t H5Location::p_get_region(void *ref, H5R_type_t ref_type) const
+{
+ hid_t space_id = H5Rget_region(getId(), ref_type, ref);
+ if (space_id < 0)
+ {
+ throw ReferenceException("", "H5Rget_region failed");
+ }
+ return(space_id);
+}
+
+
+//--------------------------------------------------------------------------
+// Function: H5Location destructor
+///\brief Noop destructor.
+// Programmer Binh-Minh Ribler - 2000
+//--------------------------------------------------------------------------
+H5Location::~H5Location() {}
+
+#ifndef H5_NO_NAMESPACE
+} // end namespace
+#endif
diff --git a/c++/src/H5Location.h b/c++/src/H5Location.h
new file mode 100644
index 0000000..d1dd892
--- /dev/null
+++ b/c++/src/H5Location.h
@@ -0,0 +1,146 @@
+// C++ informative line for the emacs editor: -*- C++ -*-
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+#ifndef _H5Location_H
+#define _H5Location_H
+
+#include "H5Classes.h" // constains forward class declarations
+
+// H5Location is an abstract class. It provides a collection of wrappers
+// of C functions which take location IDs. Most of these were in H5Object
+// but are now moved here for H5File's access.
+
+#ifndef H5_NO_NAMESPACE
+namespace H5 {
+#endif
+
+class H5_DLLCPP H5Location; // forward declaration for UserData4Aiterate
+
+// Define the operator function pointer for H5Aiterate().
+typedef void (*attr_operator_t)( H5Location& loc/*in*/,
+ const H5std_string attr_name/*in*/,
+ void *operator_data/*in,out*/);
+
+class UserData4Aiterate { // user data for attribute iteration
+ public:
+ attr_operator_t op;
+ void* opData;
+ H5Location* location;
+};
+
+// An H5Location can be a file, group, dataset, named datatype, or attribute.
+
+class H5_DLLCPP H5Location : public IdComponent {
+ public:
+ // Creates an attribute for the specified object at this location
+ // PropList is currently not used, so always be default.
+ Attribute createAttribute( const char* name, const DataType& type, const DataSpace& space, const PropList& create_plist = PropList::DEFAULT ) const;
+ Attribute createAttribute( const H5std_string& name, const DataType& type, const DataSpace& space, const PropList& create_plist = PropList::DEFAULT ) const;
+
+ // Given its name, opens the attribute that belongs to an object at
+ // this location.
+ Attribute openAttribute( const char* name ) const;
+ Attribute openAttribute( const H5std_string& name ) const;
+
+ // Given its index, opens the attribute that belongs to an object at
+ // this location.
+ Attribute openAttribute( const unsigned int idx ) const;
+
+ // Flushes all buffers associated with this location to disk.
+ void flush( H5F_scope_t scope ) const;
+
+ // Gets the name of the file, specified by this location.
+ H5std_string getFileName() const;
+
+ // Determines the number of attributes at this location.
+ int getNumAttrs() const;
+
+#ifndef H5_NO_DEPRECATED_SYMBOLS
+ // Retrieves the type of object that an object reference points to.
+ H5G_obj_t getObjType(void *ref, H5R_type_t ref_type = H5R_OBJECT) const;
+#endif /* H5_NO_DEPRECATED_SYMBOLS */
+
+ // Retrieves the type of object that an object reference points to.
+ H5O_type_t getRefObjType(void *ref, H5R_type_t ref_type = H5R_OBJECT) const;
+ // Note: getRefObjType deprecates getObjType, but getObjType's name is
+ // misleading, so getRefObjType is used in the new function instead.
+
+ // Iterate user's function over the attributes at this location.
+ int iterateAttrs( attr_operator_t user_op, unsigned* idx = NULL, void* op_data = NULL );
+
+ // Removes the named attribute from this location.
+ void removeAttr( const char* name ) const;
+ void removeAttr( const H5std_string& name ) const;
+
+ // Renames the named attribute to a new name.
+ void renameAttr(const char* oldname, const char* newname) const;
+ void renameAttr(const H5std_string& oldname, const H5std_string& newname) const;
+
+ // Creates a reference to a named object or to a dataset region
+ // in this object.
+ void reference(void* ref, const char* name, const DataSpace& dataspace,
+ H5R_type_t ref_type = H5R_DATASET_REGION) const;
+ void reference(void* ref, const char* name) const;
+ void reference(void* ref, const H5std_string& name) const;
+
+ // Open a referenced object whose location is specified by either
+ // a file, an HDF5 object, or an attribute.
+ void dereference(H5File& h5file, const void* ref, H5R_type_t ref_type = H5R_OBJECT);
+ void dereference(H5Object& obj, const void* ref, H5R_type_t ref_type = H5R_OBJECT);
+ void dereference(Attribute& attr, const void* ref, H5R_type_t ref_type = H5R_OBJECT);
+
+ // For subclasses.
+ virtual hid_t getId() const = 0;
+
+ protected:
+#ifndef DOXYGEN_SHOULD_SKIP_THIS
+ // Default constructor,
+ H5Location();
+
+ // Creates a copy of an existing object giving the location id.
+ H5Location(const hid_t loc_id);
+
+ // Copy constructor.
+ H5Location(const H5Location& original);
+
+ // Creates a reference to an HDF5 object or a dataset region.
+ void p_reference(void* ref, const char* name, hid_t space_id, H5R_type_t ref_type) const;
+
+ // Dereferences a ref into an HDF5 id.
+ hid_t p_dereference(hid_t loc_id, const void* ref, H5R_type_t ref_type);
+
+#ifndef H5_NO_DEPRECATED_SYMBOLS
+ // Retrieves the type of object that an object reference points to.
+ H5G_obj_t p_get_obj_type(void *ref, H5R_type_t ref_type) const;
+#endif /* H5_NO_DEPRECATED_SYMBOLS */
+
+ // Retrieves the type of object that an object reference points to.
+ H5O_type_t p_get_ref_obj_type(void *ref, H5R_type_t ref_type) const;
+
+ // Retrieves a dataspace with the region pointed to selected.
+ hid_t p_get_region(void *ref, H5R_type_t ref_type) const;
+
+ // Noop destructor.
+ virtual ~H5Location();
+
+#endif // DOXYGEN_SHOULD_SKIP_THIS
+
+}; /* end class H5Location */
+
+#ifndef H5_NO_NAMESPACE
+}
+#endif
+#endif
diff --git a/c++/src/H5Object.cpp b/c++/src/H5Object.cpp
index 3c85502..e29e80e 100644
--- a/c++/src/H5Object.cpp
+++ b/c++/src/H5Object.cpp
@@ -37,30 +37,11 @@ namespace H5 {
#endif
#ifndef DOXYGEN_SHOULD_SKIP_THIS
-// userAttrOpWrpr simply interfaces between the user's function and the
-// C library function H5Aiterate2; used to resolve the different prototype
-// problem. May be moved to Iterator later.
-extern "C" herr_t userAttrOpWrpr(hid_t loc_id, const char *attr_name,
- const H5A_info_t *ainfo, void *op_data)
-{
- H5std_string s_attr_name = H5std_string( attr_name );
-#ifdef NO_STATIC_CAST
- UserData4Aiterate* myData = (UserData4Aiterate *) op_data;
-#else
- UserData4Aiterate* myData = static_cast <UserData4Aiterate *> (op_data);
-#endif
- myData->op( *myData->object, s_attr_name, myData->opData );
- return 0;
-}
-
//--------------------------------------------------------------------------
// Function: H5Object default constructor (protected)
-// Description
-// The id is set by IdComponent() but subclass constructor will
-// set it to a valid HDF5 id.
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5Object::H5Object() : IdComponent(0) {}
+H5Object::H5Object() : H5Location() {}
//--------------------------------------------------------------------------
// Function: H5Object overloaded constructor (protected)
@@ -69,7 +50,7 @@ H5Object::H5Object() : IdComponent(0) {}
// Parameters object_id - IN: Id of an existing HDF5 object
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5Object::H5Object( const hid_t object_id ) : IdComponent( object_id ) {}
+H5Object::H5Object( const hid_t object_id ) : H5Location( object_id ) {}
#endif // DOXYGEN_SHOULD_SKIP_THIS
@@ -80,496 +61,7 @@ H5Object::H5Object( const hid_t object_id ) : IdComponent( object_id ) {}
///\param original - IN: H5Object instance to copy
// Programmer Binh-Minh Ribler - 2000
//--------------------------------------------------------------------------
-H5Object::H5Object( const H5Object& original ) : IdComponent( original ) {}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::createAttribute
-///\brief Creates an attribute for a group, dataset, or named datatype.
-///\param name - IN: Name of the attribute
-///\param data_type - IN: Datatype for the attribute
-///\param data_space - IN: Dataspace for the attribute - only simple
-/// dataspaces are allowed at this time
-///\param create_plist - IN: Creation property list - default to
-/// PropList::DEFAULT
-///\return Attribute instance
-///\exception H5::AttributeIException
-///\par Description
-/// The attribute name specified in \a name must be unique.
-/// Attempting to create an attribute with the same name as an
-/// existing attribute will raise an exception, leaving the
-/// pre-existing attribute intact. To overwrite an existing
-/// attribute with a new attribute of the same name, first
-/// delete the existing one with \c H5Object::removeAttr, then
-/// recreate it with this function.
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-Attribute H5Object::createAttribute( const char* name, const DataType& data_type, const DataSpace& data_space, const PropList& create_plist ) const
-{
- hid_t type_id = data_type.getId();
- hid_t space_id = data_space.getId();
- hid_t plist_id = create_plist.getId();
- hid_t attr_id = H5Acreate2(getId(), name, type_id, space_id, plist_id, H5P_DEFAULT );
-
- // If the attribute id is valid, create and return the Attribute object
- if( attr_id > 0 )
- {
- Attribute attr( attr_id );
- return( attr );
- }
- else
- throw AttributeIException(inMemFunc("createAttribute"), "H5Acreate2 failed");
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::createAttribute
-///\brief This is an overloaded member function, provided for convenience.
-/// It differs from the above function in that it takes
-/// a reference to an \c H5std_string for \a name.
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-Attribute H5Object::createAttribute( const H5std_string& name, const DataType& data_type, const DataSpace& data_space, const PropList& create_plist ) const
-{
- return( createAttribute( name.c_str(), data_type, data_space, create_plist ));
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::openAttribute
-///\brief Opens an attribute given its name.
-///\param name - IN: Name of the attribute
-///\return Attribute instance
-///\exception H5::AttributeIException
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-Attribute H5Object::openAttribute( const char* name ) const
-{
- hid_t attr_id = H5Aopen(getId(), name, H5P_DEFAULT);
- if( attr_id > 0 )
- {
- Attribute attr( attr_id );
- return( attr );
- }
- else
- {
- throw AttributeIException(inMemFunc("openAttribute"), "H5Aopen failed");
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::openAttribute
-///\brief This is an overloaded member function, provided for convenience.
-/// It differs from the above function in that it takes
-/// a reference to an \c H5std_string for \a name.
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-Attribute H5Object::openAttribute( const H5std_string& name ) const
-{
- return( openAttribute( name.c_str()) );
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::openAttribute
-///\brief Opens an attribute given its index.
-///\param idx - IN: Index of the attribute, a 0-based, non-negative integer
-///\return Attribute instance
-///\exception H5::AttributeIException
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-Attribute H5Object::openAttribute( const unsigned int idx ) const
-{
- hid_t attr_id = H5Aopen_by_idx(getId(), ".", H5_INDEX_CRT_ORDER,
- H5_ITER_INC, (hsize_t)idx, H5P_DEFAULT, H5P_DEFAULT);
- if( attr_id > 0 )
- {
- Attribute attr( attr_id );
- return( attr );
- }
- else
- {
- throw AttributeIException(inMemFunc("openAttribute"), "H5Aopen_by_idx failed");
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::iterateAttrs
-///\brief Iterates a user's function over all the attributes of an H5
-/// object, which may be a group, dataset or named datatype.
-///\param user_op - IN: User's function to operate on each attribute
-///\param _idx - IN/OUT: Starting (IN) and ending (OUT) attribute indices
-///\param op_data - IN: User's data to pass to user's operator function
-///\return Returned value of the last operator if it was non-zero, or
-/// zero if all attributes were processed
-///\exception H5::AttributeIException
-///\par Description
-/// The signature of user_op is
-/// void (*)(H5::H5Object&, H5std_string, void*).
-/// For information, please refer to the C layer Reference Manual
-/// at:
-/// http://www.hdfgroup.org/HDF5/doc/RM/RM_H5A.html#Annot-Iterate
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-int H5Object::iterateAttrs( attr_operator_t user_op, unsigned *_idx, void *op_data )
-{
- // store the user's function and data
- UserData4Aiterate* userData = new UserData4Aiterate;
- userData->opData = op_data;
- userData->op = user_op;
- userData->object = this;
-
- // call the C library routine H5Aiterate2 to iterate the attributes
- hsize_t idx = _idx ? (hsize_t)*_idx : 0;
- int ret_value = H5Aiterate2(getId(), H5_INDEX_NAME, H5_ITER_INC, &idx,
- userAttrOpWrpr, (void *) userData);
-
- // release memory
- delete userData;
-
- if( ret_value >= 0 ) {
- /* Pass back update index value to calling code */
- if (_idx)
- *_idx = (unsigned)idx;
-
- return( ret_value );
- }
- else // raise exception when H5Aiterate returns a negative value
- throw AttributeIException(inMemFunc("iterateAttrs"), "H5Aiterate2 failed");
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::getNumAttrs
-///\brief Returns the number of attributes attached to this HDF5 object.
-///\return Number of attributes
-///\exception H5::AttributeIException
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-int H5Object::getNumAttrs() const
-{
- H5O_info_t oinfo; /* Object info */
-
- if(H5Oget_info(getId(), &oinfo) < 0)
- throw AttributeIException(inMemFunc("getNumAttrs"), "H5Oget_info failed");
- else
- return( (int)oinfo.num_attrs );
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::removeAttr
-///\brief Removes the named attribute from this object.
-///\param name - IN: Name of the attribute to be removed
-///\exception H5::AttributeIException
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-void H5Object::removeAttr( const char* name ) const
-{
- herr_t ret_value = H5Adelete(getId(), name);
- if( ret_value < 0 )
- throw AttributeIException(inMemFunc("removeAttr"), "H5Adelete failed");
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::removeAttr
-///\brief This is an overloaded member function, provided for convenience.
-/// It differs from the above function in that it takes
-/// a reference to an \c H5std_string for \a name.
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-void H5Object::removeAttr( const H5std_string& name ) const
-{
- removeAttr( name.c_str() );
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::renameAttr
-///\brief Renames the named attribute from this object.
-///\param oldname - IN: Name of the attribute to be renamed
-///\param newname - IN: New name ame of the attribute
-///\exception H5::AttributeIException
-// Programmer Binh-Minh Ribler - Mar, 2005
-//--------------------------------------------------------------------------
-void H5Object::renameAttr(const char* oldname, const char* newname) const
-{
- herr_t ret_value = H5Arename(getId(), oldname, newname);
- if (ret_value < 0)
- throw AttributeIException(inMemFunc("renameAttr"), "H5Arename failed");
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::renameAttr
-///\brief This is an overloaded member function, provided for convenience.
-/// It differs from the above function in that it takes
-/// a reference to an \c H5std_string for the names.
-// Programmer Binh-Minh Ribler - Mar, 2005
-//--------------------------------------------------------------------------
-void H5Object::renameAttr(const H5std_string& oldname, const H5std_string& newname) const
-{
- renameAttr (oldname.c_str(), newname.c_str());
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::flush
-///\brief Flushes all buffers associated with a file to disk.
-///\param scope - IN: Specifies the scope of the flushing action,
-/// which can be either of these values:
-/// \li \c H5F_SCOPE_GLOBAL - Flushes the entire virtual file
-/// \li \c H5F_SCOPE_LOCAL - Flushes only the specified file
-///\exception H5::AttributeIException
-///\par Description
-/// This object is used to identify the file to be flushed.
-// Programmer Binh-Minh Ribler - 2000
-//--------------------------------------------------------------------------
-void H5Object::flush(H5F_scope_t scope) const
-{
- herr_t ret_value = H5Fflush(getId(), scope);
- if( ret_value < 0 )
- {
- throw FileIException(inMemFunc("flush"), "H5Fflush failed");
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::getFileName
-///\brief Gets the name of the file, in which this HDF5 object belongs.
-///\return File name
-///\exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - Jul, 2004
-//--------------------------------------------------------------------------
-H5std_string H5Object::getFileName() const
-{
- try {
- return(p_get_file_name());
- }
- catch (IdComponentException E) {
- throw FileIException(inMemFunc("getFileName"), E.getDetailMsg());
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::p_reference (protected)
-// Purpose Creates a reference to an HDF5 object or a dataset region.
-// Parameters
-// name - IN: Name of the object to be referenced
-// dataspace - IN: Dataspace with selection
-// ref_type - IN: Type of reference; default to \c H5R_DATASET_REGION
-// Exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5Object::p_reference(void* ref, const char* name, hid_t space_id, H5R_type_t ref_type) const
-{
- herr_t ret_value = H5Rcreate(ref, getId(), name, ref_type, space_id);
- if (ret_value < 0)
- {
- throw IdComponentException("", "H5Rcreate failed");
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::reference
-///\brief Creates a reference to an HDF5 object or a dataset region.
-///\param ref - IN: Reference pointer
-///\param name - IN: Name of the object to be referenced
-///\param dataspace - IN: Dataspace with selection
-///\param ref_type - IN: Type of reference to query, valid values are:
-/// \li \c H5R_OBJECT - Reference is an object reference.
-/// \li \c H5R_DATASET_REGION - Reference is a dataset region
-/// reference. - this is the default
-///\exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5Object::reference(void* ref, const char* name, const DataSpace& dataspace, H5R_type_t ref_type) const
-{
- try {
- p_reference(ref, name, dataspace.getId(), ref_type);
- }
- catch (IdComponentException E) {
- throw IdComponentException("H5Object::reference", E.getDetailMsg());
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::reference
-///\brief This is an overloaded function, provided for your convenience.
-/// It differs from the above function in that it only creates
-/// a reference to an HDF5 object, not to a dataset region.
-///\param ref - IN: Reference pointer
-///\param name - IN: Name of the object to be referenced - \c char pointer
-///\exception H5::IdComponentException
-///\par Description
-// This function passes H5R_OBJECT and -1 to the protected
-// function for it to pass to the C API H5Rcreate
-// to create a reference to the named object.
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5Object::reference(void* ref, const char* name) const
-{
- try {
- p_reference(ref, name, -1, H5R_OBJECT);
- }
- catch (IdComponentException E) {
- throw IdComponentException("H5Object::reference", E.getDetailMsg());
- }
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::reference
-///\brief This is an overloaded function, provided for your convenience.
-/// It differs from the above function in that it takes an
-/// \c H5std_string for the object's name.
-///\param ref - IN: Reference pointer
-///\param name - IN: Name of the object to be referenced - \c H5std_string
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-void H5Object::reference(void* ref, const H5std_string& name) const
-{
- reference(ref, name.c_str());
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::p_dereference (protected)
-// Purpose Dereference a ref into an hdf5 object.
-// Parameters
-// loc_id - IN: An hdf5 identifier specifying the location of the
-// referenced object
-// ref - IN: Reference pointer
-// ref_type - IN: Reference type
-// Exception H5::ReferenceException
-// Programmer Binh-Minh Ribler - Oct, 2006
-// Modification
-// May 2008 - BMR
-// Moved from IdComponent.
-//--------------------------------------------------------------------------
-hid_t H5Object::p_dereference(hid_t loc_id, const void* ref, H5R_type_t ref_type)
-{
- hid_t temp_id;
- temp_id = H5Rdereference2(loc_id, H5P_DEFAULT, ref_type, ref);
- if (temp_id < 0)
- {
- throw ReferenceException("", "H5Rdereference failed");
- }
-
- // No failure, set id to the object
- return(temp_id);
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::dereference
-///\brief Dereferences a reference into an HDF5 object, given an HDF5 object.
-///\param obj - IN: Object specifying the location of the referenced object
-///\param ref - IN: Reference pointer
-///\param ref_type - IN: Reference type
-///\exception H5::ReferenceException
-// Programmer Binh-Minh Ribler - Oct, 2006
-// Modification
-// May, 2008
-// Corrected missing parameters. - BMR
-//--------------------------------------------------------------------------
-void H5Object::dereference(H5Object& obj, const void* ref, H5R_type_t ref_type)
-{
- hid_t temp_id;
- try {
- temp_id = p_dereference(obj.getId(), ref, ref_type);
- }
- catch (ReferenceException E) {
- throw ReferenceException("H5Object::dereference - located by object", E.getDetailMsg());
- }
- p_setId(temp_id);
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::dereference
-///\brief Dereferences a reference into an HDF5 object, given an HDF5 file.
-///\param h5file - IN: HDF5 file specifying the location of the referenced object
-///\param ref - IN: Reference pointer
-///\param ref_type - IN: Reference type
-///\exception H5::ReferenceException
-// Programmer Binh-Minh Ribler - Oct, 2006
-// Modification
-// May, 2008
-// Corrected missing parameters. - BMR
-//--------------------------------------------------------------------------
-void H5Object::dereference(H5File& h5file, const void* ref, H5R_type_t ref_type)
-{
- hid_t temp_id;
- try {
- temp_id = p_dereference(h5file.getId(), ref, ref_type);
- }
- catch (ReferenceException E) {
- throw ReferenceException("H5Object::dereference - located by file", E.getDetailMsg());
- }
- p_setId(temp_id);
-}
-
-//--------------------------------------------------------------------------
-// Function: H5Object::dereference
-///\brief Dereferences a reference into an HDF5 object, given an attribute.
-///\param attr - IN: Attribute specifying the location of the referenced object
-///\param ref - IN: Reference pointer
-///\param ref_type - IN: Reference type
-///\exception H5::ReferenceException
-// Programmer Binh-Minh Ribler - Oct, 2006
-// Modification
-// May, 2008
-// Corrected missing parameters. - BMR
-//--------------------------------------------------------------------------
-void H5Object::dereference(Attribute& attr, const void* ref, H5R_type_t ref_type)
-{
- hid_t temp_id;
- try {
- temp_id = p_dereference(attr.getId(), ref, ref_type);
- }
- catch (ReferenceException E) {
- throw ReferenceException("H5Object::dereference - located by attribute", E.getDetailMsg());
- }
- p_setId(temp_id);
-}
-
-#ifndef H5_NO_DEPRECATED_SYMBOLS
-//--------------------------------------------------------------------------
-// Function: H5Object::p_get_obj_type (protected)
-// Purpose Retrieves the type of object that an object reference points to.
-// Parameters
-// ref - IN: Reference to query
-// ref_type - IN: Type of reference to query
-// Return An object type, which can be one of the following:
-// H5G_LINK Object is a symbolic link.
-// H5G_GROUP Object is a group.
-// H5G_DATASET Object is a dataset.
-// H5G_TYPE Object is a named datatype
-// Exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-H5G_obj_t H5Object::p_get_obj_type(void *ref, H5R_type_t ref_type) const
-{
- H5G_obj_t obj_type = H5Rget_obj_type1(getId(), ref_type, ref);
-
- if (obj_type == H5G_UNKNOWN)
- {
- throw IdComponentException("", "H5Rget_obj_type failed");
- }
- return(obj_type);
-}
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
-
-//--------------------------------------------------------------------------
-// Function: H5Object::p_get_region (protected)
-// Purpose Retrieves a dataspace with the region pointed to selected.
-// Parameters
-// ref_type - IN: Type of reference to get region of - default
-// to H5R_DATASET_REGION
-// ref - IN: Reference to get region of
-// Return Dataspace id
-// Exception H5::IdComponentException
-// Programmer Binh-Minh Ribler - May, 2004
-//--------------------------------------------------------------------------
-hid_t H5Object::p_get_region(void *ref, H5R_type_t ref_type) const
-{
- hid_t space_id = H5Rget_region(getId(), ref_type, ref);
- if (space_id < 0)
- {
- throw IdComponentException("", "H5Rget_region failed");
- }
- return(space_id);
-}
-
+H5Object::H5Object( const H5Object& original ) : H5Location( original ) {}
//--------------------------------------------------------------------------
// Function: H5Object destructor
diff --git a/c++/src/H5Object.h b/c++/src/H5Object.h
index 4ac417b..bfd5e6f 100644
--- a/c++/src/H5Object.h
+++ b/c++/src/H5Object.h
@@ -17,81 +17,29 @@
#ifndef _H5Object_H
#define _H5Object_H
+#include "H5Location.h"
#include "H5Classes.h" // constains forward class declarations
// H5Object is a baseclass. It has these subclasses:
// Group, DataSet, and DataType.
// DataType, in turn, has several specific datatypes as subclasses.
+// Modification:
+// Sept 18, 2012: Added class H5Location in between IdComponent and
+// H5Object. An H5File now inherits from H5Location. All HDF5
+// wrappers in H5Object are moved up to H5Location. H5Object
+// is left mostly empty for future wrappers that are only for
+// group, dataset, and named datatype. Note that the reason for
+// adding H5Location instead of simply moving H5File to be under
+// H5Object is H5File is not an HDF5 object, and renaming H5Object
+// to H5Location will risk breaking user applications.
+// -BMR
#ifndef H5_NO_NAMESPACE
namespace H5 {
#endif
-#ifndef DOXYGEN_SHOULD_SKIP_THIS
-class H5_DLLCPP H5Object; // forward declaration for UserData4Aiterate
-
-// Define the operator function pointer for H5Aiterate().
-typedef void (*attr_operator_t)( H5Object& loc/*in*/,
- const H5std_string attr_name/*in*/,
- void *operator_data/*in,out*/);
-
-class UserData4Aiterate { // user data for attribute iteration
- public:
- attr_operator_t op;
- void* opData;
- H5Object* object;
-};
-#endif // DOXYGEN_SHOULD_SKIP_THIS
-
-// The above part is being moved into Iterator, but not completed
-
-class H5_DLLCPP H5Object : public IdComponent {
+class H5_DLLCPP H5Object : public H5Location {
public:
- // Creates an attribute for a group, dataset, or named datatype.
- // PropList is currently not used, so always be default.
- Attribute createAttribute( const char* name, const DataType& type, const DataSpace& space, const PropList& create_plist = PropList::DEFAULT ) const;
- Attribute createAttribute( const H5std_string& name, const DataType& type, const DataSpace& space, const PropList& create_plist = PropList::DEFAULT ) const;
-
- // Opens an attribute given its name.
- Attribute openAttribute( const char* name ) const;
- Attribute openAttribute( const H5std_string& name ) const;
-
- // Opens an attribute given its index.
- Attribute openAttribute( const unsigned int idx ) const;
-
- // Flushes all buffers associated with this object to disk
- void flush( H5F_scope_t scope ) const;
-
- // Gets the name of the file, in which this HDF5 object belongs.
- H5std_string getFileName() const;
-
- // Determines the number of attributes attached to this object.
- int getNumAttrs() const;
-
- // Iterate user's function over the attributes of this object
- int iterateAttrs( attr_operator_t user_op, unsigned* idx = NULL, void* op_data = NULL );
-
- // Removes the named attribute from this object.
- void removeAttr( const char* name ) const;
- void removeAttr( const H5std_string& name ) const;
-
- // Renames the attribute to a new name.
- void renameAttr(const char* oldname, const char* newname) const;
- void renameAttr(const H5std_string& oldname, const H5std_string& newname) const;
-
- // Creates a reference to a named Hdf5 object or to a dataset region
- // in this object.
- void reference(void* ref, const char* name, const DataSpace& dataspace,
- H5R_type_t ref_type = H5R_DATASET_REGION) const;
- void reference(void* ref, const char* name) const;
- void reference(void* ref, const H5std_string& name) const;
-
- // Open a referenced HDF5 object whose location is specified by either
- // a file, an HDF5 object, or an attribute.
- void dereference(H5File& h5file, const void* ref, H5R_type_t ref_type = H5R_OBJECT);
- void dereference(H5Object& obj, const void* ref, H5R_type_t ref_type = H5R_OBJECT);
- void dereference(Attribute& attr, const void* ref, H5R_type_t ref_type = H5R_OBJECT);
-
// Copy constructor: makes copy of an H5Object object.
H5Object(const H5Object& original);
@@ -106,23 +54,6 @@ class H5_DLLCPP H5Object : public IdComponent {
// Creates a copy of an existing object giving the object id
H5Object( const hid_t object_id );
- // Gets the id of the H5 file in which the given object is located.
- hid_t p_get_file_id();
-
- // Creates a reference to an HDF5 object or a dataset region.
- void p_reference(void* ref, const char* name, hid_t space_id, H5R_type_t ref_type) const;
-
- // Dereferences a ref into an hdf5 id.
- hid_t p_dereference(hid_t loc_id, const void* ref, H5R_type_t ref_type);
-
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- // Retrieves the type of object that an object reference points to.
- H5G_obj_t p_get_obj_type(void *ref, H5R_type_t ref_type) const;
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
- // Retrieves a dataspace with the region pointed to selected.
- hid_t p_get_region(void *ref, H5R_type_t ref_type) const;
-
#endif // DOXYGEN_SHOULD_SKIP_THIS
}; /* end class H5Object */
diff --git a/c++/src/H5PredType.h b/c++/src/H5PredType.h
index 9cb1c65..8a04f0c 100644
--- a/c++/src/H5PredType.h
+++ b/c++/src/H5PredType.h
@@ -36,7 +36,7 @@ namespace H5 {
class H5_DLLCPP PredType : public AtomType {
public:
- ///\brief Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("PredType"); }
// Makes a copy of the predefined type and stores the new
diff --git a/c++/src/H5PropList.h b/c++/src/H5PropList.h
index 5dfa538..7e47b32 100644
--- a/c++/src/H5PropList.h
+++ b/c++/src/H5PropList.h
@@ -94,7 +94,7 @@ class H5_DLLCPP PropList : public IdComponent {
void removeProp(const char *name) const;
void removeProp(const H5std_string& name) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("PropList"); }
// Default constructor: creates a stub PropList object.
diff --git a/c++/src/H5StrType.h b/c++/src/H5StrType.h
index d4a0016..be9fed8 100644
--- a/c++/src/H5StrType.h
+++ b/c++/src/H5StrType.h
@@ -47,7 +47,7 @@ class H5_DLLCPP StrType : public AtomType {
// Defines the storage mechanism for character strings.
void setStrpad(H5T_str_t strpad) const;
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("StrType"); }
// default constructor
diff --git a/c++/src/H5VarLenType.h b/c++/src/H5VarLenType.h
index 91f653e..0cee219 100644
--- a/c++/src/H5VarLenType.h
+++ b/c++/src/H5VarLenType.h
@@ -30,7 +30,7 @@ class H5_DLLCPP VarLenType : public DataType {
// on the specified base type.
VarLenType(const DataType* base_type);
- // Returns this class name
+ ///\brief Returns this class name.
virtual H5std_string fromClass () const { return("VarLenType"); }
// Copy constructor: makes copy of the original object.
diff --git a/c++/src/Makefile.am b/c++/src/Makefile.am
index 6278fa0..ec942fd 100644
--- a/c++/src/Makefile.am
+++ b/c++/src/Makefile.am
@@ -40,12 +40,12 @@ bin_SCRIPTS=h5c++
# Source files for the library
libhdf5_cpp_la_SOURCES=H5Exception.cpp H5IdComponent.cpp H5Library.cpp \
- H5Attribute.cpp H5Object.cpp H5PropList.cpp H5FaccProp.cpp \
- H5FcreatProp.cpp H5DcreatProp.cpp H5DxferProp.cpp H5DataType.cpp \
- H5DataSpace.cpp H5AbstractDs.cpp H5AtomType.cpp H5PredType.cpp \
- H5EnumType.cpp H5IntType.cpp H5FloatType.cpp H5StrType.cpp \
- H5ArrayType.cpp H5VarLenType.cpp H5CompType.cpp H5DataSet.cpp \
- H5CommonFG.cpp H5Group.cpp H5File.cpp
+ H5Attribute.cpp H5Location.cpp H5Object.cpp H5PropList.cpp \
+ H5FaccProp.cpp H5FcreatProp.cpp H5DcreatProp.cpp H5DxferProp.cpp \
+ H5DataType.cpp H5DataSpace.cpp H5AbstractDs.cpp H5AtomType.cpp \
+ H5PredType.cpp H5EnumType.cpp H5IntType.cpp H5FloatType.cpp \
+ H5StrType.cpp H5ArrayType.cpp H5VarLenType.cpp H5CompType.cpp \
+ H5DataSet.cpp H5CommonFG.cpp H5Group.cpp H5File.cpp
# HDF5 C++ library depends on HDF5 Library.
libhdf5_cpp_la_LIBADD=$(LIBHDF5)
@@ -55,8 +55,9 @@ include_HEADERS=H5Cpp.h H5AbstractDs.h H5AtomType.h H5Attribute.h H5Classes.h \
H5CommonFG.h H5CompType.h H5DataSet.h H5DataSpace.h H5DataType.h \
H5DcreatProp.h H5DxferProp.h H5EnumType.h H5Exception.h H5FaccProp.h \
H5FcreatProp.h H5File.h H5FloatType.h H5Group.h H5IdComponent.h \
- H5Include.h H5IntType.h H5Library.h H5Object.h H5PredType.h \
- H5PropList.h H5StrType.h H5CppDoc.h H5ArrayType.h H5VarLenType.h
+ H5Include.h H5IntType.h H5Library.h H5Location.h H5Object.h \
+ H5PredType.h H5PropList.h H5StrType.h H5CppDoc.h H5ArrayType.h \
+ H5VarLenType.h
# h5c++ and libhdf5.settings are generated during configure. Remove only when
# distclean.
diff --git a/c++/src/Makefile.in b/c++/src/Makefile.in
index 8382deb..7a4d3ee 100644
--- a/c++/src/Makefile.in
+++ b/c++/src/Makefile.in
@@ -120,12 +120,12 @@ am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)" \
LTLIBRARIES = $(lib_LTLIBRARIES)
libhdf5_cpp_la_DEPENDENCIES = $(LIBHDF5)
am_libhdf5_cpp_la_OBJECTS = H5Exception.lo H5IdComponent.lo \
- H5Library.lo H5Attribute.lo H5Object.lo H5PropList.lo \
- H5FaccProp.lo H5FcreatProp.lo H5DcreatProp.lo H5DxferProp.lo \
- H5DataType.lo H5DataSpace.lo H5AbstractDs.lo H5AtomType.lo \
- H5PredType.lo H5EnumType.lo H5IntType.lo H5FloatType.lo \
- H5StrType.lo H5ArrayType.lo H5VarLenType.lo H5CompType.lo \
- H5DataSet.lo H5CommonFG.lo H5Group.lo H5File.lo
+ H5Library.lo H5Attribute.lo H5Location.lo H5Object.lo \
+ H5PropList.lo H5FaccProp.lo H5FcreatProp.lo H5DcreatProp.lo \
+ H5DxferProp.lo H5DataType.lo H5DataSpace.lo H5AbstractDs.lo \
+ H5AtomType.lo H5PredType.lo H5EnumType.lo H5IntType.lo \
+ H5FloatType.lo H5StrType.lo H5ArrayType.lo H5VarLenType.lo \
+ H5CompType.lo H5DataSet.lo H5CommonFG.lo H5Group.lo H5File.lo
libhdf5_cpp_la_OBJECTS = $(am_libhdf5_cpp_la_OBJECTS)
AM_V_lt = $(am__v_lt_@AM_V@)
am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
@@ -467,7 +467,7 @@ CHECK_CLEANFILES = *.chkexe *.chklog *.clog
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
# Include src directory
@@ -482,12 +482,12 @@ bin_SCRIPTS = h5c++
# Source files for the library
libhdf5_cpp_la_SOURCES = H5Exception.cpp H5IdComponent.cpp H5Library.cpp \
- H5Attribute.cpp H5Object.cpp H5PropList.cpp H5FaccProp.cpp \
- H5FcreatProp.cpp H5DcreatProp.cpp H5DxferProp.cpp H5DataType.cpp \
- H5DataSpace.cpp H5AbstractDs.cpp H5AtomType.cpp H5PredType.cpp \
- H5EnumType.cpp H5IntType.cpp H5FloatType.cpp H5StrType.cpp \
- H5ArrayType.cpp H5VarLenType.cpp H5CompType.cpp H5DataSet.cpp \
- H5CommonFG.cpp H5Group.cpp H5File.cpp
+ H5Attribute.cpp H5Location.cpp H5Object.cpp H5PropList.cpp \
+ H5FaccProp.cpp H5FcreatProp.cpp H5DcreatProp.cpp H5DxferProp.cpp \
+ H5DataType.cpp H5DataSpace.cpp H5AbstractDs.cpp H5AtomType.cpp \
+ H5PredType.cpp H5EnumType.cpp H5IntType.cpp H5FloatType.cpp \
+ H5StrType.cpp H5ArrayType.cpp H5VarLenType.cpp H5CompType.cpp \
+ H5DataSet.cpp H5CommonFG.cpp H5Group.cpp H5File.cpp
# HDF5 C++ library depends on HDF5 Library.
@@ -498,8 +498,9 @@ include_HEADERS = H5Cpp.h H5AbstractDs.h H5AtomType.h H5Attribute.h H5Classes.h
H5CommonFG.h H5CompType.h H5DataSet.h H5DataSpace.h H5DataType.h \
H5DcreatProp.h H5DxferProp.h H5EnumType.h H5Exception.h H5FaccProp.h \
H5FcreatProp.h H5File.h H5FloatType.h H5Group.h H5IdComponent.h \
- H5Include.h H5IntType.h H5Library.h H5Object.h H5PredType.h \
- H5PropList.h H5StrType.h H5CppDoc.h H5ArrayType.h H5VarLenType.h
+ H5Include.h H5IntType.h H5Library.h H5Location.h H5Object.h \
+ H5PredType.h H5PropList.h H5StrType.h H5CppDoc.h H5ArrayType.h \
+ H5VarLenType.h
# h5c++ and libhdf5.settings are generated during configure. Remove only when
@@ -664,6 +665,7 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5IdComponent.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5IntType.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Library.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Location.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Object.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5PredType.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5PropList.Plo@am__quote@
diff --git a/c++/test/dsets.cpp b/c++/test/dsets.cpp
index 26abace..e822b36 100644
--- a/c++/test/dsets.cpp
+++ b/c++/test/dsets.cpp
@@ -61,7 +61,6 @@ const int H5Z_FILTER_BOGUS = 305;
static size_t filter_bogus(unsigned int flags, size_t cd_nelmts,
const unsigned int *cd_values, size_t nbytes, size_t *buf_size, void **buf);
-
/*-------------------------------------------------------------------------
* Function: test_create
*
@@ -179,7 +178,7 @@ test_create( H5File& file)
return -1;
}
} // test_create
-
+
/*-------------------------------------------------------------------------
* Function: test_simple_io
*
@@ -266,7 +265,7 @@ test_simple_io( H5File& file)
return -1;
}
} // test_simple_io
-
+
/*-------------------------------------------------------------------------
* Function: test_datasize
*
@@ -337,7 +336,7 @@ test_datasize()
return -1;
}
} // test_datasize
-
+
/*-------------------------------------------------------------------------
* Function: test_tconv
*
@@ -460,7 +459,6 @@ filter_bogus(unsigned int flags, size_t cd_nelmts,
return nbytes;
}
-
/*-------------------------------------------------------------------------
* Function: test_compression
*
@@ -830,7 +828,6 @@ test_multiopen (H5File& file)
}
} // test_multiopen
-
/*-------------------------------------------------------------------------
* Function: test_types
*
@@ -1019,7 +1016,7 @@ test_types(H5File& file)
return -1;
}
} // test_types
-
+
/*-------------------------------------------------------------------------
* Function: test_dset
*
diff --git a/c++/test/h5cpputil.cpp b/c++/test/h5cpputil.cpp
index 4485808..c6a7a2a 100644
--- a/c++/test/h5cpputil.cpp
+++ b/c++/test/h5cpputil.cpp
@@ -100,6 +100,7 @@ void issue_fail_msg(const char* where, int line, const char* file_name,
{
//if (GetTestVerbosity()>=VERBO_HI)
{
+ cerr << endl;
cerr << ">>> FAILED in " << where << " at line " << line
<< " in " << file_name << " - " << message << endl << endl;
}
diff --git a/c++/test/tattr.cpp b/c++/test/tattr.cpp
index 6bb9ca0..100e725 100644
--- a/c++/test/tattr.cpp
+++ b/c++/test/tattr.cpp
@@ -42,8 +42,13 @@
#include "h5cpputil.h" // C++ utilility header file
-const H5std_string FILENAME("tattr.h5");
-const H5std_string ATTR_TMP_NAME("temp_name");
+const H5std_string FILE_BASIC("tattr_basic.h5");
+const H5std_string FILE_COMPOUND("tattr_compound.h5");
+const H5std_string FILE_SCALAR("tattr_scalar.h5");
+const H5std_string FILE_MULTI("tattr_multi.h5");
+const H5std_string FILE_DTYPE("tattr_dtype.h5");
+const H5std_string ATTR_TMP_NAME("temp_attr_name");
+const H5std_string FATTR_TMP_NAME("temp_fattr_name");
const size_t ATTR_MAX_DIMS = 7;
/* 3-D dataset with fixed dimensions */
@@ -63,6 +68,10 @@ const int ATTR1_RANK = 1;
const int ATTR1_DIM1 = 3;
int attr_data1[ATTR1_DIM1]={512,-234,98123}; /* Test data for 1st attribute */
+// File attribute, using the same rank and dimensions as ATTR1_NAME's
+const H5std_string FATTR1_NAME("File Attr1");
+const H5std_string FATTR2_NAME("File Attr2");
+
const H5std_string ATTR2_NAME("Attr2");
const int ATTR2_RANK = 2;
const int ATTR2_DIM1 = 2;
@@ -121,7 +130,7 @@ static void test_attr_basic_write()
try {
// Create file
- H5File fid1 (FILENAME, H5F_ACC_TRUNC);
+ H5File fid1 (FILE_BASIC, H5F_ACC_TRUNC);
// Create dataspace for dataset
DataSpace ds_space (SPACE1_RANK, dims1);
@@ -136,6 +145,12 @@ static void test_attr_basic_write()
// Create dataspace for attribute
DataSpace att_space (ATTR1_RANK, dims2);
+ // Create a file attribute
+ Attribute file_attr2 = fid1.createAttribute (FATTR1_NAME, PredType::NATIVE_INT, att_space);
+
+ // Create a file attribute
+ Attribute file_attr1 = fid1.createAttribute (FATTR2_NAME, PredType::NATIVE_INT, att_space);
+
// Create an attribute for the dataset
Attribute ds_attr1 = dataset.createAttribute (ATTR1_NAME, PredType::NATIVE_INT, att_space);
@@ -163,8 +178,9 @@ static void test_attr_basic_write()
if(attr_data1[i]!=read_data1[i])
TestErrPrintf("%d: attribute data different: attr_data1[%d]=%d,read_data1[%d]=%d\n",__LINE__,i,attr_data1[i],i,read_data1[i]);
- // Create another attribute for this dataset
- Attribute ds_attr2 = dataset.createAttribute (ATTR1A_NAME, PredType::NATIVE_INT, att_space);
+ // Create two more attributes for this dataset, but only write to one.
+ Attribute ds_attr2 = dataset.createAttribute (ATTR2_NAME, PredType::NATIVE_INT, att_space);
+ Attribute ds_attr3 = dataset.createAttribute (ATTR3_NAME, PredType::NATIVE_INT, att_space);
// Write attribute information
ds_attr2.write (PredType::NATIVE_INT, attr_data1a);
@@ -180,6 +196,7 @@ static void test_attr_basic_write()
// Close both attributes
ds_attr1.close();
ds_attr2.close();
+ ds_attr3.close();
/*
* Test attribute with group
@@ -240,14 +257,32 @@ static void test_attr_rename()
try {
// Open file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ H5File fid1(FILE_BASIC, H5F_ACC_RDWR);
+
+ // Check rename of attribute belonging to a file
+
+ // Change attribute name
+ fid1.renameAttr(FATTR1_NAME, FATTR_TMP_NAME);
+
+ // Open attribute again
+ Attribute fattr1(fid1.openAttribute(FATTR_TMP_NAME));
+
+ // Verify new attribute name
+ H5std_string fattr_name = fattr1.getName();
+ verify_val(fattr_name, FATTR_TMP_NAME, "Attribute::getName", __LINE__, __FILE__);
+
+ int num_attrs = fid1.getNumAttrs();
+ verify_val(num_attrs, 2, "Attribute::getNumAttrs", __LINE__, __FILE__);
+
+ // Change first file attribute back to the original name
+ fid1.renameAttr(FATTR_TMP_NAME, FATTR1_NAME);
// Open the dataset
DataSet dataset = fid1.openDataSet(DSET1_NAME);
- // Check rename
+ // Check rename of attribute belonging to a dataset
- // change attribute name
+ // Change attribute name
dataset.renameAttr(ATTR1_NAME, ATTR_TMP_NAME);
// Open attribute again
@@ -269,11 +304,11 @@ static void test_attr_rename()
attr1.close();
// Open the second attribute
- Attribute attr2(dataset.openAttribute(ATTR1A_NAME));
+ Attribute attr2(dataset.openAttribute(ATTR2_NAME));
// Verify second attribute name
H5std_string attr2_name = attr2.getName();
- verify_val(attr2_name, ATTR1A_NAME, "Attribute::getName", __LINE__, __FILE__);
+ verify_val(attr2_name, ATTR2_NAME, "Attribute::getName", __LINE__, __FILE__);
// Read attribute information immediately, without closing attribute
attr2.read (PredType::NATIVE_INT, read_data1);
@@ -311,14 +346,14 @@ static void test_attr_basic_read()
try {
// Open file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ H5File fid1(FILE_BASIC, H5F_ACC_RDWR);
// Open the dataset
DataSet dataset = fid1.openDataSet(DSET1_NAME);
// Verify the correct number of attributes
int num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 2, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 3, "DataSet::getNumAttrs", __LINE__, __FILE__);
// Open an attribute for the dataset
Attribute ds_attr=dataset.openAttribute(ATTR1_NAME);
@@ -378,7 +413,7 @@ static void test_attr_compound_write()
try {
// Create file
- H5File fid1(FILENAME.c_str(), H5F_ACC_TRUNC);
+ H5File fid1(FILE_COMPOUND.c_str(), H5F_ACC_TRUNC);
// Create dataspace for dataset
hsize_t dims1[] = {SPACE1_DIM1, SPACE1_DIM2, SPACE1_DIM3};
@@ -442,14 +477,14 @@ static void test_attr_compound_read()
try {
// Open file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ H5File fid1(FILE_COMPOUND, H5F_ACC_RDWR);
// Open the dataset
DataSet dataset = fid1.openDataSet(DSET1_NAME);
// Verify the correct number of attributes
int num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 1, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 1, "DataSet::getNumAttrs", __LINE__, __FILE__);
// Open 1st attribute for the dataset
Attribute attr = dataset.openAttribute((unsigned)0);
@@ -571,7 +606,7 @@ static void test_attr_scalar_write()
try {
// Create file
- H5File fid1(FILENAME, H5F_ACC_TRUNC);
+ H5File fid1(FILE_SCALAR, H5F_ACC_TRUNC);
// Create dataspace for dataset
hsize_t dims1[] = {SPACE1_DIM1, SPACE1_DIM2, SPACE1_DIM3};
@@ -625,14 +660,14 @@ static void test_attr_scalar_read()
try {
// Open file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ H5File fid1(FILE_SCALAR, H5F_ACC_RDWR);
// Open the dataset
DataSet dataset = fid1.openDataSet(DSET1_NAME);
// Verify the correct number of attributes
int num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 1, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 1, "DataSet::getNumAttrs", __LINE__, __FILE__);
// Open an attribute for the dataset
Attribute ds_attr=dataset.openAttribute(ATTR5_NAME);
@@ -669,7 +704,7 @@ static void test_attr_mult_write()
try {
// Create file
- H5File fid1 (FILENAME, H5F_ACC_TRUNC);
+ H5File fid1 (FILE_MULTI, H5F_ACC_TRUNC);
// Create dataspace for dataset
hsize_t dims1[] = {SPACE1_DIM1, SPACE1_DIM2, SPACE1_DIM3};
@@ -746,14 +781,14 @@ static void test_attr_mult_read()
try {
// Open file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ H5File fid1(FILE_MULTI, H5F_ACC_RDWR);
// Open the dataset
DataSet dataset = fid1.openDataSet(DSET1_NAME);
// Verify the correct number of attributes
int num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 3, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 3, "DataSet::getNumAttrs", __LINE__, __FILE__);
// Open 1st attribute for the dataset
Attribute attr = dataset.openAttribute((unsigned)0);
@@ -929,22 +964,42 @@ static void test_attr_mult_read()
static void test_attr_delete()
{
H5std_string attr_name; // Buffer for attribute names
+ int ii;
- // Output message about test being performed
+ // Output message about test being performed
SUBTEST("Removing Attribute Function");
try {
- // Open file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ // Open file.
+ H5File fid1(FILE_BASIC, H5F_ACC_RDWR);
+
+ // Get the number of file attributes
+ int num_attrs = fid1.getNumAttrs();
+ verify_val(num_attrs, 2, "H5File::getNumAttrs", __LINE__, __FILE__);
+
+ // Delete the second file attribute
+ fid1.removeAttr(FATTR2_NAME);
+
+ // Get the number of file attributes
+ num_attrs = fid1.getNumAttrs();
+ verify_val(num_attrs, 1, "H5File::getNumAttrs", __LINE__, __FILE__);
+
+ // Verify the name of the only file attribute left
+ Attribute fattr = fid1.openAttribute((unsigned)0);
+ H5std_string attr_name = fattr.getName();
+ verify_val(attr_name, FATTR1_NAME, "Attribute::getName", __LINE__, __FILE__);
+ fattr.close();
+
+ // Test deleting non-existing attribute
// Open the dataset
DataSet dataset = fid1.openDataSet(DSET1_NAME);
// Verify the correct number of attributes
- int num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 3, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ num_attrs = dataset.getNumAttrs();
+ verify_val(num_attrs, 3, "DataSet::getNumAttrs", __LINE__, __FILE__);
- // Try to delete bogus attribute, should fail.
+ // Try to delete bogus attribute, should fail
try {
dataset.removeAttr("Bogus");
@@ -954,16 +1009,18 @@ static void test_attr_delete()
catch (AttributeIException E) // catching invalid removing attribute
{} // do nothing, exception expected
+ // Test deleting dataset's attributes
+
// Verify the correct number of attributes
num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 3, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 3, "DataSet::getNumAttrs", __LINE__, __FILE__);
// Delete middle (2nd) attribute
dataset.removeAttr(ATTR2_NAME);
// Verify the correct number of attributes
num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 2, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 2, "DataSet::getNumAttrs", __LINE__, __FILE__);
// Open 1st attribute for the dataset
Attribute attr = dataset.openAttribute((unsigned)0);
@@ -989,9 +1046,9 @@ static void test_attr_delete()
// Verify the correct number of attributes
num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 1, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 1, "DataSet::getNumAttrs", __LINE__, __FILE__);
- // Open last (formally 3rd) attribute for the dataset
+ // Open the only attribute for the dataset (formally 3rd)
attr = dataset.openAttribute((unsigned)0);
// Verify Name
@@ -1005,7 +1062,7 @@ static void test_attr_delete()
// Verify the correct number of attributes
num_attrs = dataset.getNumAttrs();
- verify_val(num_attrs, 0, "H5Object::getNumAttrs", __LINE__, __FILE__);
+ verify_val(num_attrs, 0, "DataSet::getNumAttrs", __LINE__, __FILE__);
PASSED();
} // end try block
@@ -1035,19 +1092,19 @@ static void test_attr_dtype_shared()
try {
// Create a file
- H5File fid1(FILENAME, H5F_ACC_TRUNC);
+ H5File fid1(FILE_DTYPE, H5F_ACC_TRUNC);
// Close file
fid1.close();
// Get size of file
h5_stat_size_t empty_filesize; // Size of empty file
- empty_filesize = h5_get_file_size(FILENAME.c_str(), H5P_DEFAULT);
+ empty_filesize = h5_get_file_size(FILE_DTYPE.c_str(), H5P_DEFAULT);
if (empty_filesize < 0)
TestErrPrintf("Line %d: file size wrong!\n", __LINE__);
// Open the file again
- fid1.openFile(FILENAME, H5F_ACC_RDWR);
+ fid1.openFile(FILE_DTYPE, H5F_ACC_RDWR);
// Enclosing to work around the issue of unused variables and/or
// objects created by copy constructors stay around until end of
@@ -1120,7 +1177,7 @@ static void test_attr_dtype_shared()
fid1.close();
// Open the file again
- fid1.openFile(FILENAME, H5F_ACC_RDWR);
+ fid1.openFile(FILE_DTYPE, H5F_ACC_RDWR);
{ // Second enclosed block...
@@ -1161,7 +1218,7 @@ static void test_attr_dtype_shared()
fid1.close();
// Check size of file
- filesize = h5_get_file_size(FILENAME.c_str(), H5P_DEFAULT);
+ filesize = h5_get_file_size(FILE_DTYPE.c_str(), H5P_DEFAULT);
verify_val((long)filesize, (long)empty_filesize, "Checking file size", __LINE__, __FILE__);
PASSED();
@@ -1192,7 +1249,7 @@ static void test_string_attr()
try {
// Create file
- H5File fid1(FILENAME, H5F_ACC_RDWR);
+ H5File fid1(FILE_BASIC, H5F_ACC_RDWR);
//
// Fixed-lenth string attributes
@@ -1349,6 +1406,6 @@ extern "C"
#endif
void cleanup_attr()
{
- HDremove(FILENAME.c_str());
+ //HDremove(FILENAME.c_str());
}
diff --git a/c++/test/tfile.cpp b/c++/test/tfile.cpp
index df01752..ba38d7a 100644
--- a/c++/test/tfile.cpp
+++ b/c++/test/tfile.cpp
@@ -333,6 +333,7 @@ static void test_file_open()
tmpl1.getSymk( iparm1, iparm2);
verify_val(iparm1, F2_SYM_INTERN_K, "FileCreatPropList::getSymk", __LINE__, __FILE__);
verify_val(iparm2, F2_SYM_LEAF_K, "FileCreatPropList::getSymk", __LINE__, __FILE__);
+
PASSED();
} // end of try block
@@ -381,8 +382,16 @@ static void test_file_size()
hsize_t file_size = file4.getFileSize();
// Check if file size is reasonable. It's supposed to be 2KB now.
- if(file_size<1*KB || file_size>4*KB)
- issue_fail_msg("test_file_size()", __LINE__, __FILE__);
+ if (file_size < 1*KB || file_size > 4*KB)
+ issue_fail_msg("test_file_size()", __LINE__, __FILE__, "getFileSize() returned unreasonable value");
+
+ // Get the amount of free space in the file
+ hssize_t free_space = file4.getFreeSpace();
+
+ // Check if it's reasonable. It's 0 now.
+ if (free_space < 0 || free_space > 4*KB)
+ issue_fail_msg("test_file_size()", __LINE__, __FILE__, "getFreeSpace returned unreasonable value");
+
PASSED();
} // end of try block
@@ -415,7 +424,8 @@ const int NX = 4;
const int NY = 5;
const H5std_string GROUPNAME ("group");
const H5std_string DSETNAME ("dataset");
-const H5std_string ATTRNAME ("attribute");
+const H5std_string DATTRNAME ("dataset attribute");
+const H5std_string FATTRNAME ("file attribute");
const H5std_string DTYPENAME ("compound");
// Compound datatype
@@ -431,17 +441,17 @@ static void test_file_name()
H5std_string file_name;
try {
- // Create a file using default properties.
+ // Create a file using default properties
H5File file4(FILE4, H5F_ACC_TRUNC);
- // Get file name from the file instance.
+ // Get file name from the file instance
file_name = file4.getFileName();
verify_val(file_name, FILE4, "H5File::getFileName", __LINE__, __FILE__);
// Create a group in the root group
Group group(file4.createGroup(GROUPNAME, 0));
- // Get and verify file name
+ // Get and verify file name via a group
file_name = group.getFileName();
verify_val(file_name, FILE4, "Group::getFileName", __LINE__, __FILE__);
@@ -452,12 +462,12 @@ static void test_file_name()
// Create a new dataset
DataSet dataset(file4.createDataSet (DSETNAME, PredType::NATIVE_INT, space));
- // Get and verify file name
+ // Get and verify file name via a dataset
file_name = dataset.getFileName();
verify_val(file_name, FILE4, "DataSet::getFileName", __LINE__, __FILE__);
// Create an attribute for the dataset
- Attribute attr(dataset.createAttribute(ATTRNAME, PredType::NATIVE_INT, space));
+ Attribute attr(dataset.createAttribute(DATTRNAME, PredType::NATIVE_INT, space));
// Get and verify file name
file_name = attr.getFileName();
@@ -486,6 +496,116 @@ static void test_file_name()
} // test_file_name()
+#define NUM_OBJS 4
+#define NUM_ATTRS 3
+const int RANK1 = 1;
+const int ATTR1_DIM1 = 3;
+const H5std_string FILE5("tfattrs.h5");
+const H5std_string FATTR1_NAME ("file attribute 1");
+const H5std_string FATTR2_NAME ("file attribute 2");
+int fattr_data[ATTR1_DIM1]={512,-234,98123}; /* Test data for file attribute */
+int dattr_data[ATTR1_DIM1]={256,-123,1000}; /* Test data for dataset attribute */
+static void test_file_attribute()
+{
+ int rdata[ATTR1_DIM1];
+ int i;
+
+ // Output message about test being performed
+ SUBTEST("File Attribute");
+
+ H5std_string file_name;
+ try {
+ // Create a file using default properties.
+ H5File file5(FILE5, H5F_ACC_TRUNC);
+
+ // Create the data space
+ hsize_t dims[RANK1] = {ATTR1_DIM1};
+ DataSpace space(RANK1, dims);
+
+ // Create two attributes for the file
+ Attribute fattr1(file5.createAttribute(FATTR1_NAME, PredType::NATIVE_FLOAT, space));
+ Attribute fattr2(file5.createAttribute(FATTR2_NAME, PredType::NATIVE_INT, space));
+
+ fattr2.write(PredType::NATIVE_INT, fattr_data);
+
+ try {
+ // Try to create the same attribute again (should fail)
+ Attribute fattr_dup(file5.createAttribute(FATTR2_NAME, PredType::NATIVE_INT, space));
+ // Should FAIL but didn't, so throw an invalid action exception
+ throw InvalidActionException("H5File createAttribute", "Attempted to create an existing attribute.");
+ }
+ catch( AttributeIException E ) // catch creating existing attribute
+ {} // do nothing, FAIL expected
+
+ // Create a new dataset
+ DataSet dataset(file5.createDataSet (DSETNAME, PredType::NATIVE_INT, space));
+
+ // Create an attribute for the dataset
+ Attribute dattr(dataset.createAttribute(DATTRNAME, PredType::NATIVE_INT, space));
+
+ // Write data to the second file attribute
+ dattr.write(PredType::NATIVE_INT, dattr_data);
+
+ // Test flushing out the data from the attribute object
+ dattr.flush(H5F_SCOPE_GLOBAL);
+
+ // Get and verify the number of all objects in the file
+ // Current: 1 file, 2 file attr, 1 ds, and 1 ds attr.
+ ssize_t num_objs = file5.getObjCount(H5F_OBJ_ALL);
+ verify_val(num_objs, 5, "H5File::getObjCount", __LINE__, __FILE__);
+
+ num_objs = file5.getObjCount(H5F_OBJ_GROUP);
+ verify_val(num_objs, 0, "H5File::getObjCount(H5F_OBJ_GROUP)", __LINE__, __FILE__);
+ num_objs = file5.getObjCount(H5F_OBJ_DATASET);
+ verify_val(num_objs, 1, "H5File::getObjCount(H5F_OBJ_DATASET)", __LINE__, __FILE__);
+ num_objs = file5.getObjCount(H5F_OBJ_ATTR);
+ verify_val(num_objs, 3, "H5File::getObjCount(H5F_OBJ_ATTR)", __LINE__, __FILE__);
+ num_objs = file5.getObjCount(H5F_OBJ_DATATYPE);
+ verify_val(num_objs, 0, "H5File::getObjCount(H5F_OBJ_DATATYPE)", __LINE__, __FILE__);
+ num_objs = file5.getObjCount(H5F_OBJ_FILE);
+ verify_val(num_objs, 1, "H5File::getObjCount(H5F_OBJ_FILE)", __LINE__, __FILE__);
+
+ // Get the file name using the attributes
+ H5std_string fname = fattr1.getFileName();
+ verify_val(fname, FILE5, "H5File::getFileName()", __LINE__, __FILE__);
+
+ fname.clear();
+ fname = dattr.getFileName();
+ verify_val(fname, FILE5, "H5File::getFileName()", __LINE__, __FILE__);
+
+ // Get the class of a file attribute's datatype
+ H5T_class_t atclass = fattr1.getTypeClass();
+ verify_val(atclass, H5T_FLOAT, "Attribute::getTypeClass()", __LINE__, __FILE__);
+
+ // Get and verify the number of attributes attached to a file
+ int n_attrs = file5.getNumAttrs();
+ verify_val(n_attrs, 2, "H5File::getNumAttrs()", __LINE__, __FILE__);
+
+ // Get and verify the number of attributes attached to a dataset
+ n_attrs = 0;
+ n_attrs = dataset.getNumAttrs();
+ verify_val(n_attrs, 1, "DataSet::getNumAttrs()", __LINE__, __FILE__);
+
+ // Read back attribute's data
+ HDmemset(rdata, 0, sizeof(rdata));
+ dattr.read(PredType::NATIVE_INT, rdata);
+ /* Check results */
+ for (i = 0; i < ATTR1_DIM1; i++) {
+ if (rdata[i] != dattr_data[i]) {
+ H5_FAILED();
+ cerr << endl;
+ cerr << "element [" << i << "] is " << rdata[i] <<
+ "but should have been " << dattr_data[i] << endl;
+ }
+ }
+ PASSED();
+ } // end of try block
+
+ catch (Exception E) {
+ issue_fail_msg("test_file_name()", __LINE__, __FILE__, E.getCDetailMsg());
+ }
+} // test_file_attribute()
+
/*-------------------------------------------------------------------------
* Function: test_file
*
@@ -513,6 +633,7 @@ void test_file()
test_file_open(); // Test file opening
test_file_size(); // Test file size
test_file_name(); // Test getting file's name
+ test_file_attribute(); // Test file attribute feature
} // test_file()
diff --git a/c++/test/th5s.cpp b/c++/test/th5s.cpp
index 221a61b..32c40d5 100644
--- a/c++/test/th5s.cpp
+++ b/c++/test/th5s.cpp
@@ -188,7 +188,7 @@ static void test_h5s_basic()
* If this test fails and the H5S_MAX_RANK variable has changed, follow
* the instructions in space_overflow.c for regenating the th5s.h5 file.
*/
- const char *testfile = H5_get_srcdir_filename(TESTFILE.c_str());
+ const char *testfile = H5_get_srcdir_filename(TESTFILE.c_str());
// Create file
H5File fid1(testfile, H5F_ACC_RDONLY);
diff --git a/c++/test/trefer.cpp b/c++/test/trefer.cpp
index 7f63d33..4eb5b21 100644
--- a/c++/test/trefer.cpp
+++ b/c++/test/trefer.cpp
@@ -149,34 +149,25 @@ static void test_reference_obj(void)
// Create a dataset
dataset = file1->createDataSet("Dataset3", PredType::STD_REF_OBJ, sid1);
- // Create reference to dataset
+ // Create reference to dataset and test getRefObjType
file1->reference(&wbuf[0], "/Group1/Dataset1");
+ H5O_type_t refobj_type = dataset.getRefObjType(&wbuf[0], H5R_OBJECT);
+ verify_val(refobj_type, H5O_TYPE_DATASET, "DataSet::getRefObjType", __LINE__, __FILE__);
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- H5G_obj_t obj_type = dataset.getObjType(&wbuf[0], H5R_OBJECT);
- verify_val(obj_type, H5G_DATASET, "DataSet::getObjType", __LINE__, __FILE__);
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
-
- // Create reference to dataset
+ // Create reference to dataset and test getRefObjType
file1->reference(&wbuf[1], "/Group1/Dataset2");
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- obj_type = dataset.getObjType(&wbuf[1], H5R_OBJECT);
- verify_val(obj_type, H5G_DATASET, "DataSet::getObjType", __LINE__, __FILE__);
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
+ refobj_type = dataset.getRefObjType(&wbuf[1], H5R_OBJECT);
+ verify_val(refobj_type, H5O_TYPE_DATASET, "DataSet::getRefObjType", __LINE__, __FILE__);
// Create reference to group
file1->reference(&wbuf[2], "/Group1");
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- obj_type = dataset.getObjType(&wbuf[2], H5R_OBJECT);
- verify_val(obj_type, H5G_GROUP, "DataSet::getObjType", __LINE__, __FILE__);
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
+ refobj_type = dataset.getRefObjType(&wbuf[2], H5R_OBJECT);
+ verify_val(refobj_type, H5O_TYPE_GROUP, "DataSet::getRefObjType", __LINE__, __FILE__);
// Create reference to named datatype
file1->reference(&wbuf[3], "/Group1/Datatype1");
-#ifndef H5_NO_DEPRECATED_SYMBOLS
- obj_type = dataset.getObjType(&wbuf[3], H5R_OBJECT);
- verify_val(obj_type, H5G_TYPE, "DataSet::getObjType", __LINE__, __FILE__);
-#endif /* H5_NO_DEPRECATED_SYMBOLS */
+ refobj_type = dataset.getRefObjType(&wbuf[3], H5R_OBJECT);
+ verify_val(refobj_type, H5O_TYPE_NAMED_DATATYPE, "DataSet::getRefObjType", __LINE__, __FILE__);
// Write selection to disk
dataset.write(wbuf, PredType::STD_REF_OBJ);
@@ -253,7 +244,7 @@ static void test_reference_obj(void)
// Test getting the type of objects
// Test getObjTypeByIdx(hsize_t idx)
- obj_type = group.getObjTypeByIdx(0);
+ H5G_obj_t obj_type = group.getObjTypeByIdx(0);
verify_val(obj_type, H5G_DATASET, "Group::getObjTypeByIdx(index)", __LINE__, __FILE__);
// Test getObjTypeByIdx(hsize_t idx, char* type_name)
@@ -333,6 +324,18 @@ static void test_reference_obj(void)
/****************************************************************
**
+** test_reference_compat(): Test basic object reference functionality.
+** Tests references to various kinds of objects using deprecated API.
+**
+****************************************************************/
+static void test_reference_compat(void)
+{
+ // Not yet
+} // test_reference_compat()
+
+
+/****************************************************************
+**
** test_reference(): Main reference testing routine.
**
****************************************************************/
@@ -346,6 +349,7 @@ void test_reference(void)
MESSAGE(5, ("Testing References\n"));
test_reference_obj(); // Test basic object reference functionality
+ test_reference_compat(); // Tests deprecated reference routines (not yet)
} // test_reference()
diff --git a/config/apple b/config/apple
index a2d6ae4..34085e0 100644
--- a/config/apple
+++ b/config/apple
@@ -28,6 +28,22 @@ fi
# Figure out compiler flags
. $srcdir/config/gnu-flags
+# temp patch: if GCC 4.2.1 is used in Lion or Mountain Lion systems, do not
+# use -O option as it causes failures in test/dt_arith.
+#echo host_os=$host_os
+case "$host_os" in
+ darwin1[12].*) # lion & mountain lion
+ #echo cc_vendor=$cc_vendor'-'cc_version=$cc_version
+ case "$cc_vendor-$cc_version" in
+ gcc-4.2.1)
+ # Remove any -O flags
+ #echo PROD_CFLAGS=$PROD_CFLAGS
+ PROD_CFLAGS="`echo $PROD_CFLAGS | sed -e 's/-O[0-3]*//'`"
+ #echo new PROD_CFLAGS=$PROD_CFLAGS
+ ;;
+ esac
+ ;;
+esac
. $srcdir/config/intel-flags
if test "X-" = "X-$FC"; then
diff --git a/config/cmake/ConfigureChecks.cmake b/config/cmake/ConfigureChecks.cmake
index 0940418..4f4f759 100644
--- a/config/cmake/ConfigureChecks.cmake
+++ b/config/cmake/ConfigureChecks.cmake
@@ -413,6 +413,7 @@ CHECK_INCLUDE_FILE_CONCAT ("netinet/in.h" H5_HAVE_NETINET_IN_H)
# The linux-lfs option is deprecated.
SET (LINUX_LFS 0)
+SET (HDF5_EXTRA_C_FLAGS)
SET (HDF5_EXTRA_FLAGS)
IF (NOT WINDOWS)
# Linux Specific flags
@@ -422,7 +423,9 @@ IF (NOT WINDOWS)
# correctly.
# POSIX feature information can be found in the gcc manual at:
# http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
- SET (HDF5_EXTRA_FLAGS -D_POSIX_C_SOURCE=199506L -D_BSD_SOURCE)
+ SET (HDF5_EXTRA_C_FLAGS -D_POSIX_C_SOURCE=199506L)
+ SET (HDF5_EXTRA_FLAGS -D_BSD_SOURCE)
+
OPTION (HDF5_ENABLE_LARGE_FILE "Enable support for large (64-bit) files on Linux." ON)
IF (HDF5_ENABLE_LARGE_FILE)
SET (msg "Performing TEST_LFS_WORKS")
diff --git a/config/ibm-aix b/config/ibm-aix
index c8b1fc3..28498e2 100644
--- a/config/ibm-aix
+++ b/config/ibm-aix
@@ -56,12 +56,12 @@ fi
# to ensure the flag is present for both configure as well as for the build.
if test "X-" = "X-$f9x_flags_set"; then
F9XSUFFIXFLAG="-qsuffix=f=f90"
- FCFLAGS="$FCFLAGS -O ${F9XSUFFIXFLAG}"
- H5_FCFLAGS="$H5_FCFLAGS -O ${F9XSUFFIXFLAG}"
+ FCFLAGS="$FCFLAGS ${F9XSUFFIXFLAG}"
+ H5_FCFLAGS="$H5_FCFLAGS ${F9XSUFFIXFLAG}"
FSEARCH_DIRS="-I./ -I../src"
- DEBUG_FCFLAGS="-O"
+ DEBUG_FCFLAGS="-g"
PROD_FCFLAGS="-O"
- PROFILE_FCFLAGS="-O"
+ PROFILE_FCFLAGS="-g -pg"
f9x_flags_set=yes
fi
diff --git a/config/lt_vers.am b/config/lt_vers.am
index 04f29db..53af6ed 100644
--- a/config/lt_vers.am
+++ b/config/lt_vers.am
@@ -17,7 +17,7 @@
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
## If the API changes *at all*, increment LT_VERS_INTERFACE and
diff --git a/configure b/configure
index 60474ef..1180d09 100755
--- a/configure
+++ b/configure
@@ -1,7 +1,7 @@
#! /bin/sh
# From configure.ac Id: configure.ac 22697 2012-08-19 14:35:47Z hdftest .
# Guess values for system-dependent variables and create Makefiles.
-# Generated by GNU Autoconf 2.69 for HDF5 1.9.128.
+# Generated by GNU Autoconf 2.69 for HDF5 1.9.132.
#
# Report bugs to <help@hdfgroup.org>.
#
@@ -591,8 +591,8 @@ MAKEFLAGS=
# Identity of this package.
PACKAGE_NAME='HDF5'
PACKAGE_TARNAME='hdf5'
-PACKAGE_VERSION='1.9.128'
-PACKAGE_STRING='HDF5 1.9.128'
+PACKAGE_VERSION='1.9.132'
+PACKAGE_STRING='HDF5 1.9.132'
PACKAGE_BUGREPORT='help@hdfgroup.org'
PACKAGE_URL=''
@@ -1484,7 +1484,7 @@ if test "$ac_init_help" = "long"; then
# Omit some internal or obsolete options to make the list less imposing.
# This message is too long to be a string in the A/UX 3.1 sh.
cat <<_ACEOF
-\`configure' configures HDF5 1.9.128 to adapt to many kinds of systems.
+\`configure' configures HDF5 1.9.132 to adapt to many kinds of systems.
Usage: $0 [OPTION]... [VAR=VALUE]...
@@ -1554,7 +1554,7 @@ fi
if test -n "$ac_init_help"; then
case $ac_init_help in
- short | recursive ) echo "Configuration of HDF5 1.9.128:";;
+ short | recursive ) echo "Configuration of HDF5 1.9.132:";;
esac
cat <<\_ACEOF
@@ -1750,7 +1750,7 @@ fi
test -n "$ac_init_help" && exit $ac_status
if $ac_init_version; then
cat <<\_ACEOF
-HDF5 configure 1.9.128
+HDF5 configure 1.9.132
generated by GNU Autoconf 2.69
Copyright (C) 2012 Free Software Foundation, Inc.
@@ -2844,7 +2844,7 @@ cat >config.log <<_ACEOF
This file contains any messages produced by compilers while
running configure, to aid debugging if configure makes a mistake.
-It was created by HDF5 $as_me 1.9.128, which was
+It was created by HDF5 $as_me 1.9.132, which was
generated by GNU Autoconf 2.69. Invocation command line was
$ $0 $@
@@ -3227,6 +3227,8 @@ ac_configure="$SHELL $ac_aux_dir/configure" # Please don't use this var.
+## AM_INIT_AUTOMAKE takes a list of options that should be applied to
+## every Makefile.am when automake is run.
am__api_version='1.12'
# Find a good install program. We prefer a C program (faster),
@@ -3674,7 +3676,7 @@ fi
# Define the identity of the package.
PACKAGE='hdf5'
- VERSION='1.9.128'
+ VERSION='1.9.132'
cat >>confdefs.h <<_ACEOF
@@ -3760,6 +3762,16 @@ fi
AM_BACKSLASH='\'
+## AM_MAINTAINER_MODE turns off "rebuild rules" that contain dependencies
+## for Makefiles, configure, src/H5config.h, etc. If AM_MAINTAINER_MODE
+## is *not* included here, these files will be rebuilt if out of date.
+## This is a problem because if users try to build on a machine with
+## the wrong versions of autoconf and automake, these files will be
+## rebuilt with the wrong versions and bad things can happen.
+## Also, CVS doesn't preserve dependencies between timestamps, so
+## Makefiles will often think rebuilding needs to occur when it doesn't.
+## Developers should './configure --enable-maintainer-mode' to turn on
+## rebuild rules.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to enable maintainer-specific portions of Makefiles" >&5
$as_echo_n "checking whether to enable maintainer-specific portions of Makefiles... " >&6; }
@@ -3784,9 +3796,26 @@ fi
-ac_config_commands="$ac_config_commands default-1"
+## ----------------------------------------------------------------------
+## Set prefix default (install directory) to a directory in the build area.
+## This allows multiple src-dir builds within one host.
+## Run post processing on files created by configure.
+## src/H5pubconf.h:
+## Generate src/H5pubconf.h from src/H5config.h by prepending H5_ to all
+## macro names. This avoid name conflict between HDF5 macro names and those
+## generated by another software package that uses the HDF5 library.
+## src/libhdf5.settings:
+## Remove all lines begun with "#" which are generated by CONDITIONAL's of
+## configure.
+ac_config_commands="$ac_config_commands pubconf"
+
+
+## It's possible to configure for a host other than the one on which
+## configure is currently running by using the --host=foo flag.
+## For machines on which HDF5 is often configured, it can be convenient
+## to specify the name of the machine rather than its canonical type.
case $host_alias in
redstorm)
host_alias=x86_64-redstorm-linux-gnu
@@ -3866,18 +3895,23 @@ case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
+## H5_CFLAGS (and company) are for CFLAGS that should be used on HDF5, but
+## not exported to h5cc (or h5fc, etc.)
+## AM_CFLAGS (and company) are for CFLAGS that should be used on HDF5,
+## and WILL be exported to h5cc (or h5fc, etc) if set by configure.
+## Make sure flags are set to something (otherwise macros may set them later).
AM_CFLAGS="${AM_CFLAGS}"
AM_CXXFLAGS="${AM_CXXFLAGS}"
AM_FCFLAGS="${AM_FCFLAGS}"
@@ -3889,26 +3923,57 @@ FCFLAGS="${FCFLAGS}"
CPPFLAGS="${CPPFLAGS}"
LDFLAGS="${LDFLAGS}"
+## Configure may need to alter any of the *FLAGS variables in order for
+## various checks to work correctly. Save the user's value here so it
+## can be restored once all configure checks are complete.
saved_user_CFLAGS="$CFLAGS"
saved_user_CXXFLAGS="$CXXFLAGS"
saved_user_FCFLAGS="$FCFLAGS"
saved_user_LDFLAGS="$LDFLAGS"
saved_user_CPPFLAGS="$CPPFLAGS"
+## Different compilers may need default libraries. They are specified in
+## the config/* files, so we put this statement here so that it'll be
+## set by the code which follows...
+##
DEFAULT_LIBS=""
+## Support F9X variable to define Fortran compiler if FC variable is
+## not used. This should be deprecated in the future.
if test "x" = "x$FC"; then
FC=${F9X}
fi
-
-
+## ----------------------------------------------------------------------
+## Dump all shell variables values.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking shell variables initial values" >&5
$as_echo_n "checking shell variables initial values... " >&6; }
set >&5
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: done" >&5
$as_echo "done" >&6; }
+## Define all symbol variables used for configure summary.
+## EXTERNAL_FILTERS equals all external filters. Default none.
+## MPE: whether MPE option is enabled. Default no.
+## STATIC_EXEC: whether static-exec is enabled. Default no.
+## HDF_FORTRAN: whether Fortran is enabled. Default no.
+## HDF_FORTRAN2003: whether Fortran 2003 is enabled. Default no.
+## FC: Fortran compiler.
+## HDF_CXX: whether C++ is enabled. Default no.
+## CXX: C++ compiler.
+## HDF5_HL: whether high-level library is enabled. Default is yes.
+## GPFS: whether gpfs is enabled. Default no.
+## LARGEFILE: whether largefile support is enabled. Default yes.
+## INSTRUMENT: whether INSTRUMENT is enabled. No default set here.
+## CODESTACK: whether CODESTACK is enabled. Default no.
+## HAVE_DMALLOC: whether system has dmalloc support. Default no.
+## DIRECT_VFD: whether DIRECT_VFD is enabled. Default no.
+## THREADSAFE: whether THREADSAFE is enabled. Default no.
+## STATIC_SHARED: whether static and/or shared libraries are requested.
+## enable_shared: whether shared lib is enabled.
+## enable_static: whether static lib is enabled.
+## UNAME_INFO: System information.
MPE=no
@@ -3932,7 +3997,17 @@ $as_echo "done" >&6; }
UNAME_INFO=`uname -a`
+## ----------------------------------------------------------------------
+## Some platforms have broken basename, and/or xargs programs. Check
+## that it actually does what it's supposed to do. Catch this early
+## since configure relies upon them heavily and there's no use continuing
+## if it's broken.
+##
+## Avoid depending upon Character Ranges.
+## These are defined by autoconf.
+## as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+## as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if basename works" >&5
$as_echo_n "checking if basename works... " >&6; }
@@ -3954,6 +4029,10 @@ else
$as_echo "yes" >&6; }
fi
+## ----------------------------------------------------------------------
+## Check that the cache file was build on the same host as what we're
+## running on now.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for cached host" >&5
$as_echo_n "checking for cached host... " >&6; }
if ${hdf5_cv_host+:} false; then :
@@ -3971,6 +4050,22 @@ elif test $hdf5_cv_host != $host; then
as_fn_error $? "config.cache file is invalid" "$LINENO" 5
fi
+## ----------------------------------------------------------------------
+## Source any special files that we need. These files normally aren't
+## present but can be used by the maintainers to fine tune things like
+## turning on debug or profiling flags for the compiler. The search order
+## is:
+##
+## CPU-VENDOR-OS
+## VENDOR-OS
+## CPU-OS
+## CPU-VENDOR
+## OS
+## VENDOR
+## CPU
+##
+## If the `OS' ends with a version number then remove it. For instance,
+## `freebsd3.1' would become `freebsd'
case $host_os in
aix*)
@@ -4027,6 +4122,7 @@ if test "X$host_config" != "Xnone"; then
. $host_config
fi
+## Source any special site-specific file
hname="`hostname`"
while test -n "$hname"; do
file=$srcdir/config/site-specific/host-$hname
@@ -4045,16 +4141,28 @@ $as_echo "no" >&6; }
test "$hname_tmp" = "$hname" && break
done
+## ----------------------------------------------------------------------
+## Some built-in configure checks can only see CFLAGS (not AM_CFLAGS), so
+## we need to add this in so configure works as intended. We will need to
+## reset this value at the end of configure, to preserve the user's settings.
CFLAGS="${AM_CFLAGS} ${CFLAGS}"
FCFLAGS="${AM_FCFLAGS} ${FCFLAGS}"
CXXFLAGS="${AM_CXXFLAGS} ${CXXFLAGS}"
CPPFLAGS="${AM_CPPFLAGS} ${CPPFLAGS}"
LDFLAGS="${AM_LDFLAGS} ${LDFLAGS}"
+## ----------------------------------------------------------------------
+## Enable dependency tracking unless the configure options or a
+## site-specific file told us not to. This prevents configure from
+## silently disabling dependencies for some compilers.
+##
if test -z "${enable_dependency_tracking}"; then
enable_dependency_tracking="yes"
fi
+## ----------------------------------------------------------------------
+## Check for programs.
+##
ac_ext=c
ac_cpp='$CPP $CPPFLAGS'
ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
@@ -5037,6 +5145,11 @@ fi
CC_BASENAME="`echo $CC | cut -f1 -d' ' | xargs basename 2>/dev/null`"
+## ----------------------------------------------------------------------------
+## Configure disallows unsupported combinations of options. However, users
+## may want to override and build with unsupported combinations for their
+## own use. They can use the --enable-unsupported configure flag, which
+## ignores any errors from configure due to incompatible flags.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if unsupported combinations of configure options are allowed" >&5
$as_echo_n "checking if unsupported combinations of configure options are allowed... " >&6; }
# Check whether --enable-unsupported was given.
@@ -5058,6 +5171,9 @@ $as_echo "yes" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Check if they would like the Fortran interface compiled
+##
HDF5_INTERFACES=""
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if Fortran interface enabled" >&5
$as_echo_n "checking if Fortran interface enabled... " >&6; }
@@ -5074,6 +5190,9 @@ else
fi
+## ----------------------------------------------------------------------
+## Check if they would like the Fortran 2003 interface compiled
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if Fortran 2003 interface enabled" >&5
$as_echo_n "checking if Fortran 2003 interface enabled... " >&6; }
# Check whether --enable-fortran2003 was given.
@@ -5082,6 +5201,9 @@ if test "${enable_fortran2003+set}" = set; then :
fi
+## ----------------------------------------------------------------------
+## Check to make sure --enable-fortran is present if --enable-fortran2003
+## was specified
if test "X$HDF_FORTRAN2003" = "Xyes" && test "X$HDF_FORTRAN" = "Xno"; then
echo "no"
@@ -5100,9 +5222,15 @@ if test "X$HDF_FORTRAN" = "Xyes"; then
HDF5_INTERFACES="$HDF5_INTERFACES fortran"
- HAVE_FORTRAN_2003="no"
+ ## --------------------------------------------------------------------
+ ## Default for FORTRAN 2003 compliant compilers
+ ##
+ HAVE_FORTRAN_2003="no"
HAVE_F2003_REQUIREMENTS="no"
+ ## --------------------------------------------------------------------
+ ## HDF5 integer variables for the H5fortran_types.f90 file.
+ ##
@@ -5112,10 +5240,16 @@ if test "X$HDF_FORTRAN" = "Xyes"; then
- AM_FCFLAGS="${AM_FCFLAGS} ${FFLAGS}"
+ ## --------------------------------------------------------------------
+ ## General Fortran flags
+ ##
+ AM_FCFLAGS="${AM_FCFLAGS} ${FFLAGS}"
FCFLAGS="${FCFLAGS} ${FFLAGS}"
- ac_ext=${ac_fc_srcext-f}
+ ## --------------------------------------------------------------------
+ ## Fortran source extention
+ ##
+ ac_ext=${ac_fc_srcext-f}
ac_compile='$FC -c $FCFLAGS $ac_fcflags_srcext conftest.$ac_ext >&5'
ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
@@ -5385,7 +5519,10 @@ ac_compiler_gnu=$ac_cv_c_compiler_gnu
- ac_ext=${ac_fc_srcext-f}
+ ## --------------------------------------------------------------------
+ ## Check for a Fortran 9X compiler and how to include modules.
+ ##
+ ac_ext=${ac_fc_srcext-f}
ac_compile='$FC -c $FCFLAGS $ac_fcflags_srcext conftest.$ac_ext >&5'
ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
@@ -5688,15 +5825,22 @@ ac_compiler_gnu=$ac_cv_c_compiler_gnu
- F77=$FC
+ ## It seems that libtool (as of Libtool 1.5.14) is trying to
+ ## configure itself for Fortran 77.
+ ## Tell it that our F77 compiler is $FC (actually a F9X compiler)
+ F77=$FC
- ac_ext=${ac_fc_srcext-f}
+ ## Change to the Fortran 90 language
+ ac_ext=${ac_fc_srcext-f}
ac_compile='$FC -c $FCFLAGS $ac_fcflags_srcext conftest.$ac_ext >&5'
ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
- ac_ext=${ac_fc_srcext-f}
+ ## --------------------------------------------------------------------
+ ## Define wrappers for the C compiler to use Fortran function names
+ ##
+ ac_ext=${ac_fc_srcext-f}
ac_compile='$FC -c $FCFLAGS $ac_fcflags_srcext conftest.$ac_ext >&5'
ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
@@ -6378,8 +6522,13 @@ ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
+ ## --------------------------------------------------------------------
+ ## See if the compiler will support the "-I." option
+ ##
+ ## --------------------------------------------------------------------
+ ## See if the fortran compiler supports the intrinsic function "SIZEOF"
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if Fortran compiler supports intrinsic SIZEOF" >&5
$as_echo_n "checking if Fortran compiler supports intrinsic SIZEOF... " >&6; }
@@ -6409,6 +6558,8 @@ rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
fi
+ ## Check to see if -r8 was specified to determine if we need to
+ ## compile the DOUBLE PRECISION interfaces.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if Fortran default REAL is DOUBLE PRECISION" >&5
$as_echo_n "checking if Fortran default REAL is DOUBLE PRECISION... " >&6; }
@@ -6449,7 +6600,7 @@ $as_echo "no" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
- FORTRAN_DEFAULT_REALisDBLE="yes"
+ FORTRAN_DEFAULT_REALisDBLE="yes"
fi
rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext \
conftest.$ac_objext conftest.beam conftest.$ac_ext
@@ -6458,6 +6609,8 @@ fi
if test "X$HDF_FORTRAN2003" = "Xyes"; then
+ ## Checking if the compiler supports the required Fortran 2003 features and
+ ## disable Fortran 2003 if it does not.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if Fortran compiler version compatible with Fortran 2003 HDF" >&5
$as_echo_n "checking if Fortran compiler version compatible with Fortran 2003 HDF... " >&6; }
@@ -6487,18 +6640,19 @@ else
$as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
-
if test "X$HAVE_F2003_REQUIREMENTS" = "Xno"; then
- as_fn_error $? "Fortran compiler lacks required Fortran 2003 features; unsupported Fortran 2003 compiler, remove --enable-fortran2003" "$LINENO" 5
+ ## echo $HAVE_FORTRAN_2003
+ as_fn_error $? "Fortran compiler lacks required Fortran 2003 features; unsupported Fortran 2003 compiler, remove --enable-fortran2003" "$LINENO" 5
else
+ ## echo $HAVE_FORTRAN_2003
HAVE_FORTRAN_2003="yes"
- fi
-
+ fi
fi
else
FC="no"
fi
+## Change back to the C language
ac_ext=c
ac_cpp='$CPP $CPPFLAGS'
ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
@@ -6531,6 +6685,12 @@ else
fi
+## ----------------------------------------------------------------------
+## Check if they would like the C++ interface compiled
+##
+## We need to check for a C++ compiler unconditionally, since
+## AC_PROG_CXX defines some macros that Automake 1.9.x uses and will
+## miss even if c++ is not enabled.
ac_ext=cpp
ac_cpp='$CXXCPP $CPPFLAGS'
ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
@@ -7080,6 +7240,7 @@ ac_cpp='$CPP $CPPFLAGS'
ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_c_compiler_gnu
+ ## this is checked for when AC_HEADER_STDC is done
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if c++ interface enabled" >&5
$as_echo_n "checking if c++ interface enabled... " >&6; }
@@ -7094,7 +7255,8 @@ if test "X$HDF_CXX" = "Xyes"; then
echo "yes"
HDF5_INTERFACES="$HDF5_INTERFACES c++"
- ac_ext=cpp
+ ## Change to the C++ language
+ ac_ext=cpp
ac_cpp='$CXXCPP $CPPFLAGS'
ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
@@ -7329,6 +7491,7 @@ else
CXX="no"
fi
+## Change back to the C language
ac_ext=c
ac_cpp='$CPP $CPPFLAGS'
ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
@@ -7336,6 +7499,10 @@ ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $
ac_compiler_gnu=$ac_cv_c_compiler_gnu
+## ----------------------------------------------------------------------
+## Check if they have Perl installed on their system. We only need Perl
+## if they're using a GNU compiler.
+##
PERL=""
if test "X$GCC" = "Xyes"; then
for ac_prog in perl
@@ -7383,6 +7550,10 @@ done
fi
+## ----------------------------------------------------------------------
+## Check which archiving tool to use. This needs to be done before
+## the AM_PROG_LIBTOOL macro.
+##
if test -z "$AR"; then
for ac_prog in ar xar
@@ -7431,6 +7602,8 @@ test -n "$AR" || AR=":"
fi
+## Export the AR macro so that it will be placed in the libtool file
+## correctly.
export AR
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether ${MAKE-make} sets \$(MAKE)" >&5
@@ -7467,6 +7640,8 @@ fi
+## ----------------------------------------------------------------------
+## Check that the tr utility is working properly.
# Extract the first word of "tr", so it can be a program name with args.
set dummy tr; ac_word=$2
@@ -7515,6 +7690,10 @@ if test "X${TR_TEST}" != "XTEST"; then
fi
+## ----------------------------------------------------------------------
+## Check that time can be used with srcdir. This is okay on most systems,
+## but seems to cause problems on Cygwin.
+## The solution on Cygwin is not to record execution time for tests.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if srcdir= and time commands work together" >&5
$as_echo_n "checking if srcdir= and time commands work together... " >&6; }
@@ -7532,18 +7711,64 @@ $as_echo "no" >&6; }
fi
-
-
-
-
-
+## The following variables are used to distinguish between building a
+## serial and parallel library.
+##
+## HAVE_PARALLEL -- defined in H5config.h if we are building
+## a parallel library even if configure wasn't
+## able to find some header file or library that
+## might be required. This is defined if the
+## compiler looks like a parallel compiler (e.g.,
+## mpicc or mpcc) or if the user explicitly states
+## that a parallel library is being built by supplying
+## the `--enable-parallel' configure switch.
+##
+## PARALLEL -- This variable is set to a non-null value if
+## configure thinks we're compiling a parallel
+## version of the library.
+##
+## RUNSERIAL -- This is a command which will be prepended to
+## the executable name to run the executable using
+## a single process. For serial versions of the
+## library this will normally be empty. For parallel
+## versions it might be something like `mpiexec -n 1'.
+## The value of this variable is substituted in *.in
+## files.
+##
+## RUNPARALLEL -- This is a command which will be prepended to
+## the executable name to run the executable on
+## multiple processors. For the serial library the
+## value will normally be the empty string. For
+## parallel library it should be something like
+## "mpiexec -n \$\${NPROCS:=6}" where NPROCS will
+## eventually contain the number of processors on which
+## to run the executable (the double dollarsigns are to
+## protect the expansion until make executes the
+## command). The value of this variable is
+## substituted in *.in files.
+##
+
+
+
+
+
+## ----------------------------------------------------------------------
+## If the compiler is obviously a parallel compiler then we're building
+## a parallel version of hdf5 and should define HAVE_PARALLEL. Furthermore,
+## the name of the compiler might tell us how to run the resulting
+## executable. For `mpicc' the executable should be run with `mpiexec' from
+## the same directory as mpicc if it exists.
+##
case "$CC_BASENAME" in
mpicc)
- PARALLEL=mpicc
+ ## The mpich compiler. Use mpiexec from the same directory if it
+ ## exists.
+ PARALLEL=mpicc
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for mpiexec" >&5
$as_echo_n "checking for mpiexec... " >&6; }
- cmd="`echo $CC | cut -f1 -d' '`"
+ ## Find the path where mpicc is located.
+ cmd="`echo $CC | cut -f1 -d' '`"
if (echo $cmd | grep / >/dev/null); then
path="`echo $cmd | sed 's/\(.*\)\/.*$/\1/'`"
else
@@ -7554,7 +7779,8 @@ $as_echo_n "checking for mpiexec... " >&6; }
done
fi
- if test -x $path/mpiexec; then
+ ## Is there an mpiexec at that path?
+ if test -x $path/mpiexec; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $path/mpiexec" >&5
$as_echo "$path/mpiexec" >&6; }
RUNSERIAL="${RUNSERIAL:-none}"
@@ -7569,16 +7795,27 @@ $as_echo "none" >&6; }
;;
mpcc|mpcc_r)
- PARALLEL="$CC_BASENAME"
+ ## The IBM compiler
+ PARALLEL="$CC_BASENAME"
;;
*)
- ;;
+ ## Probably not a parallel compiler, but if `--enable-parallel'
+ ## is defined below then we're still building a parallel hdf5.
+ ;;
esac
+## ----------------------------------------------------------------------
+## If the Fortran compiler is obviously a parallel compiler then we're
+## building a parallel version of hdf5 and should define HAVE_PARALLEL.
+## Furthermore, the name of the compiler might tell us how to run the
+## resulting executable. For `mpif90' the executable should be run with
+## `mpiexec' from the same directory as mpif90 if it exists.
+##
if test "X$HDF_FORTRAN" = "Xyes" ; then
- ac_ext=${ac_fc_srcext-f}
+ ## Change to the Fortran 90 language
+ ac_ext=${ac_fc_srcext-f}
ac_compile='$FC -c $FCFLAGS $ac_fcflags_srcext conftest.$ac_ext >&5'
ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
@@ -7586,11 +7823,14 @@ ac_compiler_gnu=$ac_cv_fc_compiler_gnu
case "$FC" in
*mpif90*)
- PARALLEL=mpif90
+ ## The Fortran mpich compiler. Use mpiexec from the same directory
+ ## if it exists.
+ PARALLEL=mpif90
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for mpiexec" >&5
$as_echo_n "checking for mpiexec... " >&6; }
- cmd=`echo $FC |cut -f1 -d' '`
+ ## Find the path where mpif90 is located.
+ cmd=`echo $FC |cut -f1 -d' '`
if (echo $cmd |grep / >/dev/null); then
path="`echo $cmd |sed 's/\(.*\)\/.*$/\1/'`"
else
@@ -7601,7 +7841,8 @@ $as_echo_n "checking for mpiexec... " >&6; }
done
fi
- if test -x $path/mpiexec; then
+ ## Is there an mpiexec at that path?
+ if test -x $path/mpiexec; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $path/mpiexec" >&5
$as_echo "$path/mpiexec" >&6; }
RUNSERIAL="${RUNSERIAL:-none}"
@@ -7616,14 +7857,18 @@ $as_echo "none" >&6; }
;;
*mpxlf* | *mpxlf_r* | *mpxlf90* | *mpxlf90_r* | *mpxlf95* | *mpxlf95_r*)
- PARALLEL="$FC"
+ ## The IBM compiler
+ PARALLEL="$FC"
;;
*)
- ;;
+ ## Probably not a parallel compiler, but if `--enable-parallel'
+ ## is defined below then we're still building a parallel hdf5.
+ ;;
esac
- ac_ext=c
+ ## Change to the C language
+ ac_ext=c
ac_cpp='$CPP $CPPFLAGS'
ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
@@ -7631,6 +7876,9 @@ ac_compiler_gnu=$ac_cv_c_compiler_gnu
fi
+## -----------------------------------------------------------------------------
+## If shared libraries are being used with parallel, disable them, unless the
+## user explicity enables them via the '--enable-shared' option.
if test "X${enable_shared}" = "X" -a "X${enable_parallel}" = "Xyes"; then
echo ' shared libraries disabled in parallel'
@@ -7644,6 +7892,10 @@ elif test "X${enable_shared}" = "Xyes" -a "X${PARALLEL}" != "X"; then
echo ' shared libraries explicitly enabled by user'
fi
+## ----------------------------------------------------------------------
+## Fortran libraries are not currently supported on Mac. Disable them.
+## (this is overridable with --enable-unsupported).
+##
H5_FORTRAN_SHARED="no"
if test "X${HDF_FORTRAN}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
@@ -7651,6 +7903,7 @@ if test "X${HDF_FORTRAN}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
$as_echo_n "checking if shared Fortran libraries are supported... " >&6; }
H5_FORTRAN_SHARED="yes"
+ ## Disable fortran shared libraries on Mac. (MAM - 03/30/11)
case "`uname`" in
Darwin*)
@@ -7659,6 +7912,7 @@ $as_echo_n "checking if shared Fortran libraries are supported... " >&6; }
;;
esac
+ ## Report results of check(s)
if test "X${H5_FORTRAN_SHARED}" = "Xno"; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -7693,6 +7947,9 @@ else
fi
+## ----------------------------------------------------------------------
+## Disable C++ shared libraries if +DD64 flag is detected.
+##
H5_CXX_SHARED="no"
if test "X${HDF_CXX}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
@@ -7700,12 +7957,14 @@ if test "X${HDF_CXX}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
$as_echo_n "checking if shared C++ libraries are supported... " >&6; }
H5_CXX_SHARED="yes"
+ ## Disable C++ shared libraries if DD64 flag is being used.
if (echo dummy ${CXX} ${CXXLD} ${CFLAGS} ${CXXFLAGS} ${LDFLAGS} | grep 'DD64') > /dev/null; then
H5_CXX_SHARED="no"
CHECK_WARN="Shared C++ libraries not currently supported with +DD64 flag."
fi
+ ## Report results of check(s)
if test "X${H5_CXX_SHARED}" = "Xno"; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -7739,12 +7998,20 @@ else
fi
+## ----------------------------------------------------------------------
+## pgcc version 6.0x have optimization (-O, -O2 or -O3) problem. Detect
+## these versions and add option "-Mx,28,0x8" to the compiler to avoid
+## the problem if optimization is enabled.
+##
if (${CC-cc} -V 2>&1 | grep '^pgcc 6.0') > /dev/null && test "X$enable_production" = "Xyes"; then
echo 'adding compiler flag to avoid optimization problem in pgcc'
CC="${CC-cc} -Mx,28,0x8"
fi
+## ----------------------------------------------------------------------
+## Shared libraries are not currently supported under Cygwin, so configure
+## disables them unless --enable-unsupported has been supplied by the user.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
case "`uname`" in
@@ -7759,6 +8026,9 @@ if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
esac
fi
+## ----------------------------------------------------------------------
+## Windows won't create DLLs without the following macro.
+##
enable_win32_dll=yes
case $host in
@@ -8063,9 +8333,9 @@ test -z "$OBJDUMP" && OBJDUMP=objdump
-enable_dlopen=yes
-
-
+## ----------------------------------------------------------------------
+## Create libtool. If shared/static libraries are going to be enabled
+## or disabled, it should happen before these macros.
case `pwd` in
*\ * | *\ *)
@@ -11610,6 +11880,7 @@ func_stripname_cnf ()
# Set options
+enable_dlopen=yes
@@ -21747,6 +22018,11 @@ ac_compiler_gnu=$ac_cv_c_compiler_gnu
+## ----------------------------------------------------------------------
+## Check if we should install only statically linked executables.
+## This check needs to occur after libtool is initialized because
+## we check a libtool cache value and may issue a warning based
+## on its result.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if we should install only statically linked executables" >&5
$as_echo_n "checking if we should install only statically linked executables... " >&6; }
# Check whether --enable-static_exec was given.
@@ -21757,7 +22033,8 @@ fi
if test "X$STATIC_EXEC" = "Xyes"; then
echo "yes"
- if test "X$lt_cv_prog_compiler_static_works" = "Xno"; then
+ ## Issue a warning if -static flag is not supported.
+ if test "X$lt_cv_prog_compiler_static_works" = "Xno"; then
echo " warning: -static flag not supported on this system; executable won't statically link shared system libraries."
fi
LT_STATIC_EXEC="-all-static"
@@ -21768,12 +22045,19 @@ fi
+## Fix up the INSTALL macro if it's a relative path. We want the
+## full-path to the binary instead.
case "$INSTALL" in
*install-sh*)
INSTALL='\${top_srcdir}/bin/install-sh -c'
;;
esac
+## ----------------------------------------------------------------------
+## Some users have reported problems with libtool's use of '-Wl,-rpath' to
+## link shared libraries in nondefault directories. Allow users to
+## disable embedding the rpath information in the executables and to
+## instead solely rely on the information in LD_LIBRARY_PATH.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if -Wl,-rpath should be used to link shared libs in nondefault directories" >&5
$as_echo_n "checking if -Wl,-rpath should be used to link shared libs in nondefault directories... " >&6; }
# Check whether --enable-sharedlib-rpath was given.
@@ -21804,10 +22088,15 @@ esac
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking make" >&5
$as_echo_n "checking make... " >&6; }
+## ----------------------------------------------------------------------
+## Sometimes makes think the `.PATH:' appearing before the first rule
+## with an action should override the `all' default target. So we have
+## to decide what the proper syntax is.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how make searches directories" >&5
$as_echo_n "checking how make searches directories... " >&6; }
while true; do #for break
- # The most common method is `VPATH=DIR1 DIR2 ...'
+ ## The most common method is `VPATH=DIR1 DIR2 ...'
cat >maketest <<EOF
VPATH=$srcdir/config $srcdir/src $srcdir/bin
.c.o:
@@ -21826,7 +22115,9 @@ $as_echo "VPATH=DIR1 DIR2 ..." >&6; }
break
fi
- cat >maketest <<EOF
+ ## The second most common method is like above except with the
+ ## directories separated by colons.
+ cat >maketest <<EOF
VPATH=$srcdir/config:$srcdir/src:$srcdir/bin
.c.o:
cp $< H5.o
@@ -21844,7 +22135,8 @@ $as_echo "VPATH=DIR1:DIR2:..." >&6; }
break
fi
- cat >maketest <<EOF
+ ## pmake uses the construct `.PATH: DIR1 DIR2
+ cat >maketest <<EOF
.PATH: $srcdir/config $srcdir/src $srcdir/bin
.c.o:
cp $< H5.o
@@ -21862,7 +22154,8 @@ $as_echo ".PATH: DIR1 DIR2 ..." >&6; }
break
fi
- SEARCH_RULE='## SEARCH DISABLED: '
+ ## No way for make to search directories
+ SEARCH_RULE='## SEARCH DISABLED: '
SEARCH_SEP=' '
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: it doesn't" >&5
$as_echo "it doesn't" >&6; }
@@ -21873,8 +22166,13 @@ $as_echo "it doesn't" >&6; }
done
rm maketest
+## ----------------------------------------------------------------------
+## pmake will throw an error if variables are undefined in a Makefile.
+## These errors can be changed to warnings using the -V flag.
+##
AM_MAKEFLAGS=""
+## Don't run test if MAKE is defined but is the empty string
if test -n "${MAKE-make}"; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether make will build with undefined variables" >&5
@@ -21897,6 +22195,10 @@ $as_echo "no, setting -V flag" >&6; }
rm maketest
fi
+## ----------------------------------------------------------------------
+## Production flags? Save the value in $CONFIG_MODE so we have it for
+## the record.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for production mode" >&5
$as_echo_n "checking for production mode... " >&6; }
# Check whether --enable-production was given.
@@ -21944,6 +22246,9 @@ $as_echo "user-defined" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Check for system libraries.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for ceil in -lm" >&5
$as_echo_n "checking for ceil in -lm... " >&6; }
if ${ac_cv_lib_m_ceil+:} false; then :
@@ -21999,7 +22304,8 @@ fi
if test "`uname`" = "SunOS" -o "`uname -sr`" = "HP-UX B.11.00"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for socket in -lsocket" >&5
+ ## ...for Solaris
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for socket in -lsocket" >&5
$as_echo_n "checking for socket in -lsocket... " >&6; }
if ${ac_cv_lib_socket_socket+:} false; then :
$as_echo_n "(cached) " >&6
@@ -22108,6 +22414,9 @@ fi
fi
+## ----------------------------------------------------------------------
+## Check for system header files.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for ANSI C header files" >&5
$as_echo_n "checking for ANSI C header files... " >&6; }
if ${ac_cv_header_stdc+:} false; then :
@@ -22272,6 +22581,11 @@ $as_echo "#define TIME_WITH_SYS_TIME 1" >>confdefs.h
fi
+## ----------------------------------------------------------------------
+## Check for these two functions before the time headers are checked
+## for, otherwise they are not detected correctly on Solaris (the
+## configure test will fail due to multiply-defined symbols).
+##
for ac_func in difftime
do :
ac_fn_c_check_func "$LINENO" "difftime" "ac_cv_func_difftime"
@@ -22374,6 +22688,7 @@ fi
done
+## Unix
for ac_header in sys/resource.h sys/time.h unistd.h sys/ioctl.h sys/stat.h
do :
as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
@@ -22426,6 +22741,7 @@ fi
done
+## Darwin
for ac_header in mach/mach_time.h
do :
ac_fn_c_check_header_mongrel "$LINENO" "mach/mach_time.h" "ac_cv_header_mach_mach_time_h" "$ac_includes_default"
@@ -22439,6 +22755,7 @@ fi
done
+## Windows
case "`uname`" in
CYGWIN*)
for ac_header in io.h sys/timeb.h
@@ -22537,7 +22854,11 @@ esac
case "$host" in
alpha*-dec*-osf*)
- for ac_header in sys/sysinfo.h sys/proc.h
+ ## The <sys/sysinfo.h> and <sys/proc.h> are needed on the DEC
+ ## Alpha to turn off UAC fixing. We do *not* attempt to
+ ## locate these files on other systems because there are too
+ ## many problems with including them.
+ for ac_header in sys/sysinfo.h sys/proc.h
do :
as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
ac_fn_c_check_header_mongrel "$LINENO" "$ac_header" "$as_ac_Header" "$ac_includes_default"
@@ -22552,7 +22873,11 @@ done
;;
mips*-sgi*-irix*)
- for ac_header in sys/fpu.h
+ ## The <sys/fpu.h> is needed on the SGI machines to turn off
+ ## denormalized floating-point values going to zero. We do *not*
+ ## attempt to locate these files on other systems because there
+ ## may be problems with including them.
+ for ac_header in sys/fpu.h
do :
ac_fn_c_check_header_mongrel "$LINENO" "sys/fpu.h" "ac_cv_header_sys_fpu_h" "$ac_includes_default"
if test "x$ac_cv_header_sys_fpu_h" = xyes; then :
@@ -22578,22 +22903,33 @@ done
;;
esac
+## ----------------------------------------------------------------------
+## Some platforms require that all symbols are resolved when a library
+## is linked. We can use the -no-undefined flag to tell libtool that
+## it will be able to build shared libraries on these architectures,
+## as it will not do so by default.
+##
if test "X${enable_shared}" = "Xyes"; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if libtool needs -no-undefined flag to build shared libraries" >&5
$as_echo_n "checking if libtool needs -no-undefined flag to build shared libraries... " >&6; }
case "`uname`" in
CYGWIN*|MINGW*|AIX*)
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ ## Add in the -no-undefined flag to LDFLAGS for libtool.
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
H5_LDFLAGS="$H5_LDFLAGS -no-undefined"
;;
*)
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+ ## Don't add in anything.
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
$as_echo "no" >&6; }
;;
esac
fi
+## ----------------------------------------------------------------------
+## Test for Largefile support.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if configure should try to set up large file support" >&5
$as_echo_n "checking if configure should try to set up large file support... " >&6; }
@@ -22603,11 +22939,14 @@ if test "${enable_largefile+set}" = set; then :
fi
+## If largefile support is enabled, then set up appropriate compiler options.
if test "$enable_largefile" != no; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for special C compiler options needed for large files" >&5
+ ## Check for needed compiler options. This check is pulled drectly
+ ## from autoconf's AC_SYS_LARGEFILE macro, as of Autoconf v2.65.
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for special C compiler options needed for large files" >&5
$as_echo_n "checking for special C compiler options needed for large files... " >&6; }
if ${ac_cv_sys_largefile_CC+:} false; then :
$as_echo_n "(cached) " >&6
@@ -22616,9 +22955,9 @@ else
if test "$GCC" != yes; then
ac_save_CC=$CC
while :; do
- # IRIX 6.2 and later do not support large files by default,
- # so use the C compiler's -n32 option if that helps.
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+ ## IRIX 6.2 and later do not support large files by default,
+ ## so use the C compiler's -n32 option if that helps.
+ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
#include <sys/types.h>
/* Check that off_t can represent 2**63 - 1 correctly.
@@ -22645,16 +22984,16 @@ main ()
return 0;
}
_ACEOF
- if ac_fn_c_try_compile "$LINENO"; then :
+ if ac_fn_c_try_compile "$LINENO"; then :
break
fi
rm -f core conftest.err conftest.$ac_objext
- CC="$CC -n32"
- if ac_fn_c_try_compile "$LINENO"; then :
+ CC="$CC -n32"
+ if ac_fn_c_try_compile "$LINENO"; then :
ac_cv_sys_largefile_CC=' -n32'; break
fi
rm -f core conftest.err conftest.$ac_objext
- break
+ break
done
CC=$ac_save_CC
rm -f conftest.$ac_ext
@@ -22666,8 +23005,15 @@ $as_echo "$ac_cv_sys_largefile_CC" >&6; }
CC=$CC$ac_cv_sys_largefile_CC
fi
+ ## Use the macro _AC_SYS_LARGEFILE_MACRO_VALUE to test defines
+ ## that might need to be set for largefile support to behave
+ ## correctly. This macro is defined in acsite.m4 and overrides
+ ## the version provided by Autoconf (as of v2.65). The custom
+ ## macro additionally adds the appropriate defines to AM_CPPFLAGS
+ ## so that later configure checks have them visible.
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for _FILE_OFFSET_BITS value needed for large files" >&5
+ ## Check for _FILE_OFFSET_BITS
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for _FILE_OFFSET_BITS value needed for large files" >&5
$as_echo_n "checking for _FILE_OFFSET_BITS value needed for large files... " >&6; }
if ${ac_cv_sys_file_offset_bits+:} false; then :
$as_echo_n "(cached) " >&6
@@ -22753,7 +23099,8 @@ _ACEOF
esac
rm -rf conftest*
- if test $ac_cv_sys_file_offset_bits = unknown; then
+ ## Check for _LARGE_FILES
+ if test "$ac_cv_sys_file_offset_bits" = unknown; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for _LARGE_FILES value needed for large files" >&5
$as_echo_n "checking for _LARGE_FILES value needed for large files... " >&6; }
if ${ac_cv_sys_large_files+:} false; then :
@@ -22841,7 +23188,9 @@ esac
rm -rf conftest*
fi
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking if large (64-bit) files are supported on this system." >&5
+ ## Now actually test to see if we can create large files after we've
+ ## checked for any needed defines.
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking if large (64-bit) files are supported on this system." >&5
$as_echo_n "checking if large (64-bit) files are supported on this system.... " >&6; }
if ${hdf5_cv_have_lfs+:} false; then :
$as_echo_n "(cached) " >&6
@@ -22901,18 +23250,49 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Add necessary defines for Linux Systems.
+##
case "$host_cpu-$host_vendor-$host_os" in
*linux*)
- if test "X$LARGEFILE" != "Xno"; then
+ ## If largefile support is enabled, then make available various
+ ## LFS-related routines using the following _LARGEFILE*_SOURCE macros.
+ if test "X$LARGEFILE" != "Xno"; then
AM_CPPFLAGS="-D_LARGEFILE64_SOURCE -D_LARGEFILE_SOURCE $AM_CPPFLAGS"
fi
- H5_CPPFLAGS="-D_POSIX_C_SOURCE=199506L $H5_CPPFLAGS"
-
- AM_CPPFLAGS="-D_BSD_SOURCE $AM_CPPFLAGS"
+ ## Add POSIX support on Linux systems, so <features.h> defines
+ ## __USE_POSIX, which is required to get the prototype for fdopen
+ ## defined correctly in <stdio.h>.
+ ## This flag was removed from h5cc as of 2009-10-17 when it was found
+ ## that the flag broke compiling netCDF-4 code with h5cc, but kept in
+ ## H5_CPPFLAGS because fdopen and HDfdopen fail without it. HDfdopen
+ ## is used only by H5_debug_mask which is used only when debugging in
+ ## H5_init_library (all in H5.c). When the flag was removed this was
+ ## the only compile failure noted.
+ ## This was originally defined as _POSIX_SOURCE which was updated to
+ ## _POSIX_C_SOURCE=199506L to expose a greater amount of POSIX
+ ## functionality so clock_gettime and CLOCK_MONOTONIC are defined
+ ## correctly.
+ ## POSIX feature information can be found in the gcc manual at:
+ ## http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
+ H5_CPPFLAGS="-D_POSIX_C_SOURCE=199506L $H5_CPPFLAGS"
+
+ ## Also add BSD support on Linux systems, so <features.h> defines
+ ## __USE_BSD, which is required to get the prototype for strdup
+ ## defined correctly in <string.h> and snprintf & vsnprintf defined
+ ## correctly in <stdio.h>
+ ## Linking to the bsd-compat library is required as per the gcc manual:
+ ## http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
+ ## however, we do not do this since it breaks the big test on some
+ ## older platforms.
+ AM_CPPFLAGS="-D_BSD_SOURCE $AM_CPPFLAGS"
;;
esac
+## Need to add the AM_ and H5_ into CPFLAGS/CPPFLAGS to make them visible
+## for configure checks.
+## Note: Both will be restored by the end of configure.
CPPFLAGS="$H5_CPPFLAGS $AM_CPPFLAGS $CPPFLAGS"
CFLAGS="$H5_CFLAGS $AM_CFLAGS $CFLAGS"
@@ -23005,6 +23385,9 @@ $as_echo "skipping test for stat64() and fstat64()" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## ----------------------------------------------------------------------
+## Data types and their sizes.
+##
ac_fn_c_check_type "$LINENO" "off_t" "ac_cv_type_off_t" "$ac_includes_default"
if test "x$ac_cv_type_off_t" = xyes; then :
@@ -23652,6 +24035,7 @@ _ACEOF
+## Checkpoint the cache
cat >confcache <<\_ACEOF
# This file is a shell script that caches the results of configure
# tests run on this system so they can be shared between configure
@@ -23738,6 +24122,7 @@ $as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
fi
rm -f confcache
+## Posix.1g types (C9x)
cat >>confdefs.h <<\EOF
#include <sys/types.h>
EOF
@@ -24714,6 +25099,7 @@ _ACEOF
+## Checkpoint the cache
cat >confcache <<\_ACEOF
# This file is a shell script that caches the results of configure
# tests run on this system so they can be shared between configure
@@ -24800,6 +25186,9 @@ $as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
fi
rm -f confcache
+## ----------------------------------------------------------------------
+## Check if the dev_t type is a scalar type (must come after the check for
+## sys/types.h)
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if dev_t is scalar" >&5
$as_echo_n "checking if dev_t is scalar... " >&6; }
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
@@ -24838,6 +25227,11 @@ $as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## ----------------------------------------------------------------------
+## Fake --with-xxx option to allow us to create a help message for the
+## following --with-xxx options which can take either a =DIR or =INC,LIB
+## specifier.
+##
# Check whether --with-fnord was given.
if test "${with_fnord+set}" = set; then :
@@ -24845,6 +25239,12 @@ if test "${with_fnord+set}" = set; then :
fi
+## ----------------------------------------------------------------------
+## Is the dmalloc present? It has a header file `dmalloc.h' and a library
+## `-ldmalloc' and their locations might be specified with the `--with-dmalloc'
+## command-line switch. The value is an include path and/or a library path.
+## If the library path is specified then it must be preceded by a comma.
+##
# Check whether --with-dmalloc was given.
if test "${with_dmalloc+set}" = set; then :
@@ -24951,7 +25351,9 @@ $as_echo "suppressed" >&6; }
;;
esac
- if test "X$dmalloc_inc" = "X/usr/include"; then
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
+ if test "X$dmalloc_inc" = "X/usr/include"; then
dmalloc_inc=""
fi
if test "X$dmalloc_lib" = "X/usr/lib"; then
@@ -25050,6 +25452,12 @@ fi
;;
esac
+## ----------------------------------------------------------------------
+## Is the GNU zlib present? It has a header file `zlib.h' and a library
+## `-lz' and their locations might be specified with the `--with-zlib'
+## command-line switch. The value is an include path and/or a library path.
+## If the library path is specified then it must be preceded by a comma.
+##
USE_FILTER_DEFLATE="no"
# Check whether --with-zlib was given.
@@ -25162,7 +25570,9 @@ $as_echo "suppressed" >&6; }
;;
esac
- if test "X$zlib_inc" = "X/usr/include"; then
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
+ if test "X$zlib_inc" = "X/usr/include"; then
zlib_inc=""
fi
if test "X$zlib_lib" = "X/usr/lib"; then
@@ -25272,13 +25682,20 @@ $as_echo "#define HAVE_FILTER_DEFLATE 1" >>confdefs.h
USE_FILTER_DEFLATE="yes"
- if test "X$EXTERNAL_FILTERS" != "X"; then
+ ## Add "deflate" to external filter list
+ if test "X$EXTERNAL_FILTERS" != "X"; then
EXTERNAL_FILTERS="${EXTERNAL_FILTERS},"
fi
EXTERNAL_FILTERS="${EXTERNAL_FILTERS}deflate(zlib)"
fi
+## ----------------------------------------------------------------------
+## Is the szlib present? It has a header file `szlib.h' and a library
+## `-lsz' and their locations might be specified with the `--with-szlib'
+## command-line switch. The value is an include path and/or a library path.
+## If the library path is specified then it must be preceded by a comma.
+##
USE_FILTER_SZIP="no"
# Check whether --with-szlib was given.
@@ -25386,7 +25803,9 @@ $as_echo "suppressed" >&6; }
;;
esac
- if test "X$szlib_inc" = "X/usr/include"; then
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
+ if test "X$szlib_inc" = "X/usr/include"; then
szlib_inc=""
fi
if test "X$szlib_lib" = "X/usr/lib"; then
@@ -25486,10 +25905,14 @@ fi
esac
if test "x$HAVE_SZLIB" = "xyes" -a "x$HAVE_SZLIB_H" = "xyes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for szlib encoder" >&5
+ ## SZLIB library is available. Check if it can encode
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for szlib encoder" >&5
$as_echo_n "checking for szlib encoder... " >&6; }
- if test -z "$LD_LIBRARY_PATH"; then
+ ## Set LD_LIBRARY_PATH so encoder test can find the library and run.
+ ## Also add LL_PATH substitution to Makefiles so they can use the
+ ## path as well, for testing examples.
+ if test -z "$LD_LIBRARY_PATH"; then
export LD_LIBRARY_PATH="$szlib_lib"
else
export LD_LIBRARY_PATH="$szlib_lib:$LD_LIBRARY_PATH"
@@ -25547,7 +25970,8 @@ $as_echo "yes" >&6; }
$as_echo "no" >&6; }
fi
- if test ${hdf5_cv_szlib_can_encode} = "yes"; then
+ ## Add "szip" to external filter list
+ if test ${hdf5_cv_szlib_can_encode} = "yes"; then
if test "X$EXTERNAL_FILTERS" != "X"; then
EXTERNAL_FILTERS="${EXTERNAL_FILTERS},"
fi
@@ -25571,6 +25995,7 @@ else
fi
+## Checkpoint the cache
cat >confcache <<\_ACEOF
# This file is a shell script that caches the results of configure
# tests run on this system so they can be shared between configure
@@ -25657,6 +26082,13 @@ $as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
fi
rm -f confcache
+## ----------------------------------------------------------------------
+## Is the Pthreads library present? It has a header file `pthread.h' and
+## a library `-lpthread' and their locations might be specified with the
+## `--with-pthread' command-line switch. The value is an include path
+## and/or a library path. If the library path is specified then it must
+## be preceded by a comma.
+##
PTHREAD=yes
# Check whether --with-pthread was given.
@@ -25758,7 +26190,9 @@ $as_echo "suppressed" >&6; }
;;
esac
- if test "X$pthread_inc" = "X/usr/include"; then
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
+ if test "X$pthread_inc" = "X/usr/include"; then
pthread_inc=""
fi
if test "X$pthread_lib" = "X/usr/lib"; then
@@ -25922,6 +26356,9 @@ fi
;;
esac
+## ----------------------------------------------------------------------
+## Enable thread-safe version of library. It requires Pthreads support.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for thread safe support" >&5
$as_echo_n "checking for thread safe support... " >&6; }
# Check whether --enable-threadsafe was given.
@@ -25930,13 +26367,31 @@ if test "${enable_threadsafe+set}" = set; then :
fi
+## The --enable-threadsafe flag is not compatible with --enable-cxx.
+## If the user tried to specify both flags, throw an error, unless
+## they also provided the --enable-unsupported flag.
+if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
+ if test "X${HDF_CXX}" = "Xyes" -a "X${enable_threadsafe}" = "Xyes"; then
+ as_fn_error $? "--enable-cxx and --enable-threadsafe flags are incompatible. Use --enable-unsupported to override this error." "$LINENO" 5
+ fi
+fi
+
+## --enable-threadsafe is also incompatible with --enable-fortran, unless
+## --enable-unsupported has been specified on the configure line.
+if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
+ if test "X${HDF_FORTRAN}" = "Xyes" -a "X${enable_threadsafe}" = "Xyes"; then
+ as_fn_error $? "--enable-fortran and --enable-threadsafe flags are incompatible. Use --enable-unsupported to override this error." "$LINENO" 5
+ fi
+fi
+
case "X-$THREADSAFE" in
X-|X-no)
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
$as_echo "no" >&6; }
;;
X-yes)
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+ ## Check that we can link a simple Pthread program.
+ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
#ifdef FC_DUMMY_MAIN
@@ -25977,6 +26432,10 @@ $as_echo "#define HAVE_THREADSAFE 1" >>confdefs.h
fi
+## ----------------------------------------------------------------------
+## Check for MONOTONIC_TIMER support (used in clock_gettime). This has
+## to be done after any POSIX/BSD defines to ensure that the test gets
+## the correct POSIX level on linux.
ac_fn_c_check_decl "$LINENO" "CLOCK_MONOTONIC" "ac_cv_have_decl_CLOCK_MONOTONIC" "#include <time.h>
"
if test "x$ac_cv_have_decl_CLOCK_MONOTONIC" = xyes; then :
@@ -25986,14 +26445,19 @@ else
fi
+## ----------------------------------------------------------------------
+## How does one figure out the local time zone? Anyone know of a
+## Posix way to do this?
+##
+## First check if `struct tm' has a `tm_gmtoff' member.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for tm_gmtoff in struct tm" >&5
$as_echo_n "checking for tm_gmtoff in struct tm... " >&6; }
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
-#include <sys/time.h>
-#include <time.h>
+ #include <sys/time.h>
+ #include <time.h>
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -26014,7 +26478,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_TM_GMTOFF 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26022,13 +26486,14 @@ $as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## check if `struct tm' has a `__tm_gmtoff' member.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for __tm_gmtoff in struct tm" >&5
$as_echo_n "checking for __tm_gmtoff in struct tm... " >&6; }
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
-#include <sys/time.h>
-#include <time.h>
+ #include <sys/time.h>
+ #include <time.h>
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -26049,7 +26514,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE___TM_GMTOFF 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26057,6 +26522,7 @@ $as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## Check whether the global variable `timezone' is defined.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for global timezone variable" >&5
$as_echo_n "checking for global timezone variable... " >&6; }
@@ -26091,7 +26557,7 @@ if ac_fn_c_try_link "$LINENO"; then :
$as_echo "#define HAVE_TIMEZONE 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26102,6 +26568,7 @@ rm -f core conftest.err conftest.$ac_objext \
;;
esac
+## Check whether `struct timezone' is defined.
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether struct tm is in sys/time.h or time.h" >&5
$as_echo_n "checking whether struct tm is in sys/time.h or time.h... " >&6; }
if ${ac_cv_struct_tm+:} false; then :
@@ -26225,9 +26692,9 @@ $as_echo_n "checking for struct timezone... " >&6; }
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
-#include <sys/types.h>
-#include <sys/time.h>
-#include <time.h>
+ #include <sys/types.h>
+ #include <sys/time.h>
+ #include <time.h>
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -26248,8 +26715,8 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_STRUCT_TIMEZONE 1" >>confdefs.h
-have_struct_tz="yes"
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ have_struct_tz="yes"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26257,6 +26724,7 @@ $as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## If gettimeofday() is going to be used, make sure it uses the timezone struct
if test "$have_gettime" = "yes" -a "$have_struct_tz" = "yes"; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether gettimeofday() gives timezone" >&5
@@ -26312,12 +26780,15 @@ $as_echo "no" >&6; }
fi
fi
+## ----------------------------------------------------------------------
+## Does the struct stat have the st_blocks field? This field is not Posix.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for st_blocks in struct stat" >&5
$as_echo_n "checking for st_blocks in struct stat... " >&6; }
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
-#include <sys/stat.h>
+ #include <sys/stat.h>
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -26338,7 +26809,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_STAT_ST_BLOCKS 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26346,6 +26817,9 @@ $as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## ----------------------------------------------------------------------
+## How do we figure out the width of a tty in characters?
+##
for ac_func in _getvideoconfig gettextinfo GetConsoleScreenBufferInfo
do :
as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
@@ -26396,7 +26870,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_STRUCT_VIDEOCONFIG 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26429,7 +26903,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_STRUCT_TEXT_INFO 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26462,7 +26936,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_TIOCGWINSZ 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26495,7 +26969,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_TIOCGETD 1" >>confdefs.h
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26504,6 +26978,9 @@ fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## ----------------------------------------------------------------------
+## Check for functions.
+##
for ac_func in alarm BSDgettimeofday fork frexpf frexpl
do :
as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
@@ -26577,6 +27054,9 @@ fi
done
+## Check for vsnprintf() separately, so we can detect situations where it
+## doesn't return the correct size for formatted strings that are too large
+## for the buffer provided
for ac_func in vsnprintf
do :
ac_fn_c_check_func "$LINENO" "vsnprintf" "ac_cv_func_vsnprintf"
@@ -26584,7 +27064,18 @@ if test "x$ac_cv_func_vsnprintf" = xyes; then :
cat >>confdefs.h <<_ACEOF
#define HAVE_VSNPRINTF 1
_ACEOF
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking if vsnprintf returns correct value" >&5
+ ## Check if vsnprintf() returns correct size for strings that don't fit
+ ## into the size allowed. If vsnprintf() works correctly on this platform,
+ ## it should return a value of 42 for the test below
+ ##
+ ## Note that vsnprintf fails in two different ways:
+ ## - In IRIX64, calls to vnsprintf() with a formatted string that
+ ## is larger than the buffer size allowed incorrectly
+ ## return the size of the buffer minus one.
+ ## - In HP/UX, calls to vsnprintf() with a formatted string that
+ ## is larger than the buffer size allowed incorrectly
+ ## return (-1)
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking if vsnprintf returns correct value" >&5
$as_echo_n "checking if vsnprintf returns correct value... " >&6; }
if ${hdf5_cv_vsnprintf_works+:} false; then :
@@ -26649,6 +27140,11 @@ fi
done
+## ----------------------------------------------------------------------
+## Check that a lone colon can be used as an argument
+## This is not true on Cray X1, which interprets a lone colon as a
+## system command.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if lone colon can be used as an argument" >&5
$as_echo_n "checking if lone colon can be used as an argument... " >&6; }
if ${hdf5_cv_lone_colon+:} false; then :
@@ -26676,6 +27172,9 @@ $as_echo "$hdf5_cv_lone_colon" >&6; }
H5_LONE_COLON="$hdf5_cv_lone_colon"
+## ----------------------------------------------------------------------
+## Check compiler characteristics
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for an ANSI C-conforming const" >&5
$as_echo_n "checking for an ANSI C-conforming const... " >&6; }
if ${ac_cv_c_const+:} false; then :
@@ -26833,7 +27332,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_ATTRIBUTE 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26866,7 +27365,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_C99_FUNC 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26898,7 +27397,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_FUNCTION 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26938,7 +27437,7 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_C99_DESIGNATED_INITIALIZER 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
@@ -26946,12 +27445,21 @@ $as_echo "no" >&6; }
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+## ----------------------------------------------------------------------
+## Try to figure out how to print `long long'. Some machines use `%lld'
+## and others use `%qd'. There may be more! The final `l' is a
+## default in case none of the others work.
+## Need to patch up LD_LIBRARY_PATH so that the execution can find all
+## the dynamic library. The correct way to do it should be updating
+## LD_LIBRARY_PATH along with LDFLAGS or do it with the AC_TRY_RUN macro.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking how to print long long" >&5
$as_echo_n "checking how to print long long... " >&6; }
if ${hdf5_cv_printf_ll+:} false; then :
$as_echo_n "(cached) " >&6
else
- LD_LIBRARY_PATH="$LD_LIBRARY_PATH`echo $AM_LDFLAGS $LDFLAGS | sed -e 's/-L/:/g' -e 's/ //g'`"
+
+LD_LIBRARY_PATH="$LD_LIBRARY_PATH`echo $AM_LDFLAGS $LDFLAGS | sed -e 's/-L/:/g' -e 's/ //g'`"
export LD_LIBRARY_PATH
for hdf5_cv_printf_ll in l ll L q unknown; do
@@ -26961,17 +27469,17 @@ else
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
-#include <stdio.h>
-#include <stdlib.h>
-#include <string.h>
+ #include <stdio.h>
+ #include <stdlib.h>
+ #include <string.h>
-int main(void)
-{
+ int main(void)
+ {
char *s = malloc(128);
long long x = (long long)1048576 * (long long)1048576;
sprintf(s,"%${hdf5_cv_printf_ll}d",x);
exit(strcmp(s,"1099511627776"));
-}
+ }
_ACEOF
if ac_fn_c_try_run "$LINENO"; then :
@@ -26984,6 +27492,7 @@ fi
done
fi
+
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: %${hdf5_cv_printf_ll}d and %${hdf5_cv_printf_ll}u" >&5
$as_echo "%${hdf5_cv_printf_ll}d and %${hdf5_cv_printf_ll}u" >&6; }
@@ -26992,6 +27501,10 @@ cat >>confdefs.h <<_ACEOF
_ACEOF
+## ----------------------------------------------------------------------
+## Check if pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM)
+## is supported on this system
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking Threads support system scope" >&5
$as_echo_n "checking Threads support system scope... " >&6; }
if ${hdf5_cv_system_scope_threads+:} false; then :
@@ -27006,20 +27519,20 @@ else
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
-#if STDC_HEADERS
-#include <stdlib.h>
-#include <pthread.h>
-#endif
+ #if STDC_HEADERS
+ #include <stdlib.h>
+ #include <pthread.h>
+ #endif
-int main(void)
-{
- pthread_attr_t attribute;
- int ret;
+ int main(void)
+ {
+ pthread_attr_t attribute;
+ int ret;
- pthread_attr_init(&attribute);
- ret=pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM);
- exit(ret==0 ? 0 : 1);
-}
+ pthread_attr_init(&attribute);
+ ret=pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM);
+ exit(ret==0 ? 0 : 1);
+ }
_ACEOF
if ac_fn_c_try_run "$LINENO"; then :
@@ -27045,6 +27558,9 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Checking to see if GPFS is available on this filesystem
+##
# Check whether --enable-gpfs was given.
if test "${enable_gpfs+set}" = set; then :
enableval=$enable_gpfs;
@@ -27087,14 +27603,14 @@ if ac_fn_c_try_compile "$LINENO"; then :
$as_echo "#define HAVE_GPFS 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
- LIBS="$LIBS -lgpfs"
- GPFS="yes"
+ LIBS="$LIBS -lgpfs"
+ GPFS="yes"
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
$as_echo "no" >&6; }
- GPFS="no"
+ GPFS="no"
fi
rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
fi
@@ -27110,6 +27626,10 @@ $as_echo "suppressed" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Turn on debugging by setting compiler flags
+## This must come after the enable-production since it depends on production.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for debug flags" >&5
$as_echo_n "checking for debug flags... " >&6; }
# Check whether --enable-debug was given.
@@ -27118,6 +27638,7 @@ if test "${enable_debug+set}" = set; then :
fi
+## Default to no if producton is enabled
if test "X-$DEBUG_PKG" = X- ; then
if test "$enable_production" = yes ; then
DEBUG_PKG=no
@@ -27159,6 +27680,9 @@ if test -n "$DEBUG_PKG"; then
done
fi
+## ----------------------------------------------------------------------
+## Check if they would like the function stack support compiled in
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether function stack tracking is enabled" >&5
$as_echo_n "checking whether function stack tracking is enabled... " >&6; }
# Check whether --enable-codestack was given.
@@ -27183,6 +27707,9 @@ $as_echo "no" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Check if they would like the metadata trace file code compiled in
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether metadata trace file code is enabled" >&5
$as_echo_n "checking whether metadata trace file code is enabled... " >&6; }
# Check whether --enable-metadata-trace-file was given.
@@ -27207,6 +27734,10 @@ $as_echo "no" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Enable tracing of the API
+## This must come after the enable-debug since it depends on debug.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for API tracing" >&5
$as_echo_n "checking for API tracing... " >&6; };
@@ -27216,6 +27747,7 @@ if test "${enable_trace+set}" = set; then :
fi
+## Default to no if debug is disabled
if test "X-$TRACE" = X- ; then
if test -z "$DEBUG_PKG" ; then
TRACE=no
@@ -27239,6 +27771,10 @@ $as_echo "no" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Enable instrumenting of the library's internal operations
+## This must come after the enable-debug since it depends on debug.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for instrumented library" >&5
$as_echo_n "checking for instrumented library... " >&6; };
@@ -27248,6 +27784,7 @@ if test "${enable_instrument+set}" = set; then :
fi
+## Default to no if debug is disabled
if test "X-$INSTRUMENT" = X- ; then
if test -z "$DEBUG_PKG" ; then
INSTRUMENT=no
@@ -27272,6 +27809,10 @@ $as_echo "no" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Check if they would like to securely clear file buffers before they are
+## written.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether to clear file buffers" >&5
$as_echo_n "checking whether to clear file buffers... " >&6; }
@@ -27297,6 +27838,12 @@ $as_echo "no" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Check if they would like to use a memory checking tool (like valgrind's
+## 'memcheck' tool, or Rational Purify, etc) and the library should be
+## more scrupulous with it's memory operations. Enabling this also
+## disables the library's free space manager code.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a memory checking tool will be used" >&5
$as_echo_n "checking whether a memory checking tool will be used... " >&6; }
@@ -27322,6 +27869,7 @@ $as_echo "no" >&6; }
;;
esac
+## Checkpoint the cache
cat >confcache <<\_ACEOF
# This file is a shell script that caches the results of configure
# tests run on this system so they can be shared between configure
@@ -27408,24 +27956,40 @@ $as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
fi
rm -f confcache
+## What header files and libraries do we have to look for for parallel
+## support? For the most part, search paths are already specified with
+## CPPFLAGS and LDFLAGS or are known to the compiler. If the user says
+## `--disable-parallel' but specifies a known parallel compiler (like mpicc
+## or mpcc) then parallel support is enabled but configure doesn't search
+## for any parallel header files or libraries.
+##
# Check whether --enable-parallel was given.
if test "${enable_parallel+set}" = set; then :
enableval=$enable_parallel;
fi
+## The --enable-parallel flag is not compatible with --enable-cxx.
+## If the user tried to specify both flags, throw an error, unless
+## they also provided the --enable-unsupported flag.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${HDF_CXX}" = "Xyes" -a "X${enable_parallel}" = "Xyes"; then
as_fn_error $? "--enable-cxx and --enable-parallel flags are incompatible. Use --enable-unsupported to override this error." "$LINENO" 5
fi
fi
+## --enable-parallel is also incompatible with --enable-threadsafe, unless
+## --enable-unsupported has been specified on the configure line.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${THREADSAFE}" = "Xyes" -a "X${enable_parallel}" = "Xyes"; then
as_fn_error $? "--enable-threadsafe and --enable-parallel flags are incompatible. Use --enable-unsupported to override this error." "$LINENO" 5
fi
fi
+## It's possible to build in parallel by specifying a parallel compiler
+## without using the --enable-parallel flag. This isn't allowed with
+## C++ or threadsafe, either, unless the --enable-unsupported flag
+## has also been specified.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${PARALLEL}" != "X" -a "X${enable_cxx}" = "Xyes" ; then
as_fn_error $? "An MPI compiler is being used; --enable-cxx is not allowed. Use --enable-unsupported to override this error." "$LINENO" 5
@@ -27439,16 +28003,23 @@ fi
$as_echo_n "checking for parallel support files... " >&6; }
case "X-$enable_parallel" in
X-|X-no|X-none)
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: skipped" >&5
+ ## Either we are not compiling for parallel or the header and
+ ## library files and locations are known to the compiler (this is
+ ## the case for a correct installation of mpicc for instance).
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: skipped" >&5
$as_echo "skipped" >&6; }
;;
X-yes)
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: provided by compiler" >&5
+ ## We want to compile a parallel library with a compiler that
+ ## may already know how to link with MPI and MPI-IO.
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: provided by compiler" >&5
$as_echo "provided by compiler" >&6; }
PARALLEL=yes
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+ ## Try link a simple MPI program. If fail, try again with -lmpi and
+ ## -lmpich.
+ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
#ifdef FC_DUMMY_MAIN
@@ -27470,8 +28041,7 @@ _ACEOF
if ac_fn_c_try_link "$LINENO"; then :
else
- \
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPI_Init in -lmpi" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPI_Init in -lmpi" >&5
$as_echo_n "checking for MPI_Init in -lmpi... " >&6; }
if ${ac_cv_lib_mpi_MPI_Init+:} false; then :
$as_echo_n "(cached) " >&6
@@ -27523,8 +28093,7 @@ _ACEOF
LIBS="-lmpi $LIBS"
else
- \
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPI_Init in -lmpich" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPI_Init in -lmpich" >&5
$as_echo_n "checking for MPI_Init in -lmpich... " >&6; }
if ${ac_cv_lib_mpich_MPI_Init+:} false; then :
$as_echo_n "(cached) " >&6
@@ -27585,7 +28154,9 @@ fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
- if test "X$PARALLEL" = "Xyes"; then
+ ## Then try link a simple MPI-IO program. If fail, try again with
+ ## -lmpio.
+ if test "X$PARALLEL" = "Xyes"; then
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
@@ -27669,13 +28240,15 @@ rm -f core conftest.err conftest.$ac_objext \
fi
if test "X$HDF_FORTRAN" = "Xyes"; then
- ac_ext=${ac_fc_srcext-f}
+ ## Change to the Fortran 90 language
+ ac_ext=${ac_fc_srcext-f}
ac_compile='$FC -c $FCFLAGS $ac_fcflags_srcext conftest.$ac_ext >&5'
ac_link='$FC -o conftest$ac_exeext $FCFLAGS $LDFLAGS $ac_fcflags_srcext conftest.$ac_ext $LIBS >&5'
ac_compiler_gnu=$ac_cv_fc_compiler_gnu
- cat > conftest.$ac_ext <<_ACEOF
+ ## Try link a simple MPI program. If fail, try again with -lmpi.
+ cat > conftest.$ac_ext <<_ACEOF
program main
include 'mpif.h'
@@ -27738,7 +28311,9 @@ fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
- if test "X$PARALLEL" = "Xyes"; then
+ ## Then try link a simple MPI-IO program. If fail, try again with
+ ## -lmpio.
+ if test "X$PARALLEL" = "Xyes"; then
cat > conftest.$ac_ext <<_ACEOF
program main
@@ -27803,7 +28378,8 @@ rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
fi
- ac_ext=c
+ ## Change to the C language
+ ac_ext=c
ac_cpp='$CPP $CPPFLAGS'
ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
@@ -27811,8 +28387,12 @@ ac_compiler_gnu=$ac_cv_c_compiler_gnu
fi
- if test "X$PARALLEL" = "Xyes" -a -z "$RUNPARALLEL"; then
- for path in `echo $PATH | ${TR} ":" " "`; do
+ ## Set RUNPARALLEL to mpiexec if not set yet.
+ ## Check for building on Cray if RUNPARALLEL is not yet set by checking
+ ## for 'aprun' command (which is the parallel job launcher, like mpiexec).
+ if test "X$PARALLEL" = "Xyes" -a -z "$RUNPARALLEL"; then
+ ## Find the path where aprun is located.
+ for path in `echo $PATH | ${TR} ":" " "`; do
if test -x $path/aprun; then
RUNPARALLEL="aprun -q -n \$\${NPROCS:=6}"
break;
@@ -27820,7 +28400,8 @@ ac_compiler_gnu=$ac_cv_c_compiler_gnu
done
fi
- if test "X$PARALLEL" = "Xyes" -a -z "$RUNPARALLEL"; then
+ ## Set RUNPARALLEL to mpiexec if not set yet.
+ if test "X$PARALLEL" = "Xyes" -a -z "$RUNPARALLEL"; then
RUNPARALLEL="mpiexec -n \$\${NPROCS:=6}"
fi
;;
@@ -27832,16 +28413,22 @@ $as_echo "error" >&6; }
;;
esac
+## ----------------------------------------------------------------------
+## Print some other parallel information and do some sanity checks.
+##
ADD_PARALLEL_FILES="no"
if test -n "$PARALLEL"; then
- TESTPARALLEL=testpar
+ ## The 'testpar' directory should participate in the build
+ TESTPARALLEL=testpar
+ ## We are building a parallel library
$as_echo "#define HAVE_PARALLEL 1" >>confdefs.h
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking prefix for running on one processor" >&5
+ ## Display what we found about running programs
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking prefix for running on one processor" >&5
$as_echo_n "checking prefix for running on one processor... " >&6; }
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $RUNSERIAL" >&5
$as_echo "$RUNSERIAL" >&6; }
@@ -27850,7 +28437,8 @@ $as_echo_n "checking prefix for running in parallel... " >&6; }
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $RUNPARALLEL" >&5
$as_echo "$RUNPARALLEL" >&6; }
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a simple MPI-IO program can be linked" >&5
+ ## Check that we can link a simple MPI and MPI-IO application
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking whether a simple MPI-IO program can be linked" >&5
$as_echo_n "checking whether a simple MPI-IO program can be linked... " >&6; }
cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
@@ -27882,11 +28470,15 @@ fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
- if test -z "$RUNPARALLEL"; then
+ ## There *must* be some way to run in parallel even if it's just the
+ ## word `none'.
+ if test -z "$RUNPARALLEL"; then
as_fn_error $? "no way to run a parallel program" "$LINENO" 5
fi
- if test "X$RUNSERIAL" = "Xnone"; then
+ ## If RUNSERIAL or RUNPARALLEL is the word `none' then replace it with
+ ## the empty string.
+ if test "X$RUNSERIAL" = "Xnone"; then
RUNSERIAL=""
fi
if test "X$RUNPARALLEL" = "Xnone"; then
@@ -27967,7 +28559,13 @@ rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
fi
- MPE=yes
+ ## --------------------------------------------------------------------
+ ## Do we want MPE instrumentation feature on?
+ ##
+ ## This must be done after enable-parallel is checked since it depends
+ ## on a mpich compiler.
+ ##
+ MPE=yes
# Check whether --with-mpe was given.
if test "${with_mpe+set}" = set; then :
@@ -28055,9 +28653,9 @@ else
unset MPE
fi
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for CLOG_Init in -llmpe" >&5
-$as_echo_n "checking for CLOG_Init in -llmpe... " >&6; }
-if ${ac_cv_lib_lmpe_CLOG_Init+:} false; then :
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPE_Init_mpi_io in -llmpe" >&5
+$as_echo_n "checking for MPE_Init_mpi_io in -llmpe... " >&6; }
+if ${ac_cv_lib_lmpe_MPE_Init_mpi_io+:} false; then :
$as_echo_n "(cached) " >&6
else
ac_check_lib_save_LIBS=$LIBS
@@ -28071,7 +28669,7 @@ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
#ifdef __cplusplus
extern "C"
#endif
-char CLOG_Init ();
+char MPE_Init_mpi_io ();
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -28083,23 +28681,23 @@ char CLOG_Init ();
int
main ()
{
-return CLOG_Init ();
+return MPE_Init_mpi_io ();
;
return 0;
}
_ACEOF
if ac_fn_c_try_link "$LINENO"; then :
- ac_cv_lib_lmpe_CLOG_Init=yes
+ ac_cv_lib_lmpe_MPE_Init_mpi_io=yes
else
- ac_cv_lib_lmpe_CLOG_Init=no
+ ac_cv_lib_lmpe_MPE_Init_mpi_io=no
fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
LIBS=$ac_check_lib_save_LIBS
fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lmpe_CLOG_Init" >&5
-$as_echo "$ac_cv_lib_lmpe_CLOG_Init" >&6; }
-if test "x$ac_cv_lib_lmpe_CLOG_Init" = xyes; then :
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lmpe_MPE_Init_mpi_io" >&5
+$as_echo "$ac_cv_lib_lmpe_MPE_Init_mpi_io" >&6; }
+if test "x$ac_cv_lib_lmpe_MPE_Init_mpi_io" = xyes; then :
cat >>confdefs.h <<_ACEOF
#define HAVE_LIBLMPE 1
_ACEOF
@@ -28125,7 +28723,9 @@ fi
;;
esac
- if test "X$mpe_inc" = "X/usr/include"; then
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
+ if test "X$mpe_inc" = "X/usr/include"; then
mpe_inc=""
fi
if test "X$mpe_lib" = "X/usr/lib"; then
@@ -28228,9 +28828,9 @@ else
LDFLAGS="$saved_LDFLAGS"; AM_LDFLAGS="$saved_AM_LDFLAGS"; unset MPE
fi
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for CLOG_Init in -llmpe" >&5
-$as_echo_n "checking for CLOG_Init in -llmpe... " >&6; }
-if ${ac_cv_lib_lmpe_CLOG_Init+:} false; then :
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPE_Init_mpi_io in -llmpe" >&5
+$as_echo_n "checking for MPE_Init_mpi_io in -llmpe... " >&6; }
+if ${ac_cv_lib_lmpe_MPE_Init_mpi_io+:} false; then :
$as_echo_n "(cached) " >&6
else
ac_check_lib_save_LIBS=$LIBS
@@ -28244,7 +28844,7 @@ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
#ifdef __cplusplus
extern "C"
#endif
-char CLOG_Init ();
+char MPE_Init_mpi_io ();
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -28256,23 +28856,23 @@ char CLOG_Init ();
int
main ()
{
-return CLOG_Init ();
+return MPE_Init_mpi_io ();
;
return 0;
}
_ACEOF
if ac_fn_c_try_link "$LINENO"; then :
- ac_cv_lib_lmpe_CLOG_Init=yes
+ ac_cv_lib_lmpe_MPE_Init_mpi_io=yes
else
- ac_cv_lib_lmpe_CLOG_Init=no
+ ac_cv_lib_lmpe_MPE_Init_mpi_io=no
fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
LIBS=$ac_check_lib_save_LIBS
fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lmpe_CLOG_Init" >&5
-$as_echo "$ac_cv_lib_lmpe_CLOG_Init" >&6; }
-if test "x$ac_cv_lib_lmpe_CLOG_Init" = xyes; then :
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lmpe_MPE_Init_mpi_io" >&5
+$as_echo "$ac_cv_lib_lmpe_MPE_Init_mpi_io" >&6; }
+if test "x$ac_cv_lib_lmpe_MPE_Init_mpi_io" = xyes; then :
cat >>confdefs.h <<_ACEOF
#define HAVE_LIBLMPE 1
_ACEOF
@@ -28340,9 +28940,9 @@ else
unset MPE
fi
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for CLOG_Init in -llmpe" >&5
-$as_echo_n "checking for CLOG_Init in -llmpe... " >&6; }
-if ${ac_cv_lib_lmpe_CLOG_Init+:} false; then :
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for MPE_Init_mpi_io in -llmpe" >&5
+$as_echo_n "checking for MPE_Init_mpi_io in -llmpe... " >&6; }
+if ${ac_cv_lib_lmpe_MPE_Init_mpi_io+:} false; then :
$as_echo_n "(cached) " >&6
else
ac_check_lib_save_LIBS=$LIBS
@@ -28356,7 +28956,7 @@ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
#ifdef __cplusplus
extern "C"
#endif
-char CLOG_Init ();
+char MPE_Init_mpi_io ();
#ifdef FC_DUMMY_MAIN
#ifndef FC_DUMMY_MAIN_EQ_F77
# ifdef __cplusplus
@@ -28368,23 +28968,23 @@ char CLOG_Init ();
int
main ()
{
-return CLOG_Init ();
+return MPE_Init_mpi_io ();
;
return 0;
}
_ACEOF
if ac_fn_c_try_link "$LINENO"; then :
- ac_cv_lib_lmpe_CLOG_Init=yes
+ ac_cv_lib_lmpe_MPE_Init_mpi_io=yes
else
- ac_cv_lib_lmpe_CLOG_Init=no
+ ac_cv_lib_lmpe_MPE_Init_mpi_io=no
fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
LIBS=$ac_check_lib_save_LIBS
fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lmpe_CLOG_Init" >&5
-$as_echo "$ac_cv_lib_lmpe_CLOG_Init" >&6; }
-if test "x$ac_cv_lib_lmpe_CLOG_Init" = xyes; then :
+{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_lib_lmpe_MPE_Init_mpi_io" >&5
+$as_echo "$ac_cv_lib_lmpe_MPE_Init_mpi_io" >&6; }
+if test "x$ac_cv_lib_lmpe_MPE_Init_mpi_io" = xyes; then :
cat >>confdefs.h <<_ACEOF
#define HAVE_LIBLMPE 1
_ACEOF
@@ -28405,7 +29005,13 @@ $as_echo "#define HAVE_MPE 1" >>confdefs.h
fi
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking if MPI_File_set_size works for files over 2GB" >&5
+ ## ----------------------------------------------------------------------
+ ## Set the flag to indicate that the MPI_File_set_size() function
+ ## works with files over 2GB, unless it's already set in the cache.
+ ## (This flag should be set for all machines, except for ASCI Red, where
+ ## the cache value is set in it's config file)
+ ##
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking if MPI_File_set_size works for files over 2GB" >&5
$as_echo_n "checking if MPI_File_set_size works for files over 2GB... " >&6; }
if ${hdf5_cv_mpi_file_set_size_big+:} false; then :
$as_echo_n "(cached) " >&6
@@ -28425,7 +29031,14 @@ $as_echo "yes" >&6; }
$as_echo "no" >&6; }
fi
- # Check whether --enable-mpi-size was given.
+ ## ----------------------------------------------------------------------
+ ## Set the flag to indicate that the MPI_File_get_size() function
+ ## works. The default is enabled unless the user knows the function
+ ## doesn't work on the system and disables it. (This flag should be set
+ ## for all machines except for SGI Altix Propack 4 where the function
+ ## doesn't return correct file size.)
+ ##
+ # Check whether --enable-mpi-size was given.
if test "${enable_mpi_size+set}" = set; then :
enableval=$enable_mpi_size; MPI_GET_SIZE=$enableval
fi
@@ -28452,6 +29065,14 @@ $as_echo "#define HAVE_MPI_GET_SIZE 1" >>confdefs.h
esac
fi
+## ----------------------------------------------------------------------
+## Turn on internal I/O filters by setting macros in header files
+## Internal I/O filters are contained entirely within the library and do
+## not depend on external headers or libraries. The shuffle filter is
+## an example of an internal filter, while the gzip filter is an example of
+## an external filter. Each external filter is controlled with an
+## "--with-foo=" configure flag.
+##
USE_FILTER_SHUFFLE="no"
USE_FILTER_FLETCHER32="no"
@@ -28465,6 +29086,7 @@ if test "${enable_filters+set}" = set; then :
fi
+## Eventually: all_filters="shuffle,foo,bar,baz"
all_filters="shuffle,fletcher32,nbit,scaleoffset"
case "X-$FILTERS" in
X-|X-all)
@@ -28485,7 +29107,11 @@ esac
if test -n "$FILTERS"; then
for filter in `echo $FILTERS | tr ${as_cr_letters}',' ${as_cr_LETTERS}' '`; do
- if test $filter = "SHUFFLE"; then
+ ## ------------------------------------------------------------------
+ ## Have to use separate 'if' construct for each filter, so that
+ ## autoheader can detect the AC_DEFINE for each one...
+ ##
+ if test $filter = "SHUFFLE"; then
$as_echo "#define HAVE_FILTER_SHUFFLE 1" >>confdefs.h
@@ -28512,12 +29138,19 @@ $as_echo "#define HAVE_FILTER_SCALEOFFSET 1" >>confdefs.h
done
fi
+## ----------------------------------------------------------------------
+## This is defined only when we're using CodeWarrior, since it has a
+## broken "open()" call.
+#
if test 1 = 2; then
$as_echo "#define NO_SHARED_WRITING 1" >>confdefs.h
fi
+## --------------------------------------------------------------------------
+## Should the Default Virtual File Driver be compiled?
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for Default Virtual File Driver definition" >&5
$as_echo_n "checking for Default Virtual File Driver definition... " >&6; }
@@ -28554,6 +29187,9 @@ _ACEOF
fi
+## ----------------------------------------------------------------------
+## Check if Direct I/O driver is enabled by --enable-direct-vfd
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for Direct Virtual File Driver support" >&5
$as_echo_n "checking for Direct Virtual File Driver support... " >&6; }
@@ -28654,6 +29290,12 @@ else
fi
+## ----------------------------------------------------------------------
+## Decide whether the presence of user's exception handling functions is
+## checked and data conversion exceptions are returned. This is mainly
+## for the speed optimization of hard conversions. Soft conversions can
+## actually benefit little.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether exception handling functions is checked during data conversions" >&5
$as_echo_n "checking whether exception handling functions is checked during data conversions... " >&6; }
# Check whether --enable-dconv-exception was given.
@@ -28675,6 +29317,12 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Decide whether the data accuracy has higher priority during data
+## conversions. If not, some hard conversions will still be prefered even
+## though the data may be wrong (for example, some compilers don't
+## support denormalized floating values) to maximize speed.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking whether data accuracy is guaranteed during data conversions" >&5
$as_echo_n "checking whether data accuracy is guaranteed during data conversions... " >&6; }
# Check whether --enable-dconv-accuracy was given.
@@ -28696,6 +29344,12 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can handle converting
+## denormalized floating-point values.
+## (This flag should be set for all machines, except for the Crays, where
+## the cache value is set in it's config file)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if converting denormalized floating-point values is possible" >&5
$as_echo_n "checking if converting denormalized floating-point values is possible... " >&6; }
if ${hdf5_cv_convert_denormal_float+:} false; then :
@@ -28716,6 +29370,12 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can handle converting
+## floating-point to long long values.
+## (This flag should be _unset_ for all machines, except for Windows, where
+## it's set in the custom Windows H5pubconf.h file)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if converting floating-point values to long long is not working" >&5
$as_echo_n "checking if converting floating-point values to long long is not working... " >&6; }
if ${hdf5_cv_convert_float_llong_not_works+:} false; then :
@@ -28736,6 +29396,12 @@ else
$as_echo "false" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine has window style pathname,
+## that is, "drive-letter:\" (e.g. "C:") or "drive-letter:/" (e.g. "C:/").
+## (This flag should be _unset_ for all machines, except for Windows, where
+## it's set in the custom Windows H5pubconf.h file)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if the machine has window style path name" >&5
$as_echo_n "checking if the machine has window style path name... " >&6; }
@@ -28753,6 +29419,13 @@ $as_echo "no" >&6; }
;;
esac
+## -----------------------------------------------------------------------
+## Set flag to indicate that the machine can handle conversion from
+## long double to integers accurately. This flag should be set "yes" for
+## all machines except all SGIs. For SGIs, some conversions are
+## incorrect and its cache value is set "no" in its config/irix6.x and
+## irix5.x.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if converting from long double to integers is accurate" >&5
$as_echo_n "checking if converting from long double to integers is accurate... " >&6; }
@@ -28778,6 +29451,13 @@ else
$as_echo "no" >&6; }
fi
+## -----------------------------------------------------------------------
+## Set flag to indicate that the machine can do conversion from
+## long double to integers regardless of accuracy. This flag should be
+## set "yes" for all machines except HP-UX 11.00. For HP-UX 11.00, the
+## compiler has 'floating exception' when converting 'long double' to all
+## integers except 'unsigned long long'. Other HP-UX systems are unknown
+## yet. (1/8/05 - SLU)
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if converting from long double to integers works" >&5
$as_echo_n "checking if converting from long double to integers works... " >&6; }
@@ -28844,6 +29524,13 @@ else
$as_echo "no" >&6; }
fi
+## -----------------------------------------------------------------------
+## Set flag to indicate that the machine can handle conversion from
+## integers to long double. (This flag should be set "yes" for all
+## machines except all SGIs, where some conversions are
+## incorrect and its cache value is set "no" in its config/irix6.x and
+## irix5.x)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if accurately converting from integers to long double" >&5
$as_echo_n "checking if accurately converting from integers to long double... " >&6; }
@@ -28869,6 +29556,14 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'unsigned long' to 'float' values.
+## (This flag should be set for all machines, except for Pathscale compiler
+## on Sandia's Linux machine where the compiler interprets 'unsigned long'
+## values as negative when the first bit of 'unsigned long' is on during
+## the conversion to float.)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if accurately converting unsigned long to float values" >&5
$as_echo_n "checking if accurately converting unsigned long to float values... " >&6; }
@@ -28937,6 +29632,14 @@ $as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'unsigned (long) long' values to 'float' and 'double' values.
+## (This flag should be set for all machines, except for the SGIs, where
+## the cache value is set in the config/irix6.x config file) and Solaris
+## 64-bit machines, where the short program below tests if round-up is
+## correctly handled.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if accurately converting unsigned long long to floating-point values" >&5
$as_echo_n "checking if accurately converting unsigned long long to floating-point values... " >&6; }
@@ -29044,6 +29747,13 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'float' or 'double' to 'unsigned long long' values.
+## (This flag should be set for all machines, except for PGI compiler
+## where round-up happens when the fraction of float-point value is greater
+## than 0.5.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if accurately roundup converting floating-point to unsigned long long values" >&5
$as_echo_n "checking if accurately roundup converting floating-point to unsigned long long values... " >&6; }
@@ -29100,6 +29810,13 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'float', 'double' or 'long double' to 'unsigned long long' values.
+## (This flag should be set for all machines, except for HP-UX machines
+## where the maximal number for unsigned long long is 0x7fffffffffffffff
+## during conversion.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if right maximum converting floating-point to unsigned long long values" >&5
$as_echo_n "checking if right maximum converting floating-point to unsigned long long values... " >&6; }
@@ -29163,6 +29880,11 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'long double' to 'unsigned int' values. (This flag should be set for
+## all machines, except for some Intel compilers on some Linux.)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if correctly converting long double to unsigned int values" >&5
$as_echo_n "checking if correctly converting long double to unsigned int values... " >&6; }
@@ -29220,6 +29942,13 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can _compile_
+## 'unsigned long long' to 'float' and 'double' typecasts.
+## (This flag should be set for all machines, except for under Windows when
+## compiled with Visual Studio 6, where the macro value is set in the
+## src/H5pubconf.h file)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if compiling unsigned long long to floating-point typecasts work" >&5
$as_echo_n "checking if compiling unsigned long long to floating-point typecasts work... " >&6; }
if ${hdf5_cv_ullong_to_fp_cast_works+:} false; then :
@@ -29240,6 +29969,13 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can _compile_
+## 'long long' to 'float' and 'double' typecasts.
+## (This flag should be set for all machines, except for under Windows when
+## compiled with Visual Studio 6, where the macro value is set in the
+## src/H5pubconf.h file)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if compiling long long to floating-point typecasts work" >&5
$as_echo_n "checking if compiling long long to floating-point typecasts work... " >&6; }
if ${hdf5_cv_llong_to_fp_cast_works+:} false; then :
@@ -29260,6 +29996,13 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can convert from
+## 'unsigned long long' to 'long double' without precision loss.
+## (This flag should be set for all machines, except for FreeBSD(sleipnir)
+## where the last 2 bytes of mantissa are lost when compiler tries to do
+## the conversion, and Cygwin where compiler doesn't do rounding correctly.)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if converting unsigned long long to long double with precision" >&5
$as_echo_n "checking if converting unsigned long long to long double with precision... " >&6; }
@@ -29377,6 +30120,13 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can handle overflow converting
+## all floating-point to all integer types.
+## (This flag should be set for all machines, except for Cray X1 where
+## floating exception is generated when the floating-point value is greater
+## than the maximal integer value).
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if overflows normally converting floating-point to integer values" >&5
$as_echo_n "checking if overflows normally converting floating-point to integer values... " >&6; }
@@ -29427,6 +30177,15 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine is using a special algorithm to convert
+## 'long double' to '(unsigned) long' values. (This flag should only be set for
+## the IBM Power6 Linux. When the bit sequence of long double is
+## 0x4351ccf385ebc8a0bfcc2a3c3d855620, the converted value of (unsigned)long
+## is 0x004733ce17af227f, not the same as the library's conversion to 0x004733ce17af2282.
+## The machine's conversion gets the correct value. We define the macro and disable
+## this kind of test until we figure out what algorithm they use.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if using special algorithm to convert long double to (unsigned) long values" >&5
$as_echo_n "checking if using special algorithm to convert long double to (unsigned) long values... " >&6; }
@@ -29524,6 +30283,14 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine is using a special algorithm
+## to convert some values of '(unsigned) long' to 'long double' values.
+## (This flag should be off for all machines, except for IBM Power6 Linux,
+## when the bit sequences are 003fff..., 007fff..., 00ffff..., 01ffff...,
+## ..., 7fffff..., the compiler uses a unknown algorithm. We define a
+## macro and skip the test for now until we know about the algorithm.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if using special algorithm to convert (unsigned) long to long double values" >&5
$as_echo_n "checking if using special algorithm to convert (unsigned) long to long double values... " >&6; }
@@ -29623,6 +30390,15 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'long double' to '(unsigned) long long' values. (This flag should be set for
+## all machines, except for Mac OS 10.4 and SGI IRIX64 6.5. When the bit sequence
+## of long double is 0x4351ccf385ebc8a0bfcc2a3c..., the values of (unsigned)long long
+## start to go wrong on these two machines. Adjusting it higher to
+## 0x4351ccf385ebc8a0dfcc... or 0x4351ccf385ebc8a0ffcc... will make the converted
+## values wildly wrong. This test detects this wrong behavior and disable the test.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if correctly converting long double to (unsigned) long long values" >&5
$as_echo_n "checking if correctly converting long double to (unsigned) long long values... " >&6; }
@@ -29704,6 +30480,13 @@ $as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## '(unsigned) long long' to 'long double' values. (This flag should be set for
+## all machines, except for Mac OS 10.4, when the bit sequences are 003fff...,
+## 007fff..., 00ffff..., 01ffff..., ..., 7fffff..., the converted values are twice
+## as big as they should be.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if correctly converting (unsigned) long long to long double values" >&5
$as_echo_n "checking if correctly converting (unsigned) long long to long double values... " >&6; }
@@ -29788,6 +30571,12 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine generates bad code
+## for the H5V_log2_gen() routine in src/H5Vprivate.h
+## (This flag should be set to no for all machines, except for SGI IRIX64,
+## where the cache value is set to yes in it's config file)
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if bad code for log2 routine is generated" >&5
$as_echo_n "checking if bad code for log2 routine is generated... " >&6; }
if ${hdf5_cv_bad_log2_code_generated+:} false; then :
@@ -29808,19 +30597,28 @@ else
$as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Set some variables for general configuration information to be saved
+## and installed with the libraries.
+##
+## HDF5 version from the first line of the README.txt file.
H5_VERSION="`cut -d' ' -f3 $srcdir/README.txt | head -1`"
+## Configuration date
CONFIG_DATE="`date`"
+## User doing the configuration
CONFIG_USER="`whoami`@`hostname`"
if test -n "$ORGANIZATION"; then
CONFIG_USER="$CONFIG_USER at $ORGANIZATION"
fi
+## Configuration mode (production, development, profile, etc) saved above.
+## Byte sex from the AC_C_BIGENDIAN macro.
if test "X$ac_cv_c_bigendian" = "Xyes"; then
BYTESEX="big-endian"
@@ -29836,9 +30634,13 @@ else
fi
+## Parallel support? (set above except empty if none)
PARALLEL=${PARALLEL:-no}
+## Compiler with version information. This consists of the full path
+## name of the compiler and the reported version number.
+## Strip anything that looks like a flag off of $CC
CC_NOFLAGS=`echo $CC | sed 's/ -.*//'`
if `echo $CC_NOFLAGS | grep ^/ >/dev/null 2>&1`; then
@@ -29857,6 +30659,7 @@ if test -n "$cc_version_info"; then
fi
+## Strip anything that looks like a flag off of $CC
FC_NOFLAGS=`echo $FC | sed 's/ -.*//'`
if `echo $FC_NOFLAGS | grep ^/ >/dev/null 2>&1`; then
@@ -29875,6 +30678,7 @@ if test -n "$fc_version_info"; then
fi
+## Strip anything that looks like a flag off of $CC
CXX_NOFLAGS=`echo $CXX | sed 's/ -.*//'`
if `echo $CXX_NOFLAGS | grep ^/ >/dev/null 2>&1`; then
@@ -29892,6 +30696,12 @@ if test -n "$cxx_version_info"; then
CXX_VERSION="$CXX_VERSION ( $cxx_version_info)"
fi
+## ----------------------------------------------------------------------
+## Where is the root of the source tree. Give an absolute address so
+## we can find it no matter which directory of the distribution is our
+## current directory. The built-in pwd fails on some systems, but the
+## /bin/pwd version works OK.
+##
if test -x /bin/pwd; then
pwd=/bin/pwd
else
@@ -29899,8 +30709,16 @@ else
fi
ROOT="`$pwd`"
+## ----------------------------------------------------------------------
+## Move any compiler-specific libraries into the main LIBS varaible.
+##
LIBS="$DEFAULT_LIBS $LIBS"
+## ----------------------------------------------------------------------
+## Determine the runtime libraries we may need to include in the
+## libtools command so that executables will find the correct dynamic
+## libraries.
+##
DYNAMIC_DIRS=""
if test -n "$AM_LDFLAGS $LDFLAGS"; then
@@ -29910,7 +30728,9 @@ if test -n "$AM_LDFLAGS $LDFLAGS"; then
d="`echo $d | sed -e 's/-L//g'`"
case "$d" in
.*)
- d=${ROOT}/$d
+ ## If the path isn't absolute, make it so by
+ ## prepending the ROOT directory to it.
+ d=${ROOT}/$d
;;
esac
DYNAMIC_DIRS="-R${d} $DYNAMIC_DIRS"
@@ -29924,7 +30744,9 @@ if test -n "$AM_CPPFLAGS"; then
for d in $AM_CPPFLAGS ; do
case "$d" in
-I.*)
- d="`echo $d | sed -e 's/-I//g'`"
+ ## If the path isn't absolute, make it so by prepending
+ ## the ROOT directory to it.
+ d="`echo $d | sed -e 's/-I//g'`"
d="-I${ROOT}/${d}"
;;
esac
@@ -29933,9 +30755,12 @@ if test -n "$AM_CPPFLAGS"; then
AM_CPPFLAGS=$TEMP_CPPFLAGS
fi
+## ----------------------------------------------------------------------
+## Check if they would like the High Level library compiled
+##
HL=""
-# name of fortran folder inside "hl", if FORTRAN compile is requested
+## name of fortran folder inside "hl", if FORTRAN compile is requested
HL_FOR=""
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if high level library is enabled" >&5
$as_echo_n "checking if high level library is enabled... " >&6; }
@@ -29957,6 +30782,11 @@ else
echo "no"
fi
+## ----------------------------------------------------------------------
+## Some programs shouldn't be built by default (e.g., programs to generate
+## data files used by tests, some optional tests).
+## Check if they want such programs built anyway.
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking additional programs should be built" >&5
$as_echo_n "checking additional programs should be built... " >&6; }
# Check whether --enable-build-all was given.
@@ -29981,6 +30811,9 @@ else
fi
+## ----------------------------------------------------------------------
+## Enable deprecated public API symbols
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if deprecated public symbols are available" >&5
$as_echo_n "checking if deprecated public symbols are available... " >&6; };
@@ -30008,6 +30841,9 @@ $as_echo "#define NO_DEPRECATED_SYMBOLS 1" >>confdefs.h
;;
esac
+## --------------------------------------------------------------------------
+## Which version of the public APIs should the 'base' versioned symbols use?
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking which version of public symbols to use by default" >&5
@@ -30040,12 +30876,19 @@ else
as_fn_error $? "invalid version of public symbols given" "$LINENO" 5
fi
+## It's an error to try to disable deprecated public API symbols while
+## choosing an older version of the public API as the default. However,
+## if the user insists on doing this via the --enable-unsupported configure
+## flag, we'll let them.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${DEFAULT_API_VERSION}" != "Xv110" -a "X${DEPRECATED_SYMBOLS}" = "Xno" ; then
as_fn_error $? "Removing old public API symbols not allowed when using them as default public API symbols. Use --enable-unsupported to override this error." "$LINENO" 5
fi
fi
+## ----------------------------------------------------------------------
+## Enable strict file format checks
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking Whether to perform strict file format checks" >&5
$as_echo_n "checking Whether to perform strict file format checks... " >&6; };
@@ -30055,6 +30898,7 @@ if test "${enable_strict_format_checks+set}" = set; then :
fi
+## Default to yes if debug is enabled
if test "X-$STRICT_CHECKS" = X- ; then
if test -z "$DEBUG_PKG" ; then
STRICT_CHECKS=no
@@ -30080,6 +30924,9 @@ $as_echo "no" >&6; }
esac
+## ----------------------------------------------------------------------
+## Enable embedded library information
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking Whether to have library information embedded in the executables" >&5
$as_echo_n "checking Whether to have library information embedded in the executables... " >&6; }
# Check whether --enable-embedded-libinfo was given.
@@ -30102,6 +30949,9 @@ $as_echo "no" >&6; }
fi
+## ----------------------------------------------------------------------
+## Check if pointer alignments are enforced
+##
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking if alignment restrictions are strictly enforced" >&5
$as_echo_n "checking if alignment restrictions are strictly enforced... " >&6; }
if test "$cross_compiling" = yes; then :
@@ -30183,6 +31033,8 @@ fi
+## ----------------------------------------------------------------------
+## Restore user's CFLAGS.
CFLAGS="$saved_user_CFLAGS"
FCFLAGS="$saved_user_FCFLAGS"
CXXFLAGS="$saved_user_CXXFLAGS"
@@ -30190,6 +31042,9 @@ CPPFLAGS="$saved_user_CPPFLAGS"
LDFLAGS="$saved_user_LDFLAGS"
+## ----------------------------------------------------------------------
+## Create automake conditionals to tell automake makefiles which directories
+## need to be compiled
if test "X$HDF_CXX" = "Xyes"; then
BUILD_CXX_CONDITIONAL_TRUE=
@@ -30225,26 +31080,37 @@ fi
+## ----------------------------------------------------------------------
+## Build the Makefiles.
+##
+## The directory search list
SEARCH='$(srcdir) $(top_builddir)/src $(top_srcdir)/src'
cmd='echo $SEARCH |sed "s/ /'$SEARCH_SEP'/g"'
SEARCH="$SEARCH_RULE`eval $cmd`"
export SEARCH
+## We don't need to say when we're entering directories if we're using
+## GNU make because make does it for us.
if test "X$GMAKE" = "Xyes"; then
SETX=":"
else
SETX="set -x"
fi
+## Some cleanup stuff
rm -f conftest conftest.o conftest.c dummy.o *.mod
+## Build config.status, touch the stamp files, and build all the Makefiles.
+## The order is such that the first `make' does not need to update any
+## configuration information. See config/commence.in for the order in which
+## things need to be done.
-# First the stamp1 file for H5config.h.in
+## First the stamp1 file for H5config.h.in
mkdir ./config >/dev/null 2>&1
touch ./config/stamp1
-# Then the config.status file (but not makefiles)
+## Then the config.status file (but not makefiles)
saved_no_create=$no_create
no_create=yes
@@ -30849,7 +31715,7 @@ cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
# report actual input values of CONFIG_FILES etc. instead of their
# values after options handling.
ac_log="
-This file was extended by HDF5 $as_me 1.9.128, which was
+This file was extended by HDF5 $as_me 1.9.132, which was
generated by GNU Autoconf 2.69. Invocation command line was
CONFIG_FILES = $CONFIG_FILES
@@ -30915,7 +31781,7 @@ _ACEOF
cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
ac_cs_version="\\
-HDF5 config.status 1.9.128
+HDF5 config.status 1.9.132
configured by $0, generated by GNU Autoconf 2.69,
with options \\"\$ac_cs_config\\"
@@ -31034,7 +31900,6 @@ cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
#
# INIT-COMMANDS
#
-
AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"
@@ -31509,7 +32374,7 @@ for ac_config_target in $ac_config_targets
do
case $ac_config_target in
"src/H5config.h") CONFIG_HEADERS="$CONFIG_HEADERS src/H5config.h" ;;
- "default-1") CONFIG_COMMANDS="$CONFIG_COMMANDS default-1" ;;
+ "pubconf") CONFIG_COMMANDS="$CONFIG_COMMANDS pubconf" ;;
"depfiles") CONFIG_COMMANDS="$CONFIG_COMMANDS depfiles" ;;
"libtool") CONFIG_COMMANDS="$CONFIG_COMMANDS libtool" ;;
"src/libhdf5.settings") CONFIG_FILES="$CONFIG_FILES src/libhdf5.settings" ;;
@@ -32182,7 +33047,7 @@ $as_echo "$as_me: executing $ac_file commands" >&6;}
case $ac_file$ac_mode in
- "default-1":C)
+ "pubconf":C)
echo "creating src/H5pubconf.h"
sed 's/#define /#define H5_/' <src/H5config.h |\
sed 's/#undef /#undef H5_/' >pubconf
@@ -33689,7 +34554,7 @@ Usage: $0 [OPTIONS]
Report bugs to <bug-libtool@gnu.org>."
lt_cl_version="\
-HDF5 config.lt 1.9.128
+HDF5 config.lt 1.9.132
configured by $0, generated by GNU Autoconf 2.69.
Copyright (C) 2011 Free Software Foundation, Inc.
@@ -35163,15 +36028,16 @@ $lt_cl_success || as_fn_exit 1
no_create=$saved_no_create
-# Then the stamp2 file for H5config.h
+## Then the stamp2 file for H5config.h
touch ./config/stamp2
-# Finally the makefiles
+## Finally the makefiles
test "$no_create" = yes || ${CONFIG_SHELL-/bin/sh} $CONFIG_STATUS || exit 1
+## Post processing to patch up some deficiencies in libtool
case $host_os in
linux* | freebsd* )
- # If gcc is not used, need to set $wl to use "-Wl,"
+ ## If gcc is not used, need to set $wl to use "-Wl,"
if $CC -v 2>&1 | grep '^gcc' > /dev/null ; then
: using gcc
else
@@ -35185,6 +36051,12 @@ EOF
;;
esac
+## Are we compiling static libraries, shared libraries, or both? This
+## is only used for the libhdf5.settings file. We can't just look at
+## $enable_static and $enable_shared because if they're yes the ltconfig
+## might have decided that one or the other is simply not possible.
+## Therefore we have to ask the generated `libtool' shell script
+## which 'features' it has enabled.
if (./libtool --features | grep '^enable shared libraries' > /dev/null); then
enable_shared=yes
else
@@ -35217,6 +36089,9 @@ if test "X$HDF_CXX" = "Xyes"; then
chmod 755 c++/src/h5c++
fi
+## We don't want inline defined for C++ compilers
+## Don't worry about the C++ ifdef wrappers in the H5pubconf file, since
+## 'H5_inline' isn't a C++ keyword.
cat >> src/H5config.h <<EOF
#if defined(__cplusplus) && defined(inline)
@@ -35224,4 +36099,5 @@ cat >> src/H5config.h <<EOF
#endif
EOF
+## show the configure settings
cat src/libhdf5.settings
diff --git a/configure.ac b/configure.ac
index 39a00b9..e40a1af 100644
--- a/configure.ac
+++ b/configure.ac
@@ -1,64 +1,69 @@
-dnl Process this file with autoconf to produce configure.
-dnl
-dnl Copyright by The HDF Group.
-dnl Copyright by the Board of Trustees of the University of Illinois.
-dnl All rights reserved.
-dnl
-dnl This file is part of HDF5. The full HDF5 copyright notice, including
-dnl terms governing use, modification, and redistribution, is contained in
-dnl the files COPYING and Copyright.html. COPYING can be found at the root
-dnl of the source code distribution tree; Copyright.html can be found at the
-dnl root level of an installed copy of the electronic HDF5 document set and
-dnl is linked from the top-level documents page. It can also be found at
-dnl http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-dnl access to either file, you may request a copy from help@hdfgroup.org.
-
-dnl ----------------------------------------------------------------------
-dnl Initialize configure.
-dnl
+## Process this file with autoconf to produce configure.
+##
+## Copyright by The HDF Group.
+## Copyright by the Board of Trustees of the University of Illinois.
+## All rights reserved.
+##
+## This file is part of HDF5. The full HDF5 copyright notice, including
+## terms governing use, modification, and redistribution, is contained in
+## the files COPYING and Copyright.html. COPYING can be found at the root
+## of the source code distribution tree; Copyright.html can be found at the
+## root level of an installed copy of the electronic HDF5 document set and
+## is linked from the top-level documents page. It can also be found at
+## http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
+## access to either file, you may request a copy from help@hdfgroup.org.
+
+## ----------------------------------------------------------------------
+## Initialize configure.
+##
AC_REVISION($Id: configure.ac 22697 2012-08-19 14:35:47Z hdftest $)
-AC_PREREQ([2.53])
-
-dnl AC_INIT takes the name of the package, the version number, and an
-dnl email address to report bugs. AC_CONFIG_SRCDIR takes a unique file
-dnl as its argument.
-dnl
-dnl NOTE: Don't forget to change the version number here when we do a
-dnl release!!!
-dnl
-AC_INIT([HDF5], [1.9.128], [help@hdfgroup.org])
+AC_PREREQ([2.69])
+
+## AC_INIT takes the name of the package, the version number, and an
+## email address to report bugs. AC_CONFIG_SRCDIR takes a unique file
+## as its argument.
+##
+## NOTE: Do not forget to change the version number here when we do a
+## release!!!
+##
+AC_INIT([HDF5], [1.9.132], [help@hdfgroup.org])
AC_CONFIG_SRCDIR([src/H5.c])
-AM_CONFIG_HEADER([src/H5config.h])
+AC_CONFIG_HEADER([src/H5config.h])
AC_CONFIG_AUX_DIR([bin])
AC_CONFIG_MACRO_DIR([m4])
-dnl AM_INIT_AUTOMAKE takes a list of options that should be applied to
-dnl every Makefile.am when automake is run.
+## AM_INIT_AUTOMAKE takes a list of options that should be applied to
+## every Makefile.am when automake is run.
AM_INIT_AUTOMAKE([foreign])
AM_SILENT_RULES([yes])
-dnl AM_MAINTAINER_MODE turns off "rebuild rules" that contain dependencies
-dnl for Makefiles, configure, src/H5config.h, etc. If AM_MAINTAINER_MODE
-dnl is *not* included here, these files will be rebuilt if out of date.
-dnl This is a problem because if users try to build on a machine with
-dnl the wrong versions of autoconf and automake, these files will be
-dnl rebuilt with the wrong versions and bad things can happen.
-dnl Also, CVS doesn't preserve dependencies between timestamps, so
-dnl Makefiles will often think rebuilding needs to occur when it doesn't.
-dnl Developers should './configure --enable-maintainer-mode' to turn on
-dnl rebuild rules.
+## AM_MAINTAINER_MODE turns off "rebuild rules" that contain dependencies
+## for Makefiles, configure, src/H5config.h, etc. If AM_MAINTAINER_MODE
+## is *not* included here, these files will be rebuilt if out of date.
+## This is a problem because if users try to build on a machine with
+## the wrong versions of autoconf and automake, these files will be
+## rebuilt with the wrong versions and bad things can happen.
+## Also, CVS doesn't preserve dependencies between timestamps, so
+## Makefiles will often think rebuilding needs to occur when it doesn't.
+## Developers should './configure --enable-maintainer-mode' to turn on
+## rebuild rules.
AM_MAINTAINER_MODE
-dnl Run post processing on files created by configure.
-dnl src/H5pubconf.h:
-dnl Generate src/H5pubconf.h from src/H5config.h by prepending H5_ to all
-dnl macro names. This avoid name conflict between HDF5 macro names and those
-dnl generated by another software package that uses the HDF5 library.
-dnl src/libhdf5.settings:
-dnl Remove all lines begun with "#" which are generated by CONDITIONAL's of
-dnl configure.
-AC_OUTPUT_COMMANDS([
+## ----------------------------------------------------------------------
+## Set prefix default (install directory) to a directory in the build area.
+## This allows multiple src-dir builds within one host.
+AC_PREFIX_DEFAULT([`pwd`/hdf5])
+
+## Run post processing on files created by configure.
+## src/H5pubconf.h:
+## Generate src/H5pubconf.h from src/H5config.h by prepending H5_ to all
+## macro names. This avoid name conflict between HDF5 macro names and those
+## generated by another software package that uses the HDF5 library.
+## src/libhdf5.settings:
+## Remove all lines begun with "#" which are generated by CONDITIONAL's of
+## configure.
+AC_CONFIG_COMMANDS([pubconf], [
echo "creating src/H5pubconf.h"
sed 's/#define /#define H5_/' <src/H5config.h |\
sed 's/#undef /#undef H5_/' >pubconf
@@ -76,10 +81,10 @@ AC_OUTPUT_COMMANDS([
rm -f libhdf5.settings.TMP
])
-dnl It's possible to configure for a host other than the one on which
-dnl configure is currently running by using the --host=foo flag.
-dnl For machines on which HDF5 is often configured, it can be convenient
-dnl to specify the name of the machine rather than its canonical type.
+## It's possible to configure for a host other than the one on which
+## configure is currently running by using the --host=foo flag.
+## For machines on which HDF5 is often configured, it can be convenient
+## to specify the name of the machine rather than its canonical type.
case $host_alias in
redstorm)
host_alias=x86_64-redstorm-linux-gnu
@@ -89,23 +94,23 @@ esac
AC_CANONICAL_HOST
AC_SUBST([CPPFLAGS])
-dnl H5_CFLAGS (and company) are for CFLAGS that should be used on HDF5, but
-dnl not exported to h5cc (or h5fc, etc.)
+## H5_CFLAGS (and company) are for CFLAGS that should be used on HDF5, but
+## not exported to h5cc (or h5fc, etc.)
AC_SUBST([H5_CFLAGS])
AC_SUBST([H5_CPPFLAGS])
AC_SUBST([H5_FCFLAGS])
AC_SUBST([H5_CXXFLAGS])
AC_SUBST([H5_LDFLAGS])
-dnl AM_CFLAGS (and company) are for CFLAGS that should be used on HDF5,
-dnl and WILL be exported to h5cc (or h5fc, etc) if set by configure.
+## AM_CFLAGS (and company) are for CFLAGS that should be used on HDF5,
+## and WILL be exported to h5cc (or h5fc, etc) if set by configure.
AC_SUBST([AM_CFLAGS])
AC_SUBST([AM_FCFLAGS])
AC_SUBST([AM_CXXFLAGS])
AC_SUBST([AM_CPPFLAGS])
AC_SUBST([AM_LDFLAGS])
-dnl Make sure flags are set to something (otherwise macros may set them later).
+## Make sure flags are set to something (otherwise macros may set them later).
AM_CFLAGS="${AM_CFLAGS}"
AM_CXXFLAGS="${AM_CXXFLAGS}"
AM_FCFLAGS="${AM_FCFLAGS}"
@@ -117,94 +122,89 @@ FCFLAGS="${FCFLAGS}"
CPPFLAGS="${CPPFLAGS}"
LDFLAGS="${LDFLAGS}"
-dnl Configure may need to alter any of the *FLAGS variables in order for
-dnl various checks to work correctly. Save the user's value here so it
-dnl can be restored once all configure checks are complete.
+## Configure may need to alter any of the *FLAGS variables in order for
+## various checks to work correctly. Save the user's value here so it
+## can be restored once all configure checks are complete.
saved_user_CFLAGS="$CFLAGS"
saved_user_CXXFLAGS="$CXXFLAGS"
saved_user_FCFLAGS="$FCFLAGS"
saved_user_LDFLAGS="$LDFLAGS"
saved_user_CPPFLAGS="$CPPFLAGS"
-dnl Different compilers may need default libraries. They are specified in
-dnl the config/* files, so we put this statement here so that it'll be
-dnl set by the code which follows...
-dnl
+## Different compilers may need default libraries. They are specified in
+## the config/* files, so we put this statement here so that it'll be
+## set by the code which follows...
+##
DEFAULT_LIBS=""
-dnl Support F9X variable to define Fortran compiler if FC variable is
-dnl not used. This should be deprecated in the future.
+## Support F9X variable to define Fortran compiler if FC variable is
+## not used. This should be deprecated in the future.
if test "x" = "x$FC"; then
FC=${F9X}
fi
-dnl ----------------------------------------------------------------------
-dnl Set prefix default (install directory) to a directory in the build area.
-dnl This allows multiple src-dir builds within one host.
-AC_PREFIX_DEFAULT([`pwd`/hdf5])
-
-dnl ----------------------------------------------------------------------
-dnl Dump all shell variables values.
-dnl
+## ----------------------------------------------------------------------
+## Dump all shell variables values.
+##
AC_MSG_CHECKING([shell variables initial values])
set >&AS_MESSAGE_LOG_FD
AC_MSG_RESULT([done])
-dnl Define all symbol variables used for configure summary.
-dnl EXTERNAL_FILTERS equals all external filters. Default none.
-dnl MPE: whether MPE option is enabled. Default no.
-dnl STATIC_EXEC: whether static-exec is enabled. Default no.
-dnl HDF_FORTRAN: whether Fortran is enabled. Default no.
-dnl HDF_FORTRAN2003: whether Fortran 2003 is enabled. Default no.
-dnl FC: Fortran compiler.
-dnl HDF_CXX: whether C++ is enabled. Default no.
-dnl CXX: C++ compiler.
-dnl HDF5_HL: whether high-level library is enabled. Default is yes.
-dnl GPFS: whether gpfs is enabled. Default no.
-dnl LARGEFILE: whether largefile support is enabled. Default yes.
-dnl INSTRUMENT: whether INSTRUMENT is enabled. No default set here.
-dnl CODESTACK: whether CODESTACK is enabled. Default no.
-dnl HAVE_DMALLOC: whether system has dmalloc support. Default no.
-dnl DIRECT_VFD: whether DIRECT_VFD is enabled. Default no.
-dnl THREADSAFE: whether THREADSAFE is enabled. Default no.
-dnl STATIC_SHARED: whether static and/or shared libraries are requested.
-dnl enable_shared: whether shared lib is enabled.
-dnl enable_static: whether static lib is enabled.
-dnl UNAME_INFO: System information.
-
-AC_SUBST(EXTERNAL_FILTERS)
-AC_SUBST(MPE) MPE=no
-AC_SUBST(STATIC_EXEC) STATIC_EXEC=no
-AC_SUBST(HDF_FORTRAN) HDF_FORTRAN=no
-AC_SUBST(HDF_FORTRAN2003) HDF_FORTRAN2003=no
-AC_SUBST(FC) HDF_FORTRAN=no
-AC_SUBST(FC2003) HDF_FORTRAN2003=no
-AC_SUBST(HDF_CXX) HDF_CXX=no
-AC_SUBST(CXX) HDF_CXX=no
-AC_SUBST(HDF5_HL) HDF5_HL=yes
-AC_SUBST(GPFS) GPFS=no
-AC_SUBST(LARGEFILE) LARGEFILE=yes
-AC_SUBST(INSTRUMENT)
-AC_SUBST(CODESTACK) CODESTACK=no
-AC_SUBST(HAVE_DMALLOC) HAVE_DMALLOC=no
-AC_SUBST(DIRECT_VFD) DIRECT_VFD=no
-AC_SUBST(THREADSAFE) THREADSAFE=no
-AC_SUBST(STATIC_SHARED)
-AC_SUBST(enable_shared)
-AC_SUBST(enable_static)
-AC_SUBST(UNAME_INFO) UNAME_INFO=`uname -a`
-
-dnl ----------------------------------------------------------------------
-dnl Some platforms have broken basename, and/or xargs programs. Check
-dnl that it actually does what it's supposed to do. Catch this early
-dnl since configure relies upon them heavily and there's no use continuing
-dnl if it's broken.
-dnl
-
-dnl Avoid depending upon Character Ranges.
-dnl These are defined by autoconf.
-dnl as_cr_letters='abcdefghijklmnopqrstuvwxyz'
-dnl as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+## Define all symbol variables used for configure summary.
+## EXTERNAL_FILTERS equals all external filters. Default none.
+## MPE: whether MPE option is enabled. Default no.
+## STATIC_EXEC: whether static-exec is enabled. Default no.
+## HDF_FORTRAN: whether Fortran is enabled. Default no.
+## HDF_FORTRAN2003: whether Fortran 2003 is enabled. Default no.
+## FC: Fortran compiler.
+## HDF_CXX: whether C++ is enabled. Default no.
+## CXX: C++ compiler.
+## HDF5_HL: whether high-level library is enabled. Default is yes.
+## GPFS: whether gpfs is enabled. Default no.
+## LARGEFILE: whether largefile support is enabled. Default yes.
+## INSTRUMENT: whether INSTRUMENT is enabled. No default set here.
+## CODESTACK: whether CODESTACK is enabled. Default no.
+## HAVE_DMALLOC: whether system has dmalloc support. Default no.
+## DIRECT_VFD: whether DIRECT_VFD is enabled. Default no.
+## THREADSAFE: whether THREADSAFE is enabled. Default no.
+## STATIC_SHARED: whether static and/or shared libraries are requested.
+## enable_shared: whether shared lib is enabled.
+## enable_static: whether static lib is enabled.
+## UNAME_INFO: System information.
+
+AC_SUBST([EXTERNAL_FILTERS])
+AC_SUBST([MPE]) MPE=no
+AC_SUBST([STATIC_EXEC]) STATIC_EXEC=no
+AC_SUBST([HDF_FORTRAN]) HDF_FORTRAN=no
+AC_SUBST([HDF_FORTRAN2003]) HDF_FORTRAN2003=no
+AC_SUBST([FC]) HDF_FORTRAN=no
+AC_SUBST([FC2003]) HDF_FORTRAN2003=no
+AC_SUBST([HDF_CXX]) HDF_CXX=no
+AC_SUBST([CXX]) HDF_CXX=no
+AC_SUBST([HDF5_HL]) HDF5_HL=yes
+AC_SUBST([GPFS]) GPFS=no
+AC_SUBST([LARGEFILE]) LARGEFILE=yes
+AC_SUBST([INSTRUMENT])
+AC_SUBST([CODESTACK]) CODESTACK=no
+AC_SUBST([HAVE_DMALLOC]) HAVE_DMALLOC=no
+AC_SUBST([DIRECT_VFD]) DIRECT_VFD=no
+AC_SUBST([THREADSAFE]) THREADSAFE=no
+AC_SUBST([STATIC_SHARED])
+AC_SUBST([enable_shared])
+AC_SUBST([enable_static])
+AC_SUBST([UNAME_INFO]) UNAME_INFO=`uname -a`
+
+## ----------------------------------------------------------------------
+## Some platforms have broken basename, and/or xargs programs. Check
+## that it actually does what it's supposed to do. Catch this early
+## since configure relies upon them heavily and there's no use continuing
+## if it's broken.
+##
+
+## Avoid depending upon Character Ranges.
+## These are defined by autoconf.
+## as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+## as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
AC_MSG_CHECKING([if basename works])
BASENAME_TEST="`basename /foo/bar/baz/qux/basename_works`"
@@ -222,35 +222,35 @@ else
AC_MSG_RESULT([yes])
fi
-dnl ----------------------------------------------------------------------
-dnl Check that the cache file was build on the same host as what we're
-dnl running on now.
-dnl
+## ----------------------------------------------------------------------
+## Check that the cache file was build on the same host as what we're
+## running on now.
+##
AC_CACHE_CHECK([for cached host], [hdf5_cv_host], [hdf5_cv_host="none"]);
if test $hdf5_cv_host = "none"; then
hdf5_cv_host=$host
elif test $hdf5_cv_host != $host; then
echo "The config.cache file was generated on $hdf5_cv_host but"
echo "this is $host. Please remove that file and try again."
- AC_MSG_ERROR(config.cache file is invalid)
+ AC_MSG_ERROR([config.cache file is invalid])
fi
-dnl ----------------------------------------------------------------------
-dnl Source any special files that we need. These files normally aren't
-dnl present but can be used by the maintainers to fine tune things like
-dnl turning on debug or profiling flags for the compiler. The search order
-dnl is:
-dnl
-dnl CPU-VENDOR-OS
-dnl VENDOR-OS
-dnl CPU-OS
-dnl CPU-VENDOR
-dnl OS
-dnl VENDOR
-dnl CPU
-dnl
-dnl If the `OS' ends with a version number then remove it. For instance,
-dnl `freebsd3.1' would become `freebsd'
+## ----------------------------------------------------------------------
+## Source any special files that we need. These files normally aren't
+## present but can be used by the maintainers to fine tune things like
+## turning on debug or profiling flags for the compiler. The search order
+## is:
+##
+## CPU-VENDOR-OS
+## VENDOR-OS
+## CPU-OS
+## CPU-VENDOR
+## OS
+## VENDOR
+## CPU
+##
+## If the `OS' ends with a version number then remove it. For instance,
+## `freebsd3.1' would become `freebsd'
case $host_os in
aix*)
@@ -304,7 +304,7 @@ if test "X$host_config" != "Xnone"; then
. $host_config
fi
-dnl Source any special site-specific file
+## Source any special site-specific file
hname="`hostname`"
while test -n "$hname"; do
file=$srcdir/config/site-specific/host-$hname
@@ -320,39 +320,39 @@ while test -n "$hname"; do
test "$hname_tmp" = "$hname" && break
done
-dnl ----------------------------------------------------------------------
-dnl Some built-in configure checks can only see CFLAGS (not AM_CFLAGS), so
-dnl we need to add this in so configure works as intended. We will need to
-dnl reset this value at the end of configure, to preserve the user's settings.
+## ----------------------------------------------------------------------
+## Some built-in configure checks can only see CFLAGS (not AM_CFLAGS), so
+## we need to add this in so configure works as intended. We will need to
+## reset this value at the end of configure, to preserve the user's settings.
CFLAGS="${AM_CFLAGS} ${CFLAGS}"
FCFLAGS="${AM_FCFLAGS} ${FCFLAGS}"
CXXFLAGS="${AM_CXXFLAGS} ${CXXFLAGS}"
CPPFLAGS="${AM_CPPFLAGS} ${CPPFLAGS}"
LDFLAGS="${AM_LDFLAGS} ${LDFLAGS}"
-dnl ----------------------------------------------------------------------
-dnl Enable dependency tracking unless the configure options or a
-dnl site-specific file told us not to. This prevents configure from
-dnl silently disabling dependencies for some compilers.
-dnl
+## ----------------------------------------------------------------------
+## Enable dependency tracking unless the configure options or a
+## site-specific file told us not to. This prevents configure from
+## silently disabling dependencies for some compilers.
+##
if test -z "${enable_dependency_tracking}"; then
enable_dependency_tracking="yes"
fi
-dnl ----------------------------------------------------------------------
-dnl Check for programs.
-dnl
+## ----------------------------------------------------------------------
+## Check for programs.
+##
AC_PROG_CC
CC_BASENAME="`echo $CC | cut -f1 -d' ' | xargs basename 2>/dev/null`"
-dnl ----------------------------------------------------------------------------
-dnl Configure disallows unsupported combinations of options. However, users
-dnl may want to override and build with unsupported combinations for their
-dnl own use. They can use the --enable-unsupported configure flag, which
-dnl ignores any errors from configure due to incompatible flags.
+## ----------------------------------------------------------------------------
+## Configure disallows unsupported combinations of options. However, users
+## may want to override and build with unsupported combinations for their
+## own use. They can use the --enable-unsupported configure flag, which
+## ignores any errors from configure due to incompatible flags.
AC_MSG_CHECKING([if unsupported combinations of configure options are allowed])
AC_ARG_ENABLE([unsupported],
- [AC_HELP_STRING([--enable-unsupported],
+ [AS_HELP_STRING([--enable-unsupported],
[Allow unsupported combinations of configure options])],
[ALLOW_UNSUPPORTED=$enableval])
@@ -367,13 +367,13 @@ case "X-$ALLOW_UNSUPPORTED" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Check if they would like the Fortran interface compiled
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like the Fortran interface compiled
+##
AC_SUBST([HDF5_INTERFACES]) HDF5_INTERFACES=""
AC_MSG_CHECKING([if Fortran interface enabled])
AC_ARG_ENABLE([fortran],
- [AC_HELP_STRING([--enable-fortran],
+ [AS_HELP_STRING([--enable-fortran],
[Compile the Fortran 77/90/95 interface [default=no]])],
[HDF_FORTRAN=$enableval])
@@ -384,18 +384,18 @@ else
fi
-dnl ----------------------------------------------------------------------
-dnl Check if they would like the Fortran 2003 interface compiled
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like the Fortran 2003 interface compiled
+##
AC_MSG_CHECKING([if Fortran 2003 interface enabled])
AC_ARG_ENABLE([fortran2003],
- [AC_HELP_STRING([--enable-fortran2003],
+ [AS_HELP_STRING([--enable-fortran2003],
[Compile the Fortran 2003 interface, must also specify --enable-fortran [default=no]])],
[HDF_FORTRAN2003=$enableval])
-dnl ----------------------------------------------------------------------
-dnl Check to make sure --enable-fortran is present if --enable-fortran2003
-dnl was specified
+## ----------------------------------------------------------------------
+## Check to make sure --enable-fortran is present if --enable-fortran2003
+## was specified
if test "X$HDF_FORTRAN2003" = "Xyes" && test "X$HDF_FORTRAN" = "Xno"; then
echo "no"
@@ -409,20 +409,20 @@ FORTRAN_DEFAULT_REALisDBLE="no"
if test "X$HDF_FORTRAN" = "Xyes"; then
- AC_SUBST(FC) HDF_FORTRAN=yes
+ AC_SUBST([FC]) HDF_FORTRAN=yes
AC_SUBST([HAVE_FORTRAN_2003])
HDF5_INTERFACES="$HDF5_INTERFACES fortran"
- dnl --------------------------------------------------------------------
- dnl Default for FORTRAN 2003 compliant compilers
- dnl
+ ## --------------------------------------------------------------------
+ ## Default for FORTRAN 2003 compliant compilers
+ ##
HAVE_FORTRAN_2003="no"
HAVE_F2003_REQUIREMENTS="no"
- dnl --------------------------------------------------------------------
- dnl HDF5 integer variables for the H5fortran_types.f90 file.
- dnl
+ ## --------------------------------------------------------------------
+ ## HDF5 integer variables for the H5fortran_types.f90 file.
+ ##
AC_SUBST([R_LARGE])
AC_SUBST([R_INTEGER])
AC_SUBST([HADDR_T])
@@ -432,42 +432,42 @@ if test "X$HDF_FORTRAN" = "Xyes"; then
AC_SUBST([SIZE_T])
AC_SUBST([OBJECT_NAMELEN_DEFAULT_F])
- dnl --------------------------------------------------------------------
- dnl General Fortran flags
- dnl
+ ## --------------------------------------------------------------------
+ ## General Fortran flags
+ ##
AM_FCFLAGS="${AM_FCFLAGS} ${FFLAGS}"
FCFLAGS="${FCFLAGS} ${FFLAGS}"
- dnl --------------------------------------------------------------------
- dnl Fortran source extention
- dnl
+ ## --------------------------------------------------------------------
+ ## Fortran source extention
+ ##
AC_FC_SRCEXT([f90])
AC_SUBST([F9XSUFFIXFLAG])
AC_SUBST([FSEARCH_DIRS])
- dnl --------------------------------------------------------------------
- dnl Check for a Fortran 9X compiler and how to include modules.
- dnl
+ ## --------------------------------------------------------------------
+ ## Check for a Fortran 9X compiler and how to include modules.
+ ##
AC_PROG_FC([f90 pgf90 slf90 f95 g95 xlf95 efc ifort ftn],)
AC_F9X_MODS
- dnl It seems that libtool (as of Libtool 1.5.14) is trying to
- dnl configure itself for Fortran 77.
- dnl Tell it that our F77 compiler is $FC (actually a F9X compiler)
+ ## It seems that libtool (as of Libtool 1.5.14) is trying to
+ ## configure itself for Fortran 77.
+ ## Tell it that our F77 compiler is $FC (actually a F9X compiler)
F77=$FC
- dnl Change to the Fortran 90 language
+ ## Change to the Fortran 90 language
AC_LANG_PUSH(Fortran)
- dnl --------------------------------------------------------------------
- dnl Define wrappers for the C compiler to use Fortran function names
- dnl
+ ## --------------------------------------------------------------------
+ ## Define wrappers for the C compiler to use Fortran function names
+ ##
AC_FC_WRAPPERS
- dnl --------------------------------------------------------------------
- dnl See if the compiler will support the "-I." option
- dnl
+ ## --------------------------------------------------------------------
+ ## See if the compiler will support the "-I." option
+ ##
dnl AM_FCFLAGS_saved=$AM_FCFLAGS
dnl AM_FCFLAGS="${AM_FCFLAGS} -I."
@@ -479,20 +479,20 @@ if test "X$HDF_FORTRAN" = "Xyes"; then
dnl AC_MSG_RESULT(no)
dnl AM_FCFLAGS="$AM_FCFLAGS_saved")
- dnl --------------------------------------------------------------------
- dnl See if the fortran compiler supports the intrinsic function "SIZEOF"
+ ## --------------------------------------------------------------------
+ ## See if the fortran compiler supports the intrinsic function "SIZEOF"
AC_MSG_CHECKING([if Fortran compiler supports intrinsic SIZEOF])
AC_TRY_RUN([
PROGRAM main
i = sizeof(x)
END PROGRAM
- ], [AC_MSG_RESULT(yes)
+ ], [AC_MSG_RESULT([yes])
HAVE_SIZEOF="yes"],
- AC_MSG_RESULT(no))
+ [AC_MSG_RESULT([no])])
- dnl Check to see if -r8 was specified to determine if we need to
- dnl compile the DOUBLE PRECISION interfaces.
+ ## Check to see if -r8 was specified to determine if we need to
+ ## compile the DOUBLE PRECISION interfaces.
AC_MSG_CHECKING([if Fortran default REAL is DOUBLE PRECISION])
@@ -518,14 +518,14 @@ if test "X$HDF_FORTRAN" = "Xyes"; then
CALL h5t(d)
END PROGRAM main
],
- AC_MSG_RESULT(no),
- [AC_MSG_RESULT(yes)
- FORTRAN_DEFAULT_REALisDBLE="yes"])
+ [AC_MSG_RESULT([no])],
+ [AC_MSG_RESULT([yes])
+ FORTRAN_DEFAULT_REALisDBLE="yes"])
if test "X$HDF_FORTRAN2003" = "Xyes"; then
- dnl Checking if the compiler supports the required Fortran 2003 features and
- dnl disable Fortran 2003 if it does not.
+ ## Checking if the compiler supports the required Fortran 2003 features and
+ ## disable Fortran 2003 if it does not.
AC_MSG_CHECKING([if Fortran compiler version compatible with Fortran 2003 HDF])
HAVE_FORTRAN_2003="no"
@@ -541,43 +541,41 @@ if test "X$HDF_FORTRAN" = "Xyes"; then
ptr = C_LOC(ichr(1:1))
])],
- [AC_MSG_RESULT(yes)
- HAVE_F2003_REQUIREMENTS=[yes]],
- [AC_MSG_RESULT(no)])
-
+ [AC_MSG_RESULT([yes])
+ HAVE_F2003_REQUIREMENTS=[yes]],
+ [AC_MSG_RESULT([no])])
if test "X$HAVE_F2003_REQUIREMENTS" = "Xno"; then
- dnl echo $HAVE_FORTRAN_2003
+ ## echo $HAVE_FORTRAN_2003
AC_MSG_ERROR([Fortran compiler lacks required Fortran 2003 features; unsupported Fortran 2003 compiler, remove --enable-fortran2003])
else
+ ## echo $HAVE_FORTRAN_2003
HAVE_FORTRAN_2003="yes"
- dnl echo $HAVE_FORTRAN_2003
fi
-
fi
else
FC="no"
fi
-dnl Change back to the C language
+## Change back to the C language
AC_LANG_POP(Fortran)
AM_CONDITIONAL([FORTRAN_HAVE_SIZEOF], [test "X$HAVE_SIZEOF" = "Xyes"])
AM_CONDITIONAL([FORTRAN_2003_CONDITIONAL_F], [test "X$HAVE_FORTRAN_2003" = "Xyes"])
AM_CONDITIONAL([FORTRAN_DEFAULT_REALisDBLE_F], [test "X$FORTRAN_DEFAULT_REALisDBLE" = "Xyes"])
-dnl ----------------------------------------------------------------------
-dnl Check if they would like the C++ interface compiled
-dnl
-dnl We need to check for a C++ compiler unconditionally, since
-dnl AC_PROG_CXX defines some macros that Automake 1.9.x uses and will
-dnl miss even if c++ is not enabled.
+## ----------------------------------------------------------------------
+## Check if they would like the C++ interface compiled
+##
+## We need to check for a C++ compiler unconditionally, since
+## AC_PROG_CXX defines some macros that Automake 1.9.x uses and will
+## miss even if c++ is not enabled.
AC_PROG_CXX
- AC_PROG_CXXCPP dnl this is checked for when AC_HEADER_STDC is done
+ AC_PROG_CXXCPP ## this is checked for when AC_HEADER_STDC is done
AC_MSG_CHECKING([if c++ interface enabled])
AC_ARG_ENABLE([cxx],
- [AC_HELP_STRING([--enable-cxx],
+ [AS_HELP_STRING([--enable-cxx],
[Compile the C++ interface [default=no]])],
[HDF_CXX=$enableval])
@@ -585,7 +583,7 @@ if test "X$HDF_CXX" = "Xyes"; then
echo "yes"
HDF5_INTERFACES="$HDF5_INTERFACES c++"
- dnl Change to the C++ language
+ ## Change to the C++ language
AC_LANG_PUSH(C++)
AC_MSG_CHECKING([if $CXX needs old style header files in includes])
@@ -694,41 +692,41 @@ else
CXX="no"
fi
-dnl Change back to the C language
+## Change back to the C language
AC_LANG_POP(C++)
-dnl ----------------------------------------------------------------------
-dnl Check if they have Perl installed on their system. We only need Perl
-dnl if they're using a GNU compiler.
-dnl
+## ----------------------------------------------------------------------
+## Check if they have Perl installed on their system. We only need Perl
+## if they're using a GNU compiler.
+##
AC_SUBST([PERL]) PERL=""
if test "X$GCC" = "Xyes"; then
AC_CHECK_PROGS([PERL], [perl],, [$PATH])
fi
-dnl ----------------------------------------------------------------------
-dnl Check which archiving tool to use. This needs to be done before
-dnl the AM_PROG_LIBTOOL macro.
-dnl
+## ----------------------------------------------------------------------
+## Check which archiving tool to use. This needs to be done before
+## the AM_PROG_LIBTOOL macro.
+##
if test -z "$AR"; then
AC_CHECK_PROGS([AR], [ar xar], [:], [$PATH])
fi
AC_SUBST([AR])
-dnl Export the AR macro so that it will be placed in the libtool file
-dnl correctly.
+## Export the AR macro so that it will be placed in the libtool file
+## correctly.
export AR
AC_PROG_MAKE_SET
AC_PROG_INSTALL
-dnl ----------------------------------------------------------------------
-dnl Check that the tr utility is working properly.
+## ----------------------------------------------------------------------
+## Check that the tr utility is working properly.
-AC_PATH_PROG(TR, tr)
+AC_PATH_PROG([TR], [tr])
TR_TEST=`echo Test | ${TR} ${as_cr_letters}"," ${as_cr_LETTERS}" "`
if test "X${TR_TEST}" != "XTEST"; then
@@ -736,10 +734,10 @@ if test "X${TR_TEST}" != "XTEST"; then
fi
-dnl ----------------------------------------------------------------------
-dnl Check that time can be used with srcdir. This is okay on most systems,
-dnl but seems to cause problems on Cygwin.
-dnl The solution on Cygwin is not to record execution time for tests.
+## ----------------------------------------------------------------------
+## Check that time can be used with srcdir. This is okay on most systems,
+## but seems to cause problems on Cygwin.
+## The solution on Cygwin is not to record execution time for tests.
AC_MSG_CHECKING([if srcdir= and time commands work together])
AC_SUBST([TIME])
@@ -754,62 +752,62 @@ else
fi
-dnl The following variables are used to distinguish between building a
-dnl serial and parallel library.
-dnl
-dnl HAVE_PARALLEL -- defined in H5config.h if we are building
-dnl a parallel library even if configure wasn't
-dnl able to find some header file or library that
-dnl might be required. This is defined if the
-dnl compiler looks like a parallel compiler (e.g.,
-dnl mpicc or mpcc) or if the user explicitly states
-dnl that a parallel library is being built by supplying
-dnl the `--enable-parallel' configure switch.
-dnl
-dnl PARALLEL -- This variable is set to a non-null value if
-dnl configure thinks we're compiling a parallel
-dnl version of the library.
-dnl
-dnl RUNSERIAL -- This is a command which will be prepended to
-dnl the executable name to run the executable using
-dnl a single process. For serial versions of the
-dnl library this will normally be empty. For parallel
-dnl versions it might be something like `mpiexec -n 1'.
-dnl The value of this variable is substituted in *.in
-dnl files.
-dnl
-dnl RUNPARALLEL -- This is a command which will be prepended to
-dnl the executable name to run the executable on
-dnl multiple processors. For the serial library the
-dnl value will normally be the empty string. For
-dnl parallel library it should be something like
-dnl "mpiexec -n \$\${NPROCS:=6}" where NPROCS will
-dnl eventually contain the number of processors on which
-dnl to run the executable (the double dollarsigns are to
-dnl protect the expansion until make executes the
-dnl command). The value of this variable is
-dnl substituted in *.in files.
-dnl
+## The following variables are used to distinguish between building a
+## serial and parallel library.
+##
+## HAVE_PARALLEL -- defined in H5config.h if we are building
+## a parallel library even if configure wasn't
+## able to find some header file or library that
+## might be required. This is defined if the
+## compiler looks like a parallel compiler (e.g.,
+## mpicc or mpcc) or if the user explicitly states
+## that a parallel library is being built by supplying
+## the `--enable-parallel' configure switch.
+##
+## PARALLEL -- This variable is set to a non-null value if
+## configure thinks we're compiling a parallel
+## version of the library.
+##
+## RUNSERIAL -- This is a command which will be prepended to
+## the executable name to run the executable using
+## a single process. For serial versions of the
+## library this will normally be empty. For parallel
+## versions it might be something like `mpiexec -n 1'.
+## The value of this variable is substituted in *.in
+## files.
+##
+## RUNPARALLEL -- This is a command which will be prepended to
+## the executable name to run the executable on
+## multiple processors. For the serial library the
+## value will normally be the empty string. For
+## parallel library it should be something like
+## "mpiexec -n \$\${NPROCS:=6}" where NPROCS will
+## eventually contain the number of processors on which
+## to run the executable (the double dollarsigns are to
+## protect the expansion until make executes the
+## command). The value of this variable is
+## substituted in *.in files.
+##
AC_SUBST([PARALLEL])
AC_SUBST([RUNSERIAL])
AC_SUBST([RUNPARALLEL])
AC_SUBST([TESTPARALLEL])
-dnl ----------------------------------------------------------------------
-dnl If the compiler is obviously a parallel compiler then we're building
-dnl a parallel version of hdf5 and should define HAVE_PARALLEL. Furthermore,
-dnl the name of the compiler might tell us how to run the resulting
-dnl executable. For `mpicc' the executable should be run with `mpiexec' from
-dnl the same directory as mpicc if it exists.
-dnl
+## ----------------------------------------------------------------------
+## If the compiler is obviously a parallel compiler then we're building
+## a parallel version of hdf5 and should define HAVE_PARALLEL. Furthermore,
+## the name of the compiler might tell us how to run the resulting
+## executable. For `mpicc' the executable should be run with `mpiexec' from
+## the same directory as mpicc if it exists.
+##
case "$CC_BASENAME" in
mpicc)
- dnl The mpich compiler. Use mpiexec from the same directory if it
- dnl exists.
+ ## The mpich compiler. Use mpiexec from the same directory if it
+ ## exists.
PARALLEL=mpicc
AC_MSG_CHECKING([for mpiexec])
- dnl Find the path where mpicc is located.
+ ## Find the path where mpicc is located.
cmd="`echo $CC | cut -f1 -d' '`"
if (echo $cmd | grep / >/dev/null); then
path="`echo $cmd | sed 's/\(.*\)\/.*$/\1/'`"
@@ -821,7 +819,7 @@ case "$CC_BASENAME" in
done
fi
- dnl Is there an mpiexec at that path?
+ ## Is there an mpiexec at that path?
if test -x $path/mpiexec; then
AC_MSG_RESULT([$path/mpiexec])
RUNSERIAL="${RUNSERIAL:-none}"
@@ -835,36 +833,36 @@ case "$CC_BASENAME" in
;;
mpcc|mpcc_r)
- dnl The IBM compiler
+ ## The IBM compiler
PARALLEL="$CC_BASENAME"
;;
*)
- dnl Probably not a parallel compiler, but if `--enable-parallel'
- dnl is defined below then we're still building a parallel hdf5.
+ ## Probably not a parallel compiler, but if `--enable-parallel'
+ ## is defined below then we're still building a parallel hdf5.
;;
esac
-dnl ----------------------------------------------------------------------
-dnl If the Fortran compiler is obviously a parallel compiler then we're
-dnl building a parallel version of hdf5 and should define HAVE_PARALLEL.
-dnl Furthermore, the name of the compiler might tell us how to run the
-dnl resulting executable. For `mpif90' the executable should be run with
-dnl `mpiexec' from the same directory as mpif90 if it exists.
-dnl
+## ----------------------------------------------------------------------
+## If the Fortran compiler is obviously a parallel compiler then we're
+## building a parallel version of hdf5 and should define HAVE_PARALLEL.
+## Furthermore, the name of the compiler might tell us how to run the
+## resulting executable. For `mpif90' the executable should be run with
+## `mpiexec' from the same directory as mpif90 if it exists.
+##
if test "X$HDF_FORTRAN" = "Xyes" ; then
- dnl Change to the Fortran 90 language
+ ## Change to the Fortran 90 language
AC_LANG_PUSH(Fortran)
case "$FC" in
*mpif90*)
- dnl The Fortran mpich compiler. Use mpiexec from the same directory
- dnl if it exists.
+ ## The Fortran mpich compiler. Use mpiexec from the same directory
+ ## if it exists.
PARALLEL=mpif90
AC_MSG_CHECKING([for mpiexec])
- dnl Find the path where mpif90 is located.
+ ## Find the path where mpif90 is located.
cmd=`echo $FC |cut -f1 -d' '`
if (echo $cmd |grep / >/dev/null); then
path="`echo $cmd |sed 's/\(.*\)\/.*$/\1/'`"
@@ -876,7 +874,7 @@ if test "X$HDF_FORTRAN" = "Xyes" ; then
done
fi
- dnl Is there an mpiexec at that path?
+ ## Is there an mpiexec at that path?
if test -x $path/mpiexec; then
AC_MSG_RESULT([$path/mpiexec])
RUNSERIAL="${RUNSERIAL:-none}"
@@ -890,23 +888,23 @@ if test "X$HDF_FORTRAN" = "Xyes" ; then
;;
*mpxlf* | *mpxlf_r* | *mpxlf90* | *mpxlf90_r* | *mpxlf95* | *mpxlf95_r*)
- dnl The IBM compiler
+ ## The IBM compiler
PARALLEL="$FC"
;;
*)
- dnl Probably not a parallel compiler, but if `--enable-parallel'
- dnl is defined below then we're still building a parallel hdf5.
+ ## Probably not a parallel compiler, but if `--enable-parallel'
+ ## is defined below then we're still building a parallel hdf5.
;;
esac
- dnl Change to the C language
+ ## Change to the C language
AC_LANG_POP(Fortran)
fi
-dnl -----------------------------------------------------------------------------
-dnl If shared libraries are being used with parallel, disable them, unless the
-dnl user explicity enables them via the '--enable-shared' option.
+## -----------------------------------------------------------------------------
+## If shared libraries are being used with parallel, disable them, unless the
+## user explicity enables them via the '--enable-shared' option.
if test "X${enable_shared}" = "X" -a "X${enable_parallel}" = "Xyes"; then
echo ' shared libraries disabled in parallel'
@@ -920,17 +918,17 @@ elif test "X${enable_shared}" = "Xyes" -a "X${PARALLEL}" != "X"; then
echo ' shared libraries explicitly enabled by user'
fi
-dnl ----------------------------------------------------------------------
-dnl Fortran libraries are not currently supported on Mac. Disable them.
-dnl (this is overridable with --enable-unsupported).
-dnl
+## ----------------------------------------------------------------------
+## Fortran libraries are not currently supported on Mac. Disable them.
+## (this is overridable with --enable-unsupported).
+##
AC_SUBST([H5_FORTRAN_SHARED])
H5_FORTRAN_SHARED="no"
if test "X${HDF_FORTRAN}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
AC_MSG_CHECKING([if shared Fortran libraries are supported])
H5_FORTRAN_SHARED="yes"
- dnl Disable fortran shared libraries on Mac. (MAM - 03/30/11)
+ ## Disable fortran shared libraries on Mac. (MAM - 03/30/11)
case "`uname`" in
Darwin*)
@@ -939,7 +937,7 @@ if test "X${HDF_FORTRAN}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
;;
esac
- dnl Report results of check(s)
+ ## Report results of check(s)
if test "X${H5_FORTRAN_SHARED}" = "Xno"; then
AC_MSG_RESULT([no])
@@ -961,23 +959,23 @@ fi
AM_CONDITIONAL([FORTRAN_SHARED_CONDITIONAL], [test "X$H5_FORTRAN_SHARED" = "Xyes"])
-dnl ----------------------------------------------------------------------
-dnl Disable C++ shared libraries if +DD64 flag is detected.
-dnl
+## ----------------------------------------------------------------------
+## Disable C++ shared libraries if +DD64 flag is detected.
+##
AC_SUBST([H5_CXX_SHARED])
H5_CXX_SHARED="no"
if test "X${HDF_CXX}" = "Xyes" && test "X${enable_shared}" != "Xno"; then
AC_MSG_CHECKING([if shared C++ libraries are supported])
H5_CXX_SHARED="yes"
- dnl Disable C++ shared libraries if DD64 flag is being used.
+ ## Disable C++ shared libraries if DD64 flag is being used.
if (echo dummy ${CXX} ${CXXLD} ${CFLAGS} ${CXXFLAGS} ${LDFLAGS} | grep 'DD64') > /dev/null; then
H5_CXX_SHARED="no"
CHECK_WARN="Shared C++ libraries not currently supported with +DD64 flag."
fi
- dnl Report results of check(s)
+ ## Report results of check(s)
if test "X${H5_CXX_SHARED}" = "Xno"; then
AC_MSG_RESULT([no])
@@ -998,20 +996,20 @@ fi
AM_CONDITIONAL([CXX_SHARED_CONDITIONAL], [test "X$H5_CXX_SHARED" = "Xyes"])
-dnl ----------------------------------------------------------------------
-dnl pgcc version 6.0x have optimization (-O, -O2 or -O3) problem. Detect
-dnl these versions and add option "-Mx,28,0x8" to the compiler to avoid
-dnl the problem if optimization is enabled.
-dnl
+## ----------------------------------------------------------------------
+## pgcc version 6.0x have optimization (-O, -O2 or -O3) problem. Detect
+## these versions and add option "-Mx,28,0x8" to the compiler to avoid
+## the problem if optimization is enabled.
+##
if (${CC-cc} -V 2>&1 | grep '^pgcc 6.0') > /dev/null && test "X$enable_production" = "Xyes"; then
echo 'adding compiler flag to avoid optimization problem in pgcc'
CC="${CC-cc} -Mx,28,0x8"
fi
-dnl ----------------------------------------------------------------------
-dnl Shared libraries are not currently supported under Cygwin, so configure
-dnl disables them unless --enable-unsupported has been supplied by the user.
+## ----------------------------------------------------------------------
+## Shared libraries are not currently supported under Cygwin, so configure
+## disables them unless --enable-unsupported has been supplied by the user.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
case "`uname`" in
@@ -1026,32 +1024,32 @@ if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
esac
fi
-dnl ----------------------------------------------------------------------
-dnl Windows won't create DLLs without the following macro.
-dnl
+## ----------------------------------------------------------------------
+## Windows won't create DLLs without the following macro.
+##
AC_LIBTOOL_WIN32_DLL
-dnl ----------------------------------------------------------------------
-dnl Create libtool. If shared/static libraries are going to be enabled
-dnl or disabled, it should happen before these macros.
-AC_LIBTOOL_DLOPEN
-AM_PROG_LIBTOOL
-
-dnl ----------------------------------------------------------------------
-dnl Check if we should install only statically linked executables.
-dnl This check needs to occur after libtool is initialized because
-dnl we check a libtool cache value and may issue a warning based
-dnl on its result.
+## ----------------------------------------------------------------------
+## Create libtool. If shared/static libraries are going to be enabled
+## or disabled, it should happen before these macros.
+LT_PREREQ([2.2])
+LT_INIT([dlopen])
+
+## ----------------------------------------------------------------------
+## Check if we should install only statically linked executables.
+## This check needs to occur after libtool is initialized because
+## we check a libtool cache value and may issue a warning based
+## on its result.
AC_MSG_CHECKING([if we should install only statically linked executables])
AC_ARG_ENABLE([static_exec],
- [AC_HELP_STRING([--enable-static-exec],
+ [AS_HELP_STRING([--enable-static-exec],
[Install only statically linked executables
[default=no]])],
[STATIC_EXEC=$enableval])
if test "X$STATIC_EXEC" = "Xyes"; then
echo "yes"
- dnl Issue a warning if -static flag is not supported.
+ ## Issue a warning if -static flag is not supported.
if test "X$lt_cv_prog_compiler_static_works" = "Xno"; then
echo " warning: -static flag not supported on this system; executable won't statically link shared system libraries."
fi
@@ -1063,22 +1061,22 @@ fi
AC_SUBST([LT_STATIC_EXEC])
-dnl Fix up the INSTALL macro if it's a relative path. We want the
-dnl full-path to the binary instead.
+## Fix up the INSTALL macro if it's a relative path. We want the
+## full-path to the binary instead.
case "$INSTALL" in
*install-sh*)
INSTALL='\${top_srcdir}/bin/install-sh -c'
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Some users have reported problems with libtool's use of '-Wl,-rpath' to
-dnl link shared libraries in nondefault directories. Allow users to
-dnl disable embedding the rpath information in the executables and to
-dnl instead solely rely on the information in LD_LIBRARY_PATH.
+## ----------------------------------------------------------------------
+## Some users have reported problems with libtool's use of '-Wl,-rpath' to
+## link shared libraries in nondefault directories. Allow users to
+## disable embedding the rpath information in the executables and to
+## instead solely rely on the information in LD_LIBRARY_PATH.
AC_MSG_CHECKING([if -Wl,-rpath should be used to link shared libs in nondefault directories])
AC_ARG_ENABLE([sharedlib-rpath],
- [AC_HELP_STRING([--disable-sharedlib-rpath],
+ [AS_HELP_STRING([--disable-sharedlib-rpath],
[Disable use of the '=Wl,-rpath' linker option])],
[RPATH=$enableval])
@@ -1100,14 +1098,14 @@ esac
AC_MSG_CHECKING([make])
-dnl ----------------------------------------------------------------------
-dnl Sometimes makes think the `.PATH:' appearing before the first rule
-dnl with an action should override the `all' default target. So we have
-dnl to decide what the proper syntax is.
-dnl
+## ----------------------------------------------------------------------
+## Sometimes makes think the `.PATH:' appearing before the first rule
+## with an action should override the `all' default target. So we have
+## to decide what the proper syntax is.
+##
AC_MSG_CHECKING([how make searches directories])
while true; do #for break
- # The most common method is `VPATH=DIR1 DIR2 ...'
+ ## The most common method is `VPATH=DIR1 DIR2 ...'
cat >maketest <<EOF
VPATH=$srcdir/config $srcdir/src $srcdir/bin
.c.o:
@@ -1125,8 +1123,8 @@ EOF
break
fi
- dnl The second most common method is like above except with the
- dnl directories separated by colons.
+ ## The second most common method is like above except with the
+ ## directories separated by colons.
cat >maketest <<EOF
VPATH=$srcdir/config:$srcdir/src:$srcdir/bin
.c.o:
@@ -1144,7 +1142,7 @@ EOF
break
fi
- dnl pmake uses the construct `.PATH: DIR1 DIR2
+ ## pmake uses the construct `.PATH: DIR1 DIR2
cat >maketest <<EOF
.PATH: $srcdir/config $srcdir/src $srcdir/bin
.c.o:
@@ -1162,7 +1160,7 @@ EOF
break
fi
- dnl No way for make to search directories
+ ## No way for make to search directories
SEARCH_RULE='## SEARCH DISABLED: '
SEARCH_SEP=' '
AC_MSG_RESULT([it doesn't])
@@ -1173,13 +1171,13 @@ EOF
done
rm maketest
-dnl ----------------------------------------------------------------------
-dnl pmake will throw an error if variables are undefined in a Makefile.
-dnl These errors can be changed to warnings using the -V flag.
-dnl
-AC_SUBST(AM_MAKEFLAGS) AM_MAKEFLAGS=""
+## ----------------------------------------------------------------------
+## pmake will throw an error if variables are undefined in a Makefile.
+## These errors can be changed to warnings using the -V flag.
+##
+AC_SUBST([AM_MAKEFLAGS]) AM_MAKEFLAGS=""
-dnl Don't run test if MAKE is defined but is the empty string
+## Don't run test if MAKE is defined but is the empty string
if test -n "${MAKE-make}"; then
AC_MSG_CHECKING([whether make will build with undefined variables])
@@ -1199,13 +1197,13 @@ EOF
rm maketest
fi
-dnl ----------------------------------------------------------------------
-dnl Production flags? Save the value in $CONFIG_MODE so we have it for
-dnl the record.
-dnl
-AC_MSG_CHECKING(for production mode)
-AC_ARG_ENABLE(production,
- [AC_HELP_STRING([--enable-production],
+## ----------------------------------------------------------------------
+## Production flags? Save the value in $CONFIG_MODE so we have it for
+## the record.
+##
+AC_MSG_CHECKING([for production mode])
+AC_ARG_ENABLE([production],
+ [AS_HELP_STRING([--enable-production],
[Determines how to run the compiler.])])
case "X-$enable_production" in
@@ -1243,45 +1241,45 @@ case "X-$enable_production" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Check for system libraries.
-dnl
+## ----------------------------------------------------------------------
+## Check for system libraries.
+##
AC_CHECK_LIB([m], [ceil])
if test "`uname`" = "SunOS" -o "`uname -sr`" = "HP-UX B.11.00"; then
- dnl ...for Solaris
+ ## ...for Solaris
AC_CHECK_LIB([socket], [socket])
AC_CHECK_LIB([nsl], [xdr_int])
fi
-dnl AC_CHECK_LIB([coug], [main]) dnl ...for ASCI/Red
+dnl AC_CHECK_LIB([coug], [main]) ## ...for ASCI/Red
-dnl ----------------------------------------------------------------------
-dnl Check for system header files.
-dnl
+## ----------------------------------------------------------------------
+## Check for system header files.
+##
AC_HEADER_STDC
AC_HEADER_TIME
-dnl ----------------------------------------------------------------------
-dnl Check for these two functions before the time headers are checked
-dnl for, otherwise they are not detected correctly on Solaris (the
-dnl configure test will fail due to multiply-defined symbols).
-dnl
-AC_CHECK_FUNCS(difftime)
-AC_CHECK_FUNCS(gettimeofday, [have_gettime="yes"], [have_gettime="no"])
+## ----------------------------------------------------------------------
+## Check for these two functions before the time headers are checked
+## for, otherwise they are not detected correctly on Solaris (the
+## configure test will fail due to multiply-defined symbols).
+##
+AC_CHECK_FUNCS([difftime])
+AC_CHECK_FUNCS([gettimeofday], [have_gettime="yes"], [have_gettime="no"])
AC_SEARCH_LIBS([clock_gettime], [rt posix4])
AC_CHECK_FUNCS([clock_gettime],[have_clock_gettime="yes"],[have_clock_gettime="no"])
-dnl Unix
+## Unix
AC_CHECK_HEADERS([sys/resource.h sys/time.h unistd.h sys/ioctl.h sys/stat.h])
AC_CHECK_HEADERS([sys/socket.h sys/types.h])
AC_CHECK_HEADERS([stddef.h setjmp.h features.h])
AC_CHECK_HEADERS([stdint.h], [C9x=yes])
-dnl Darwin
+## Darwin
AC_CHECK_HEADERS([mach/mach_time.h])
-dnl Windows
+## Windows
case "`uname`" in
CYGWIN*)
AC_CHECK_HEADERS([io.h sys/timeb.h])
@@ -1297,71 +1295,71 @@ esac
case "$host" in
alpha*-dec*-osf*)
- dnl The <sys/sysinfo.h> and <sys/proc.h> are needed on the DEC
- dnl Alpha to turn off UAC fixing. We do *not* attempt to
- dnl locate these files on other systems because there are too
- dnl many problems with including them.
+ ## The <sys/sysinfo.h> and <sys/proc.h> are needed on the DEC
+ ## Alpha to turn off UAC fixing. We do *not* attempt to
+ ## locate these files on other systems because there are too
+ ## many problems with including them.
AC_CHECK_HEADERS([sys/sysinfo.h sys/proc.h])
;;
mips*-sgi*-irix*)
- dnl The <sys/fpu.h> is needed on the SGI machines to turn off
- dnl denormalized floating-point values going to zero. We do *not*
- dnl attempt to dnl locate these files on other systems because there
- dnl may be problems with including them.
+ ## The <sys/fpu.h> is needed on the SGI machines to turn off
+ ## denormalized floating-point values going to zero. We do *not*
+ ## attempt to locate these files on other systems because there
+ ## may be problems with including them.
AC_CHECK_HEADERS([sys/fpu.h])
AC_CHECK_FUNCS([get_fpc_csr])
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Some platforms require that all symbols are resolved when a library
-dnl is linked. We can use the -no-undefined flag to tell libtool that
-dnl it will be able to build shared libraries on these architectures,
-dnl as it will not do so by default.
-dnl
+## ----------------------------------------------------------------------
+## Some platforms require that all symbols are resolved when a library
+## is linked. We can use the -no-undefined flag to tell libtool that
+## it will be able to build shared libraries on these architectures,
+## as it will not do so by default.
+##
if test "X${enable_shared}" = "Xyes"; then
AC_MSG_CHECKING([if libtool needs -no-undefined flag to build shared libraries])
case "`uname`" in
CYGWIN*|MINGW*|AIX*)
- dnl Add in the -no-undefined flag to LDFLAGS for libtool.
+ ## Add in the -no-undefined flag to LDFLAGS for libtool.
AC_MSG_RESULT([yes])
H5_LDFLAGS="$H5_LDFLAGS -no-undefined"
;;
*)
- dnl Don't add in anything.
+ ## Don't add in anything.
AC_MSG_RESULT([no])
;;
esac
fi
-dnl ----------------------------------------------------------------------
-dnl Test for Largefile support.
-dnl
+## ----------------------------------------------------------------------
+## Test for Largefile support.
+##
AC_MSG_CHECKING([if configure should try to set up large file support])
AC_ARG_ENABLE([largefile],
- [AC_HELP_STRING([--disable-largefile],
+ [AS_HELP_STRING([--disable-largefile],
[omit support for large files])])
-dnl If largefile support is enabled, then set up appropriate compiler options.
+## If largefile support is enabled, then set up appropriate compiler options.
if test "$enable_largefile" != no; then
- AC_MSG_RESULT(yes)
+ AC_MSG_RESULT([yes])
- dnl Check for needed compiler options. This check is pulled drectly
- dnl from autoconf's AC_SYS_LARGEFILE macro, as of Autoconf v2.65.
+ ## Check for needed compiler options. This check is pulled drectly
+ ## from autoconf's AC_SYS_LARGEFILE macro, as of Autoconf v2.65.
AC_CACHE_CHECK([for special C compiler options needed for large files],
ac_cv_sys_largefile_CC,
[ac_cv_sys_largefile_CC=no
if test "$GCC" != yes; then
ac_save_CC=$CC
while :; do
- # IRIX 6.2 and later do not support large files by default,
- # so use the C compiler's -n32 option if that helps.
- AC_LANG_CONFTEST([AC_LANG_PROGRAM([_AC_SYS_LARGEFILE_TEST_INCLUDES])])
- AC_COMPILE_IFELSE([], [break])
- CC="$CC -n32"
- AC_COMPILE_IFELSE([], [ac_cv_sys_largefile_CC=' -n32'; break])
- break
+ ## IRIX 6.2 and later do not support large files by default,
+ ## so use the C compiler's -n32 option if that helps.
+ AC_LANG_CONFTEST([AC_LANG_PROGRAM([_AC_SYS_LARGEFILE_TEST_INCLUDES])])
+ AC_COMPILE_IFELSE([], [break])
+ CC="$CC -n32"
+ AC_COMPILE_IFELSE([], [ac_cv_sys_largefile_CC=' -n32'; break])
+ break
done
CC=$ac_save_CC
rm -f conftest.$ac_ext
@@ -1370,29 +1368,29 @@ if test "$enable_largefile" != no; then
CC=$CC$ac_cv_sys_largefile_CC
fi
- dnl Use the macro _AC_SYS_LARGEFILE_MACRO_VALUE to test defines
- dnl that might need to be set for largefile support to behave
- dnl correctly. This macro is defined in acsite.m4 and overrides
- dnl the version provided by Autoconf (as of v2.65). The custom
- dnl macro additionally adds the appropriate defines to AM_CPPFLAGS
- dnl so that later configure checks have them visible.
+ ## Use the macro _AC_SYS_LARGEFILE_MACRO_VALUE to test defines
+ ## that might need to be set for largefile support to behave
+ ## correctly. This macro is defined in acsite.m4 and overrides
+ ## the version provided by Autoconf (as of v2.65). The custom
+ ## macro additionally adds the appropriate defines to AM_CPPFLAGS
+ ## so that later configure checks have them visible.
- dnl Check for _FILE_OFFSET_BITS
- _AC_SYS_LARGEFILE_MACRO_VALUE(_FILE_OFFSET_BITS, 64,
- ac_cv_sys_file_offset_bits,
+ ## Check for _FILE_OFFSET_BITS
+ _AC_SYS_LARGEFILE_MACRO_VALUE([_FILE_OFFSET_BITS], [64],
+ [ac_cv_sys_file_offset_bits],
[Number of bits in a file offset, on hosts where this is settable.],
[_AC_SYS_LARGEFILE_TEST_INCLUDES])
- dnl Check for _LARGE_FILES
- if test $ac_cv_sys_file_offset_bits = unknown; then
- _AC_SYS_LARGEFILE_MACRO_VALUE(_LARGE_FILES, 1,
- ac_cv_sys_large_files,
+ ## Check for _LARGE_FILES
+ if test "$ac_cv_sys_file_offset_bits" = unknown; then
+ _AC_SYS_LARGEFILE_MACRO_VALUE([_LARGE_FILES], [1],
+ [ac_cv_sys_large_files],
[Define for large files, on AIX-style hosts.],
[_AC_SYS_LARGEFILE_TEST_INCLUDES])
fi
- dnl Now actually test to see if we can create large files after we've
- dnl checked for any needed defines.
+ ## Now actually test to see if we can create large files after we've
+ ## checked for any needed defines.
AC_MSG_CHECKING([if large (64-bit) files are supported on this system.])
AC_CACHE_VAL([hdf5_cv_have_lfs],
[AC_TRY_RUN([
@@ -1424,52 +1422,52 @@ if test "$enable_largefile" != no; then
else
LARGEFILE="no"
- AC_MSG_RESULT(no)
+ AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Add necessary defines for Linux Systems.
-dnl
+## ----------------------------------------------------------------------
+## Add necessary defines for Linux Systems.
+##
case "$host_cpu-$host_vendor-$host_os" in
*linux*)
- dnl If largefile support is enabled, then make available various
- dnl LFS-related routines using the following _LARGEFILE*_SOURCE macros.
+ ## If largefile support is enabled, then make available various
+ ## LFS-related routines using the following _LARGEFILE*_SOURCE macros.
if test "X$LARGEFILE" != "Xno"; then
AM_CPPFLAGS="-D_LARGEFILE64_SOURCE -D_LARGEFILE_SOURCE $AM_CPPFLAGS"
fi
- dnl Add POSIX support on Linux systems, so <features.h> defines
- dnl __USE_POSIX, which is required to get the prototype for fdopen
- dnl defined correctly in <stdio.h>.
- dnl This flag was removed from h5cc as of 2009-10-17 when it was found
- dnl that the flag broke compiling netCDF-4 code with h5cc, but kept in
- dnl H5_CPPFLAGS because fdopen and HDfdopen fail without it. HDfdopen
- dnl is used only by H5_debug_mask which is used only when debugging in
- dnl H5_init_library (all in H5.c). When the flag was removed this was
- dnl the only compile failure noted.
- dnl This was originally defined as _POSIX_SOURCE which was updated to
- dnl _POSIX_C_SOURCE=199506L to expose a greater amount of POSIX
- dnl functionality so clock_gettime and CLOCK_MONOTONIC are defined
- dnl correctly.
- dnl POSIX feature information can be found in the gcc manual at:
- dnl http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
+ ## Add POSIX support on Linux systems, so <features.h> defines
+ ## __USE_POSIX, which is required to get the prototype for fdopen
+ ## defined correctly in <stdio.h>.
+ ## This flag was removed from h5cc as of 2009-10-17 when it was found
+ ## that the flag broke compiling netCDF-4 code with h5cc, but kept in
+ ## H5_CPPFLAGS because fdopen and HDfdopen fail without it. HDfdopen
+ ## is used only by H5_debug_mask which is used only when debugging in
+ ## H5_init_library (all in H5.c). When the flag was removed this was
+ ## the only compile failure noted.
+ ## This was originally defined as _POSIX_SOURCE which was updated to
+ ## _POSIX_C_SOURCE=199506L to expose a greater amount of POSIX
+ ## functionality so clock_gettime and CLOCK_MONOTONIC are defined
+ ## correctly.
+ ## POSIX feature information can be found in the gcc manual at:
+ ## http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
H5_CPPFLAGS="-D_POSIX_C_SOURCE=199506L $H5_CPPFLAGS"
- dnl Also add BSD support on Linux systems, so <features.h> defines
- dnl __USE_BSD, which is required to get the prototype for strdup
- dnl defined correctly in <string.h> and snprintf & vsnprintf defined
- dnl correctly in <stdio.h>
- dnl Linking to the bsd-compat library is required as per the gcc manual:
- dnl http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
- dnl however, we do not do this since it breaks the big test on some
- dnl older platforms.
+ ## Also add BSD support on Linux systems, so <features.h> defines
+ ## __USE_BSD, which is required to get the prototype for strdup
+ ## defined correctly in <string.h> and snprintf & vsnprintf defined
+ ## correctly in <stdio.h>
+ ## Linking to the bsd-compat library is required as per the gcc manual:
+ ## http://www.gnu.org/s/libc/manual/html_node/Feature-Test-Macros.html
+ ## however, we do not do this since it breaks the big test on some
+ ## older platforms.
AM_CPPFLAGS="-D_BSD_SOURCE $AM_CPPFLAGS"
;;
esac
-dnl Need to add the AM_ and H5_ into CPFLAGS/CPPFLAGS to make them visible
-dnl for configure checks.
-dnl Note: Both will be restored by the end of configure.
+## Need to add the AM_ and H5_ into CPFLAGS/CPPFLAGS to make them visible
+## for configure checks.
+## Note: Both will be restored by the end of configure.
CPPFLAGS="$H5_CPPFLAGS $AM_CPPFLAGS $CPPFLAGS"
CFLAGS="$H5_CFLAGS $AM_CFLAGS $CFLAGS"
@@ -1477,7 +1475,7 @@ AC_TRY_COMPILE([#include <sys/types.h>],
[off64_t n = 0;],
[AC_CHECK_FUNCS([lseek64 fseeko64 ftello64 ftruncate64])],
[AC_MSG_RESULT([skipping test for lseek64(), fseeko64 , ftello64, ftruncate64() because off64_t is not defined])])
-AC_CHECK_FUNCS(fseeko ftello)
+AC_CHECK_FUNCS([fseeko ftello])
AC_TRY_COMPILE([
#include <sys/types.h>
#include <sys/stat.h>],
@@ -1485,13 +1483,19 @@ AC_TRY_COMPILE([
[AC_CHECK_FUNCS([stat64 fstat64])],
[AC_MSG_RESULT([skipping test for stat64() and fstat64()])])
-dnl ----------------------------------------------------------------------
-dnl Data types and their sizes.
-dnl
+## ----------------------------------------------------------------------
+## Data types and their sizes.
+##
AC_TYPE_OFF_T
-AC_CHECK_TYPE([size_t], [unsigned long])
-AC_CHECK_TYPE([ssize_t], [long])
-AC_CHECK_TYPE([ptrdiff_t], [long])
+AC_CHECK_TYPE([size_t], [],
+ [AC_DEFINE_UNQUOTED([size_t], [unsigned long],
+ [Define to `unsigned long' if <sys/types.h> does not define.])])
+AC_CHECK_TYPE([ssize_t], [],
+ [AC_DEFINE_UNQUOTED([ssize_t], [long],
+ [Define to `long' if <sys/types.h> does not define.])])
+AC_CHECK_TYPE([ptrdiff_t], [],
+ [AC_DEFINE_UNQUOTED([ptrdiff_t], [long],
+ [Define to `long' if <sys/types.h> does not define.])])
AC_C_BIGENDIAN
AC_CHECK_SIZEOF([char], [1])
AC_CHECK_SIZEOF([short], [2])
@@ -1504,10 +1508,10 @@ AC_CHECK_SIZEOF([float], [4])
AC_CHECK_SIZEOF([double], [8])
AC_CHECK_SIZEOF([long double], [8])
-dnl Checkpoint the cache
+## Checkpoint the cache
AC_CACHE_SAVE
-dnl Posix.1g types (C9x)
+## Posix.1g types (C9x)
cat >>confdefs.h <<\EOF
#include <sys/types.h>
EOF
@@ -1518,33 +1522,33 @@ if test "X$C9x" = "Xyes"; then
EOF
fi
-AC_CHECK_SIZEOF( int8_t, [1])
-AC_CHECK_SIZEOF( uint8_t, [1])
-AC_CHECK_SIZEOF( int_least8_t, [1])
-AC_CHECK_SIZEOF( uint_least8_t, [1])
-AC_CHECK_SIZEOF( int_fast8_t, [1])
-AC_CHECK_SIZEOF( uint_fast8_t, [1])
-
-AC_CHECK_SIZEOF( int16_t, [2])
-AC_CHECK_SIZEOF( uint16_t, [2])
-AC_CHECK_SIZEOF( int_least16_t, [2])
-AC_CHECK_SIZEOF(uint_least16_t, [2])
-AC_CHECK_SIZEOF( int_fast16_t, [2])
-AC_CHECK_SIZEOF( uint_fast16_t, [2])
-
-AC_CHECK_SIZEOF( int32_t, [4])
-AC_CHECK_SIZEOF( uint32_t, [4])
-AC_CHECK_SIZEOF( int_least32_t, [4])
-AC_CHECK_SIZEOF(uint_least32_t, [4])
-AC_CHECK_SIZEOF( int_fast32_t, [4])
-AC_CHECK_SIZEOF( uint_fast32_t, [4])
-
-AC_CHECK_SIZEOF( int64_t, [8])
-AC_CHECK_SIZEOF( uint64_t, [8])
-AC_CHECK_SIZEOF( int_least64_t, [8])
-AC_CHECK_SIZEOF(uint_least64_t, [8])
-AC_CHECK_SIZEOF( int_fast64_t, [8])
-AC_CHECK_SIZEOF( uint_fast64_t, [8])
+AC_CHECK_SIZEOF( [int8_t], [1])
+AC_CHECK_SIZEOF( [uint8_t], [1])
+AC_CHECK_SIZEOF( [int_least8_t], [1])
+AC_CHECK_SIZEOF( [uint_least8_t], [1])
+AC_CHECK_SIZEOF( [int_fast8_t], [1])
+AC_CHECK_SIZEOF( [uint_fast8_t], [1])
+
+AC_CHECK_SIZEOF( [int16_t], [2])
+AC_CHECK_SIZEOF( [uint16_t], [2])
+AC_CHECK_SIZEOF( [int_least16_t], [2])
+AC_CHECK_SIZEOF([uint_least16_t], [2])
+AC_CHECK_SIZEOF( [int_fast16_t], [2])
+AC_CHECK_SIZEOF( [uint_fast16_t], [2])
+
+AC_CHECK_SIZEOF( [int32_t], [4])
+AC_CHECK_SIZEOF( [uint32_t], [4])
+AC_CHECK_SIZEOF( [int_least32_t], [4])
+AC_CHECK_SIZEOF([uint_least32_t], [4])
+AC_CHECK_SIZEOF( [int_fast32_t], [4])
+AC_CHECK_SIZEOF( [uint_fast32_t], [4])
+
+AC_CHECK_SIZEOF( [int64_t], [8])
+AC_CHECK_SIZEOF( [uint64_t], [8])
+AC_CHECK_SIZEOF( [int_least64_t], [8])
+AC_CHECK_SIZEOF([uint_least64_t], [8])
+AC_CHECK_SIZEOF( [int_fast64_t], [8])
+AC_CHECK_SIZEOF( [uint_fast64_t], [8])
AC_CHECK_SIZEOF([size_t], [4])
AC_CHECK_SIZEOF([ssize_t], [4])
@@ -1556,12 +1560,12 @@ EOF
AC_CHECK_SIZEOF([off_t], [4])
AC_CHECK_SIZEOF([off64_t], [8])
-dnl Checkpoint the cache
+## Checkpoint the cache
AC_CACHE_SAVE
-dnl ----------------------------------------------------------------------
-dnl Check if the dev_t type is a scalar type (must come after the check for
-dnl sys/types.h)
+## ----------------------------------------------------------------------
+## Check if the dev_t type is a scalar type (must come after the check for
+## sys/types.h)
AC_MSG_CHECKING([if dev_t is scalar])
AC_TRY_COMPILE([
#ifdef HAVE_SYS_TYPES_H
@@ -1571,15 +1575,15 @@ AC_TRY_COMPILE([
[dev_t d1, d2; if(d1==d2) return 0;],
AC_DEFINE([DEV_T_IS_SCALAR], [1],
[Define if `dev_t' is a scalar])
- AC_MSG_RESULT(yes),
- AC_MSG_RESULT(no)
+ AC_MSG_RESULT([yes]),
+ AC_MSG_RESULT([no])
)
-dnl ----------------------------------------------------------------------
-dnl Fake --with-xxx option to allow us to create a help message for the
-dnl following --with-xxx options which can take either a =DIR or =INC,LIB
-dnl specifier.
-dnl
+## ----------------------------------------------------------------------
+## Fake --with-xxx option to allow us to create a help message for the
+## following --with-xxx options which can take either a =DIR or =INC,LIB
+## specifier.
+##
AC_ARG_WITH([fnord],
[
For the following --with-xxx options, you can specify where the header
@@ -1591,31 +1595,31 @@ AC_ARG_WITH([fnord],
include/ and lib/ subdirectories
])
-dnl ----------------------------------------------------------------------
-dnl Is the dmalloc present? It has a header file `dmalloc.h' and a library
-dnl `-ldmalloc' and their locations might be specified with the `--with-dmalloc'
-dnl command-line switch. The value is an include path and/or a library path.
-dnl If the library path is specified then it must be preceded by a comma.
-dnl
+## ----------------------------------------------------------------------
+## Is the dmalloc present? It has a header file `dmalloc.h' and a library
+## `-ldmalloc' and their locations might be specified with the `--with-dmalloc'
+## command-line switch. The value is an include path and/or a library path.
+## If the library path is specified then it must be preceded by a comma.
+##
AC_ARG_WITH([dmalloc],
- [AC_HELP_STRING([--with-dmalloc=DIR],
+ [AS_HELP_STRING([--with-dmalloc=DIR],
[Use dmalloc memory debugging aid [default=no]])],,
- withval=no)
+ [withval=no])
case $withval in
yes)
HAVE_DMALLOC="yes"
- AC_CHECK_HEADERS(dmalloc.h)
- AC_CHECK_LIB(dmalloc, dmalloc_shutdown,, unset HAVE_DMALLOC)
+ AC_CHECK_HEADERS([dmalloc.h])
+ AC_CHECK_LIB([dmalloc], [dmalloc_shutdown],, [unset HAVE_DMALLOC])
if test -z "$HAVE_DMALLOC" -a -n "$HDF5_CONFIG_ABORT"; then
- AC_MSG_ERROR(couldn't find dmalloc library)
+ AC_MSG_ERROR([couldn't find dmalloc library])
fi
;;
no)
HAVE_DMALLOC="no"
- AC_MSG_CHECKING(for dmalloc library)
- AC_MSG_RESULT(suppressed)
+ AC_MSG_CHECKING([for dmalloc library])
+ AC_MSG_RESULT([suppressed])
;;
*)
HAVE_DMALLOC="yes"
@@ -1632,8 +1636,8 @@ case $withval in
;;
esac
- dnl Trying to include -I/usr/include and -L/usr/lib is redundant and
- dnl can mess some compilers up.
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
if test "X$dmalloc_inc" = "X/usr/include"; then
dmalloc_inc=""
fi
@@ -1651,33 +1655,33 @@ case $withval in
AM_CPPFLAGS="$AM_CPPFLAGS -I$dmalloc_inc"
fi
- AC_CHECK_HEADERS(dmalloc.h,,CPPFLAGS="$saved_CPPFLAGS"; AM_CPPFLAGS="$saved_AM_CPPFLAGS")
+ AC_CHECK_HEADERS([dmalloc.h],,[CPPFLAGS="$saved_CPPFLAGS"; AM_CPPFLAGS="$saved_AM_CPPFLAGS"])
if test -n "$dmalloc_lib"; then
LDFLAGS="$LDFLAGS -L$dmalloc_lib"
AM_LDFLAGS="$AM_LDFLAGS -L$dmalloc_lib"
fi
- AC_CHECK_LIB(dmalloc, dmalloc_shutdown,, LDFLAGS="$saved_LDFLAGS"; AM_LDFLAGS="$saved_AM_LDFLAGS"; unset HAVE_DMALLOC)
+ AC_CHECK_LIB([dmalloc], [dmalloc_shutdown],, [LDFLAGS="$saved_LDFLAGS"; AM_LDFLAGS="$saved_AM_LDFLAGS"; unset HAVE_DMALLOC])
if test -z "$HAVE_DMALLOC" -a -n "$HDF5_CONFIG_ABORT"; then
- AC_MSG_ERROR(couldn't find dmalloc library)
+ AC_MSG_ERROR([couldn't find dmalloc library])
fi
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Is the GNU zlib present? It has a header file `zlib.h' and a library
-dnl `-lz' and their locations might be specified with the `--with-zlib'
-dnl command-line switch. The value is an include path and/or a library path.
-dnl If the library path is specified then it must be preceded by a comma.
-dnl
-AC_SUBST(USE_FILTER_DEFLATE) USE_FILTER_DEFLATE="no"
+## ----------------------------------------------------------------------
+## Is the GNU zlib present? It has a header file `zlib.h' and a library
+## `-lz' and their locations might be specified with the `--with-zlib'
+## command-line switch. The value is an include path and/or a library path.
+## If the library path is specified then it must be preceded by a comma.
+##
+AC_SUBST([USE_FILTER_DEFLATE]) USE_FILTER_DEFLATE="no"
AC_ARG_WITH([zlib],
- [AC_HELP_STRING([--with-zlib=DIR],
+ [AS_HELP_STRING([--with-zlib=DIR],
[Use zlib library for external deflate I/O
filter [default=yes]])],,
- withval=yes)
+ [withval=yes])
case $withval in
yes)
@@ -1710,8 +1714,8 @@ case $withval in
;;
esac
- dnl Trying to include -I/usr/include and -L/usr/lib is redundant and
- dnl can mess some compilers up.
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
if test "X$zlib_inc" = "X/usr/include"; then
zlib_inc=""
fi
@@ -1752,7 +1756,7 @@ if test "x$HAVE_ZLIB" = "xyes" -a "x$HAVE_ZLIB_H" = "xyes" -a "x$HAVE_COMPRESS2"
AC_DEFINE([HAVE_FILTER_DEFLATE], [1], [Define if support for deflate (zlib) filter is enabled])
USE_FILTER_DEFLATE="yes"
- dnl Add "deflate" to external filter list
+ ## Add "deflate" to external filter list
if test "X$EXTERNAL_FILTERS" != "X"; then
EXTERNAL_FILTERS="${EXTERNAL_FILTERS},"
fi
@@ -1760,18 +1764,18 @@ if test "x$HAVE_ZLIB" = "xyes" -a "x$HAVE_ZLIB_H" = "xyes" -a "x$HAVE_COMPRESS2"
fi
-dnl ----------------------------------------------------------------------
-dnl Is the szlib present? It has a header file `szlib.h' and a library
-dnl `-lsz' and their locations might be specified with the `--with-szlib'
-dnl command-line switch. The value is an include path and/or a library path.
-dnl If the library path is specified then it must be preceded by a comma.
-dnl
-AC_SUBST(USE_FILTER_SZIP) USE_FILTER_SZIP="no"
+## ----------------------------------------------------------------------
+## Is the szlib present? It has a header file `szlib.h' and a library
+## `-lsz' and their locations might be specified with the `--with-szlib'
+## command-line switch. The value is an include path and/or a library path.
+## If the library path is specified then it must be preceded by a comma.
+##
+AC_SUBST([USE_FILTER_SZIP]) USE_FILTER_SZIP="no"
AC_ARG_WITH([szlib],
- [AC_HELP_STRING([--with-szlib=DIR],
+ [AS_HELP_STRING([--with-szlib=DIR],
[Use szlib library for external szlib I/O
filter [default=no]])],,
- withval=no)
+ [withval=no])
case $withval in
yes)
@@ -1803,8 +1807,8 @@ case $withval in
;;
esac
- dnl Trying to include -I/usr/include and -L/usr/lib is redundant and
- dnl can mess some compilers up.
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
if test "X$szlib_inc" = "X/usr/include"; then
szlib_inc=""
fi
@@ -1841,12 +1845,12 @@ case $withval in
esac
if test "x$HAVE_SZLIB" = "xyes" -a "x$HAVE_SZLIB_H" = "xyes"; then
- dnl SZLIB library is available. Check if it can encode
+ ## SZLIB library is available. Check if it can encode
AC_MSG_CHECKING([for szlib encoder])
- dnl Set LD_LIBRARY_PATH so encoder test can find the library and run.
- dnl Also add LL_PATH substitution to Makefiles so they can use the
- dnl path as well, for testing examples.
+ ## Set LD_LIBRARY_PATH so encoder test can find the library and run.
+ ## Also add LL_PATH substitution to Makefiles so they can use the
+ ## path as well, for testing examples.
if test -z "$LD_LIBRARY_PATH"; then
export LD_LIBRARY_PATH="$szlib_lib"
else
@@ -1854,7 +1858,7 @@ if test "x$HAVE_SZLIB" = "xyes" -a "x$HAVE_SZLIB_H" = "xyes"; then
fi
AC_SUBST([LL_PATH]) LL_PATH="$LD_LIBRARY_PATH"
-
+
AC_CACHE_VAL([hdf5_cv_szlib_can_encode],
[AC_TRY_RUN([
#include <szlib.h>
@@ -1869,10 +1873,10 @@ if test "x$HAVE_SZLIB" = "xyes" -a "x$HAVE_SZLIB_H" = "xyes"; then
}
], [hdf5_cv_szlib_can_encode=yes], [hdf5_cv_szlib_can_encode=no],)])
- AC_DEFINE(HAVE_FILTER_SZIP, 1,
+ AC_DEFINE([HAVE_FILTER_SZIP], [1],
[Define if support for szip filter is enabled])
USE_FILTER_SZIP="yes"
-
+
if test ${hdf5_cv_szlib_can_encode} = "yes"; then
AC_MSG_RESULT([yes])
fi
@@ -1880,7 +1884,7 @@ if test "x$HAVE_SZLIB" = "xyes" -a "x$HAVE_SZLIB_H" = "xyes"; then
AC_MSG_RESULT([no])
fi
- dnl Add "szip" to external filter list
+ ## Add "szip" to external filter list
if test ${hdf5_cv_szlib_can_encode} = "yes"; then
if test "X$EXTERNAL_FILTERS" != "X"; then
EXTERNAL_FILTERS="${EXTERNAL_FILTERS},"
@@ -1898,21 +1902,21 @@ fi
AM_CONDITIONAL([BUILD_SHARED_SZIP_CONDITIONAL], [test "X$USE_FILTER_SZIP" = "Xyes" && test "X$LL_PATH" != "X"])
-dnl Checkpoint the cache
+## Checkpoint the cache
AC_CACHE_SAVE
-dnl ----------------------------------------------------------------------
-dnl Is the Pthreads library present? It has a header file `pthread.h' and
-dnl a library `-lpthread' and their locations might be specified with the
-dnl `--with-pthread' command-line switch. The value is an include path
-dnl and/or a library path. If the library path is specified then it must
-dnl be preceded by a comma.
-dnl
+## ----------------------------------------------------------------------
+## Is the Pthreads library present? It has a header file `pthread.h' and
+## a library `-lpthread' and their locations might be specified with the
+## `--with-pthread' command-line switch. The value is an include path
+## and/or a library path. If the library path is specified then it must
+## be preceded by a comma.
+##
AC_SUBST([PTHREAD]) PTHREAD=yes
AC_ARG_WITH([pthread],
- [AC_HELP_STRING([--with-pthread=DIR],
+ [AS_HELP_STRING([--with-pthread=DIR],
[Use the Pthreads library [default=no]])],,
- withval=no)
+ [withval=no])
case "$withval" in
yes)
@@ -1938,8 +1942,8 @@ case "$withval" in
;;
esac
- dnl Trying to include -I/usr/include and -L/usr/lib is redundant and
- dnl can mess some compilers up.
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
if test "X$pthread_inc" = "X/usr/include"; then
pthread_inc=""
fi
@@ -1971,21 +1975,38 @@ case "$withval" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Enable thread-safe version of library. It requires Pthreads support.
-dnl
+## ----------------------------------------------------------------------
+## Enable thread-safe version of library. It requires Pthreads support.
+##
AC_MSG_CHECKING([for thread safe support])
AC_ARG_ENABLE([threadsafe],
- [AC_HELP_STRING([--enable-threadsafe],
+ [AS_HELP_STRING([--enable-threadsafe],
[Enable thread safe capability])],
- THREADSAFE=$enableval)
+ [THREADSAFE=$enableval])
+
+## The --enable-threadsafe flag is not compatible with --enable-cxx.
+## If the user tried to specify both flags, throw an error, unless
+## they also provided the --enable-unsupported flag.
+if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
+ if test "X${HDF_CXX}" = "Xyes" -a "X${enable_threadsafe}" = "Xyes"; then
+ AC_MSG_ERROR([--enable-cxx and --enable-threadsafe flags are incompatible. Use --enable-unsupported to override this error.])
+ fi
+fi
+
+## --enable-threadsafe is also incompatible with --enable-fortran, unless
+## --enable-unsupported has been specified on the configure line.
+if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
+ if test "X${HDF_FORTRAN}" = "Xyes" -a "X${enable_threadsafe}" = "Xyes"; then
+ AC_MSG_ERROR([--enable-fortran and --enable-threadsafe flags are incompatible. Use --enable-unsupported to override this error.])
+ fi
+fi
case "X-$THREADSAFE" in
X-|X-no)
AC_MSG_RESULT([no])
;;
X-yes)
- dnl Check that we can link a simple Pthread program.
+ ## Check that we can link a simple Pthread program.
AC_TRY_LINK(, [pthread_self()],
[AC_MSG_RESULT([yes]); THREADSAFE=yes],
[AC_MSG_ERROR([needed pthread library not available])])
@@ -2000,38 +2021,38 @@ if test "X$THREADSAFE" = "Xyes"; then
AC_DEFINE([HAVE_THREADSAFE], [1], [Define if we have thread safe support])
fi
-dnl ----------------------------------------------------------------------
-dnl Check for MONOTONIC_TIMER support (used in clock_gettime). This has
-dnl to be done after any POSIX/BSD defines to ensure that the test gets
-dnl the correct POSIX level on linux.
-AC_CHECK_DECL(CLOCK_MONOTONIC,[have_clock_monotonic="yes"],[have_clock_monotonic="no"],[[#include <time.h>]])
+## ----------------------------------------------------------------------
+## Check for MONOTONIC_TIMER support (used in clock_gettime). This has
+## to be done after any POSIX/BSD defines to ensure that the test gets
+## the correct POSIX level on linux.
+AC_CHECK_DECL([CLOCK_MONOTONIC],[have_clock_monotonic="yes"],[have_clock_monotonic="no"],[[#include <time.h>]])
-dnl ----------------------------------------------------------------------
-dnl How does one figure out the local time zone? Anyone know of a
-dnl Posix way to do this?
-dnl
+## ----------------------------------------------------------------------
+## How does one figure out the local time zone? Anyone know of a
+## Posix way to do this?
+##
-dnl First check if `struct tm' has a `tm_gmtoff' member.
+## First check if `struct tm' has a `tm_gmtoff' member.
AC_MSG_CHECKING([for tm_gmtoff in struct tm])
AC_TRY_COMPILE([
-#include <sys/time.h>
-#include <time.h>], [struct tm tm; tm.tm_gmtoff=0;],
-AC_DEFINE([HAVE_TM_GMTOFF], [1],
+ #include <sys/time.h>
+ #include <time.h>], [struct tm tm; tm.tm_gmtoff=0;],
+ [AC_DEFINE([HAVE_TM_GMTOFF], [1],
[Define if `tm_gmtoff' is a member of `struct tm'])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
-dnl check if `struct tm' has a `__tm_gmtoff' member.
+## check if `struct tm' has a `__tm_gmtoff' member.
AC_MSG_CHECKING([for __tm_gmtoff in struct tm])
AC_TRY_COMPILE([
-#include <sys/time.h>
-#include <time.h>], [struct tm tm; tm.__tm_gmtoff=0;],
-AC_DEFINE([HAVE___TM_GMTOFF], [1],
+ #include <sys/time.h>
+ #include <time.h>], [struct tm tm; tm.__tm_gmtoff=0;],
+ [AC_DEFINE([HAVE___TM_GMTOFF], [1],
[Define if `__tm_gmtoff' is a member of `struct tm'])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
-dnl Check whether the global variable `timezone' is defined.
+## Check whether the global variable `timezone' is defined.
AC_MSG_CHECKING([for global timezone variable])
case "`uname`" in
@@ -2042,27 +2063,27 @@ case "`uname`" in
AC_TRY_LINK([
#include <sys/time.h>
#include <time.h>], [timezone=0;],
- AC_DEFINE([HAVE_TIMEZONE], [1],
+ [AC_DEFINE([HAVE_TIMEZONE], [1],
[Define if `timezone' is a global variable])
- AC_MSG_RESULT([yes]),
- AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
;;
esac
-dnl Check whether `struct timezone' is defined.
+## Check whether `struct timezone' is defined.
AC_STRUCT_TIMEZONE
AC_MSG_CHECKING([for struct timezone])
AC_TRY_COMPILE([
-#include <sys/types.h>
-#include <sys/time.h>
-#include <time.h>], [struct timezone tz; tz.tz_minuteswest=0;],
-AC_DEFINE([HAVE_STRUCT_TIMEZONE], [1],
+ #include <sys/types.h>
+ #include <sys/time.h>
+ #include <time.h>], [struct timezone tz; tz.tz_minuteswest=0;],
+ [AC_DEFINE([HAVE_STRUCT_TIMEZONE], [1],
[Define if `struct timezone' is defined])
-have_struct_tz="yes"
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ have_struct_tz="yes"
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
-dnl If gettimeofday() is going to be used, make sure it uses the timezone struct
+## If gettimeofday() is going to be used, make sure it uses the timezone struct
if test "$have_gettime" = "yes" -a "$have_struct_tz" = "yes"; then
AC_MSG_CHECKING(whether gettimeofday() gives timezone)
@@ -2081,7 +2102,9 @@ if test "$have_gettime" = "yes" -a "$have_struct_tz" = "yes"; then
if(tz.tz_minuteswest == 7777 && tz.tz_dsttime == 7)
exit(1);
else exit (0);
- }], [hdf5_cv_gettimeofday_tz=yes], [hdf5_cv_gettimeofday_tz=no],)])
+ }],
+ [hdf5_cv_gettimeofday_tz=yes],
+ [hdf5_cv_gettimeofday_tz=no])])
if test ${hdf5_cv_gettimeofday_tz} = "yes"; then
AC_MSG_RESULT([yes])
@@ -2092,78 +2115,78 @@ if test "$have_gettime" = "yes" -a "$have_struct_tz" = "yes"; then
fi
fi
-dnl ----------------------------------------------------------------------
-dnl Does the struct stat have the st_blocks field? This field is not Posix.
-dnl
+## ----------------------------------------------------------------------
+## Does the struct stat have the st_blocks field? This field is not Posix.
+##
AC_MSG_CHECKING([for st_blocks in struct stat])
AC_TRY_COMPILE([
-#include <sys/stat.h>],[struct stat sb; sb.st_blocks=0;],
-AC_DEFINE([HAVE_STAT_ST_BLOCKS], [1],
+ #include <sys/stat.h>],[struct stat sb; sb.st_blocks=0;],
+ [AC_DEFINE([HAVE_STAT_ST_BLOCKS], [1],
[Define if `struct stat' has the `st_blocks' field])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
-dnl ----------------------------------------------------------------------
-dnl How do we figure out the width of a tty in characters?
-dnl
-AC_CHECK_FUNCS(_getvideoconfig gettextinfo GetConsoleScreenBufferInfo)
-AC_CHECK_FUNCS(_scrsize ioctl)
+## ----------------------------------------------------------------------
+## How do we figure out the width of a tty in characters?
+##
+AC_CHECK_FUNCS([_getvideoconfig gettextinfo GetConsoleScreenBufferInfo])
+AC_CHECK_FUNCS([_scrsize ioctl])
AC_MSG_CHECKING([for struct videoconfig])
AC_TRY_COMPILE(,[struct videoconfig w; w.numtextcols=0;],
-AC_DEFINE([HAVE_STRUCT_VIDEOCONFIG], [1],
+ [AC_DEFINE([HAVE_STRUCT_VIDEOCONFIG], [1],
[Define if `struct videoconfig' is defined])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
AC_MSG_CHECKING([for struct text_info])
AC_TRY_COMPILE(, [struct text_info w; w.screenwidth=0;],
-AC_DEFINE([HAVE_STRUCT_TEXT_INFO], [1],
+ [AC_DEFINE([HAVE_STRUCT_TEXT_INFO], [1],
[Define if `struct text_info' is defined])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
AC_MSG_CHECKING([for TIOCGWINSZ])
AC_TRY_COMPILE([#include <sys/ioctl.h>],[int w=TIOCGWINSZ;],
-AC_DEFINE([HAVE_TIOCGWINSZ], [1],
+ [AC_DEFINE([HAVE_TIOCGWINSZ], [1],
[Define if the ioctl TIOGWINSZ is defined])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
AC_MSG_CHECKING([for TIOCGETD])
AC_TRY_COMPILE([#include <sys/ioctl.h>],[int w=TIOCGETD;],
-AC_DEFINE([HAVE_TIOCGETD], [1],
+ [AC_DEFINE([HAVE_TIOCGETD], [1],
[Define if the ioctl TIOCGETD is defined])
-AC_MSG_RESULT([yes]),
-AC_MSG_RESULT([no]))
-
-
-dnl ----------------------------------------------------------------------
-dnl Check for functions.
-dnl
-AC_CHECK_FUNCS(alarm BSDgettimeofday fork frexpf frexpl)
-AC_CHECK_FUNCS(gethostname getpwuid getrusage lstat)
-AC_CHECK_FUNCS(rand_r random setsysinfo)
-AC_CHECK_FUNCS(signal longjmp setjmp siglongjmp sigsetjmp sigprocmask)
-AC_CHECK_FUNCS(snprintf srandom strdup symlink system)
-AC_CHECK_FUNCS(tmpfile vasprintf waitpid)
-
-dnl Check for vsnprintf() separately, so we can detect situations where it
-dnl doesn't return the correct size for formatted strings that are too large
-dnl for the buffer provided
-AC_CHECK_FUNCS(vsnprintf,
-
- dnl Check if vsnprintf() returns correct size for strings that don't fit
- dnl into the size allowed. If vsnprintf() works correctly on this platform,
- dnl it should return a value of 42 for the test below
- dnl
- dnl Note that vsnprintf fails in two different ways:
- dnl - In IRIX64, calls to vnsprintf() with a formatted string that
- dnl is larger than the buffer size allowed incorrectly
- dnl return the size of the buffer minus one.
- dnl - In HP/UX, calls to vsnprintf() with a formatted string that
- dnl is larger than the buffer size allowed incorrectly
- dnl return (-1)
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
+
+
+## ----------------------------------------------------------------------
+## Check for functions.
+##
+AC_CHECK_FUNCS([alarm BSDgettimeofday fork frexpf frexpl])
+AC_CHECK_FUNCS([gethostname getpwuid getrusage lstat])
+AC_CHECK_FUNCS([rand_r random setsysinfo])
+AC_CHECK_FUNCS([signal longjmp setjmp siglongjmp sigsetjmp sigprocmask])
+AC_CHECK_FUNCS([snprintf srandom strdup symlink system])
+AC_CHECK_FUNCS([tmpfile vasprintf waitpid])
+
+## Check for vsnprintf() separately, so we can detect situations where it
+## doesn't return the correct size for formatted strings that are too large
+## for the buffer provided
+AC_CHECK_FUNCS([vsnprintf],
+
+ ## Check if vsnprintf() returns correct size for strings that don't fit
+ ## into the size allowed. If vsnprintf() works correctly on this platform,
+ ## it should return a value of 42 for the test below
+ ##
+ ## Note that vsnprintf fails in two different ways:
+ ## - In IRIX64, calls to vnsprintf() with a formatted string that
+ ## is larger than the buffer size allowed incorrectly
+ ## return the size of the buffer minus one.
+ ## - In HP/UX, calls to vsnprintf() with a formatted string that
+ ## is larger than the buffer size allowed incorrectly
+ ## return (-1)
AC_MSG_CHECKING([if vsnprintf returns correct value])
AC_CACHE_VAL([hdf5_cv_vsnprintf_works],
@@ -2200,11 +2223,11 @@ int main(void)
fi
,)
-dnl ----------------------------------------------------------------------
-dnl Check that a lone colon can be used as an argument
-dnl This is not true on Cray X1, which interprets a lone colon as a
-dnl system command.
-dnl
+## ----------------------------------------------------------------------
+## Check that a lone colon can be used as an argument
+## This is not true on Cray X1, which interprets a lone colon as a
+## system command.
+##
AC_CACHE_CHECK([if lone colon can be used as an argument],
[hdf5_cv_lone_colon],
[
@@ -2224,33 +2247,33 @@ AC_CACHE_CHECK([if lone colon can be used as an argument],
fi
])
-AC_SUBST(H5_LONE_COLON) H5_LONE_COLON="$hdf5_cv_lone_colon"
+AC_SUBST([H5_LONE_COLON]) H5_LONE_COLON="$hdf5_cv_lone_colon"
-dnl ----------------------------------------------------------------------
-dnl Check compiler characteristics
-dnl
+## ----------------------------------------------------------------------
+## Check compiler characteristics
+##
AC_C_CONST
AC_C_INLINE
AC_MSG_CHECKING([for __attribute__ extension])
AC_TRY_COMPILE(,[int __attribute__((unused)) x],
- AC_DEFINE([HAVE_ATTRIBUTE], [1],
+ [AC_DEFINE([HAVE_ATTRIBUTE], [1],
[Define if the __attribute__(()) extension is present])
- AC_MSG_RESULT([yes]),
- AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
AC_MSG_CHECKING([for __func__ extension])
AC_TRY_COMPILE(,[ const char *fname = __func__; ],
- AC_DEFINE([HAVE_C99_FUNC], [1],
+ [AC_DEFINE([HAVE_C99_FUNC], [1],
[Define if the compiler understands the __func__ keyword])
- AC_MSG_RESULT([yes]),
- AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
AC_MSG_CHECKING([for __FUNCTION__ extension])
AC_TRY_COMPILE(,[ const char *fname = __FUNCTION__; ],
- AC_DEFINE([HAVE_FUNCTION], [1],
+ [AC_DEFINE([HAVE_FUNCTION], [1],
[Define if the compiler understands the __FUNCTION__ keyword])
- AC_MSG_RESULT([yes]),
- AC_MSG_RESULT([no]))
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
AC_MSG_CHECKING([for C99 designated initialization support])
AC_TRY_COMPILE(,[
typedef struct {
@@ -2261,66 +2284,66 @@ AC_TRY_COMPILE(,[
} u;
} di_struct_t;
di_struct_t x = {0, { .d = 0.0}}; ],
- AC_DEFINE([HAVE_C99_DESIGNATED_INITIALIZER], [1],
+ [AC_DEFINE([HAVE_C99_DESIGNATED_INITIALIZER], [1],
[Define if the compiler understands C99 designated initialization of structs and unions])
- AC_MSG_RESULT([yes]),
- AC_MSG_RESULT([no]))
-
-dnl ----------------------------------------------------------------------
-dnl Try to figure out how to print `long long'. Some machines use `%lld'
-dnl and others use `%qd'. There may be more! The final `l' is a
-dnl default in case none of the others work.
-dnl Need to patch up LD_LIBRARY_PATH so that the execution can find all
-dnl the dynamic library. The correct way to do it should be updating
-dnl LD_LIBRARY_PATH along with LDFLAGS or do it with the AC_TRY_RUN macro.
-dnl
+ AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])])
+
+## ----------------------------------------------------------------------
+## Try to figure out how to print `long long'. Some machines use `%lld'
+## and others use `%qd'. There may be more! The final `l' is a
+## default in case none of the others work.
+## Need to patch up LD_LIBRARY_PATH so that the execution can find all
+## the dynamic library. The correct way to do it should be updating
+## LD_LIBRARY_PATH along with LDFLAGS or do it with the AC_TRY_RUN macro.
+##
AC_MSG_CHECKING([how to print long long])
-AC_CACHE_VAL([hdf5_cv_printf_ll],
+AC_CACHE_VAL([hdf5_cv_printf_ll], [
LD_LIBRARY_PATH="$LD_LIBRARY_PATH`echo $AM_LDFLAGS $LDFLAGS | sed -e 's/-L/:/g' -e 's/ //g'`"
export LD_LIBRARY_PATH
for hdf5_cv_printf_ll in l ll L q unknown; do
AC_TRY_RUN([
-#include <stdio.h>
-#include <stdlib.h>
-#include <string.h>
+ #include <stdio.h>
+ #include <stdlib.h>
+ #include <string.h>
-int main(void)
-{
+ int main(void)
+ {
char *s = malloc(128);
long long x = (long long)1048576 * (long long)1048576;
sprintf(s,"%${hdf5_cv_printf_ll}d",x);
exit(strcmp(s,"1099511627776"));
-}
- ], break,,continue)
-done)dnl
+ }
+ ], [break],,[continue])
+done])
AC_MSG_RESULT([%${hdf5_cv_printf_ll}d and %${hdf5_cv_printf_ll}u])
AC_DEFINE_UNQUOTED([PRINTF_LL_WIDTH], ["$hdf5_cv_printf_ll"],
[Width for printf() for type `long long' or `__int64', use `ll'])
-dnl ----------------------------------------------------------------------
-dnl Check if pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM)
-dnl is supported on this system
-dnl
+## ----------------------------------------------------------------------
+## Check if pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM)
+## is supported on this system
+##
AC_MSG_CHECKING([Threads support system scope])
AC_CACHE_VAL([hdf5_cv_system_scope_threads],
-[AC_TRY_RUN([
-#if STDC_HEADERS
-#include <stdlib.h>
-#include <pthread.h>
-#endif
+ [AC_TRY_RUN([
+ #if STDC_HEADERS
+ #include <stdlib.h>
+ #include <pthread.h>
+ #endif
-int main(void)
-{
- pthread_attr_t attribute;
- int ret;
+ int main(void)
+ {
+ pthread_attr_t attribute;
+ int ret;
- pthread_attr_init(&attribute);
- ret=pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM);
- exit(ret==0 ? 0 : 1);
-}
-], [hdf5_cv_system_scope_threads=yes], [hdf5_cv_system_scope_threads=no],)])
+ pthread_attr_init(&attribute);
+ ret=pthread_attr_setscope(&attribute, PTHREAD_SCOPE_SYSTEM);
+ exit(ret==0 ? 0 : 1);
+ }
+ ], [hdf5_cv_system_scope_threads=yes], [hdf5_cv_system_scope_threads=no],)])
if test ${hdf5_cv_system_scope_threads} = "yes"; then
AC_DEFINE([SYSTEM_SCOPE_THREADS], [1],
@@ -2330,11 +2353,11 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Checking to see if GPFS is available on this filesystem
-dnl
+## ----------------------------------------------------------------------
+## Checking to see if GPFS is available on this filesystem
+##
AC_ARG_ENABLE([gpfs],
- [AC_HELP_STRING([--enable-gpfs],
+ [AS_HELP_STRING([--enable-gpfs],
[Enable GPFS hints for the MPI/POSIX file
driver. [default=no]])],,
[enableval=no])
@@ -2342,16 +2365,16 @@ AC_ARG_ENABLE([gpfs],
case "X-$enableval" in
X-yes)
AC_CHECK_HEADERS([gpfs.h],
- AC_MSG_CHECKING([for GPFS support])
+ [AC_MSG_CHECKING([for GPFS support])
AC_TRY_COMPILE([#include <gpfs.h>],
[int fd = 0; gpfs_fcntl(fd, (void *)0);],
- AC_DEFINE(HAVE_GPFS, 1,
- [Define if we have GPFS support])
- AC_MSG_RESULT([yes])
- LIBS="$LIBS -lgpfs"
- GPFS="yes",
- AC_MSG_RESULT([no])
- GPFS="no"))
+ [AC_DEFINE([HAVE_GPFS], [1],
+ [Define if we have GPFS support])
+ AC_MSG_RESULT([yes])
+ LIBS="$LIBS -lgpfs"
+ GPFS="yes"],
+ [AC_MSG_RESULT([no])
+ GPFS="no"])])
;;
X-no|*)
AC_MSG_CHECKING([for gpfs])
@@ -2359,13 +2382,13 @@ case "X-$enableval" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Turn on debugging by setting compiler flags
-dnl This must come after the enable-production since it depends on production.
-dnl
-AC_MSG_CHECKING(for debug flags)
+## ----------------------------------------------------------------------
+## Turn on debugging by setting compiler flags
+## This must come after the enable-production since it depends on production.
+##
+AC_MSG_CHECKING([for debug flags])
AC_ARG_ENABLE([debug],
- [AC_HELP_STRING([--enable-debug=all],
+ [AS_HELP_STRING([--enable-debug=all],
[Turn on debugging in all packages. One may
also specify a comma-separated list of
package names without the leading H5 or
@@ -2374,7 +2397,7 @@ AC_ARG_ENABLE([debug],
])],
[DEBUG_PKG=$enableval])
-dnl Default to no if producton is enabled
+## Default to no if producton is enabled
if test "X-$DEBUG_PKG" = X- ; then
if test "$enable_production" = yes ; then
DEBUG_PKG=no
@@ -2412,12 +2435,12 @@ if test -n "$DEBUG_PKG"; then
done
fi
-dnl ----------------------------------------------------------------------
-dnl Check if they would like the function stack support compiled in
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like the function stack support compiled in
+##
AC_MSG_CHECKING([whether function stack tracking is enabled])
AC_ARG_ENABLE([codestack],
- [AC_HELP_STRING([--enable-codestack],
+ [AS_HELP_STRING([--enable-codestack],
[Enable the function stack tracing (for developer debugging).])],
[CODESTACK=$enableval])
@@ -2434,12 +2457,12 @@ case "X-$CODESTACK" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Check if they would like the metadata trace file code compiled in
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like the metadata trace file code compiled in
+##
AC_MSG_CHECKING([whether metadata trace file code is enabled])
AC_ARG_ENABLE([metadata-trace-file],
- [AC_HELP_STRING([--enable-metadata-trace-file],
+ [AS_HELP_STRING([--enable-metadata-trace-file],
[Enable metadata trace file collection.])],
[METADATATRACEFILE=$enableval])
@@ -2456,19 +2479,19 @@ case "X-$METADATATRACEFILE" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Enable tracing of the API
-dnl This must come after the enable-debug since it depends on debug.
-dnl
+## ----------------------------------------------------------------------
+## Enable tracing of the API
+## This must come after the enable-debug since it depends on debug.
+##
AC_SUBST([TRACE_API])
AC_MSG_CHECKING([for API tracing]);
AC_ARG_ENABLE([trace],
- [AC_HELP_STRING([--enable-trace],
+ [AS_HELP_STRING([--enable-trace],
[Enable API tracing capability. Default=no
if debug is disabled.])],
- TRACE=$enableval)
+ [TRACE=$enableval])
-dnl Default to no if debug is disabled
+## Default to no if debug is disabled
if test "X-$TRACE" = X- ; then
if test -z "$DEBUG_PKG" ; then
TRACE=no
@@ -2490,19 +2513,19 @@ case "X-$TRACE" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Enable instrumenting of the library's internal operations
-dnl This must come after the enable-debug since it depends on debug.
-dnl
+## ----------------------------------------------------------------------
+## Enable instrumenting of the library's internal operations
+## This must come after the enable-debug since it depends on debug.
+##
AC_SUBST([INSTRUMENT_LIBRARY])
AC_MSG_CHECKING([for instrumented library]);
AC_ARG_ENABLE([instrument],
- [AC_HELP_STRING([--enable-instrument],
+ [AS_HELP_STRING([--enable-instrument],
[Enable library instrumentation of optimization
tracing. Default=no if debug is disabled.])],
- INSTRUMENT=$enableval)
+ [INSTRUMENT=$enableval])
-dnl Default to no if debug is disabled
+## Default to no if debug is disabled
if test "X-$INSTRUMENT" = X- ; then
if test -z "$DEBUG_PKG" ; then
INSTRUMENT=no
@@ -2524,14 +2547,14 @@ case "X-$INSTRUMENT" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Check if they would like to securely clear file buffers before they are
-dnl written.
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like to securely clear file buffers before they are
+## written.
+##
AC_SUBST([CLEARFILEBUF])
AC_MSG_CHECKING([whether to clear file buffers])
AC_ARG_ENABLE([clear-file-buffers],
- [AC_HELP_STRING([--enable-clear-file-buffers],
+ [AS_HELP_STRING([--enable-clear-file-buffers],
[Securely clear file buffers before writing
to file. Default=yes.])],
[CLEARFILEBUF=$enableval])
@@ -2550,16 +2573,16 @@ case "X-$CLEARFILEBUF" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Check if they would like to use a memory checking tool (like valgrind's
-dnl 'memcheck' tool, or Rational Purify, etc) and the library should be
-dnl more scrupulous with it's memory operations. Enabling this also
-dnl disables the library's free space manager code.
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like to use a memory checking tool (like valgrind's
+## 'memcheck' tool, or Rational Purify, etc) and the library should be
+## more scrupulous with it's memory operations. Enabling this also
+## disables the library's free space manager code.
+##
AC_SUBST([USINGMEMCHECKER])
AC_MSG_CHECKING([whether a memory checking tool will be used])
AC_ARG_ENABLE([using-memchecker],
- [AC_HELP_STRING([--enable-using-memchecker],
+ [AS_HELP_STRING([--enable-using-memchecker],
[Enable this option if a memory allocation and/or
bounds checking tool will be used on the HDF5
library. Enabling this causes the library to be
@@ -2583,41 +2606,41 @@ case "X-$USINGMEMCHECKER" in
;;
esac
-dnl Checkpoint the cache
+## Checkpoint the cache
AC_CACHE_SAVE
-dnl What header files and libraries do we have to look for for parallel
-dnl support? For the most part, search paths are already specified with
-dnl CPPFLAGS and LDFLAGS or are known to the compiler. If the user says
-dnl `--disable-parallel' but specifies a known parallel compiler (like mpicc
-dnl or mpcc) then parallel support is enabled but configure doesn't search
-dnl for any parallel header files or libraries.
-dnl
+## What header files and libraries do we have to look for for parallel
+## support? For the most part, search paths are already specified with
+## CPPFLAGS and LDFLAGS or are known to the compiler. If the user says
+## `--disable-parallel' but specifies a known parallel compiler (like mpicc
+## or mpcc) then parallel support is enabled but configure doesn't search
+## for any parallel header files or libraries.
+##
AC_ARG_ENABLE([parallel],
- [AC_HELP_STRING([--enable-parallel],
+ [AS_HELP_STRING([--enable-parallel],
[Search for MPI-IO and MPI support files])])
-dnl The --enable-parallel flag is not compatible with --enable-cxx.
-dnl If the user tried to specify both flags, throw an error, unless
-dnl they also provided the --enable-unsupported flag.
+## The --enable-parallel flag is not compatible with --enable-cxx.
+## If the user tried to specify both flags, throw an error, unless
+## they also provided the --enable-unsupported flag.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${HDF_CXX}" = "Xyes" -a "X${enable_parallel}" = "Xyes"; then
AC_MSG_ERROR([--enable-cxx and --enable-parallel flags are incompatible. Use --enable-unsupported to override this error.])
fi
fi
-dnl --enable-parallel is also incompatible with --enable-threadsafe, unless
-dnl --enable-unsupported has been specified on the configure line.
+## --enable-parallel is also incompatible with --enable-threadsafe, unless
+## --enable-unsupported has been specified on the configure line.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${THREADSAFE}" = "Xyes" -a "X${enable_parallel}" = "Xyes"; then
AC_MSG_ERROR([--enable-threadsafe and --enable-parallel flags are incompatible. Use --enable-unsupported to override this error.])
fi
fi
-dnl It's possible to build in parallel by specifying a parallel compiler
-dnl without using the --enable-parallel flag. This isn't allowed with
-dnl C++ or threadsafe, either, unless the --enable-unsupported flag
-dnl has also been specified.
+## It's possible to build in parallel by specifying a parallel compiler
+## without using the --enable-parallel flag. This isn't allowed with
+## C++ or threadsafe, either, unless the --enable-unsupported flag
+## has also been specified.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${PARALLEL}" != "X" -a "X${enable_cxx}" = "Xyes" ; then
AC_MSG_ERROR([An MPI compiler is being used; --enable-cxx is not allowed. Use --enable-unsupported to override this error.])
@@ -2630,49 +2653,49 @@ fi
AC_MSG_CHECKING([for parallel support files])
case "X-$enable_parallel" in
X-|X-no|X-none)
- dnl Either we are not compiling for parallel or the header and
- dnl library files and locations are known to the compiler (this is
- dnl the case for a correct installation of mpicc for instance).
+ ## Either we are not compiling for parallel or the header and
+ ## library files and locations are known to the compiler (this is
+ ## the case for a correct installation of mpicc for instance).
AC_MSG_RESULT([skipped])
;;
X-yes)
- dnl We want to compile a parallel library with a compiler that
- dnl may already know how to link with MPI and MPI-IO.
+ ## We want to compile a parallel library with a compiler that
+ ## may already know how to link with MPI and MPI-IO.
AC_MSG_RESULT([provided by compiler])
PARALLEL=yes
- dnl Try link a simple MPI program. If fail, try again with -lmpi and
- dnl -lmpich.
- AC_TRY_LINK(, MPI_Init(),, \
- AC_CHECK_LIB(mpi, MPI_Init,, \
- AC_CHECK_LIB(mpich, MPI_Init,, PARALLEL=no)))
+ ## Try link a simple MPI program. If fail, try again with -lmpi and
+ ## -lmpich.
+ AC_TRY_LINK(, [MPI_Init()],,
+ [AC_CHECK_LIB([mpi], [MPI_Init],,
+ [AC_CHECK_LIB([mpich], [MPI_Init],, [PARALLEL=no])])])
- dnl Then try link a simple MPI-IO program. If fail, try again with
- dnl -lmpio.
+ ## Then try link a simple MPI-IO program. If fail, try again with
+ ## -lmpio.
if test "X$PARALLEL" = "Xyes"; then
AC_TRY_LINK(, [MPI_File_open()],,
[AC_CHECK_LIB([mpio], [MPI_File_open],, [PARALLEL=no])])
fi
if test "X$HDF_FORTRAN" = "Xyes"; then
- dnl Change to the Fortran 90 language
+ ## Change to the Fortran 90 language
AC_LANG_PUSH(Fortran)
- dnl Try link a simple MPI program. If fail, try again with -lmpi.
+ ## Try link a simple MPI program. If fail, try again with -lmpi.
AC_LINK_IFELSE([
program main
include 'mpif.h'
integer:: ierr
call mpi_file_open( ierr )
end],,
- AC_CHECK_LIB(mpi, [
+ [AC_CHECK_LIB([mpi], [
include 'mpif.h'
integer:: ierr
- call mpi_file_open( ierr )],, PARALLEL=no))
+ call mpi_file_open( ierr )],, [PARALLEL=no])])
- dnl Then try link a simple MPI-IO program. If fail, try again with
- dnl -lmpio.
+ ## Then try link a simple MPI-IO program. If fail, try again with
+ ## -lmpio.
if test "X$PARALLEL" = "Xyes"; then
AC_LINK_IFELSE([
program main
@@ -2680,21 +2703,21 @@ case "X-$enable_parallel" in
integer:: ierr
call mpi_file_open( ierr )
end],,
- AC_CHECK_LIB(mpio, [
+ [AC_CHECK_LIB([mpio], [
include 'mpif.h'
integer:: ierr
- call mpi_file_open( ierr )],, PARALLEL=no))
+ call mpi_file_open( ierr )],, [PARALLEL=no])])
fi
- dnl Change to the C language
+ ## Change to the C language
AC_LANG_POP(Fortran)
fi
- dnl Set RUNPARALLEL to mpiexec if not set yet.
- dnl Check for building on Cray if RUNPARALLEL is not yet set by checking
- dnl for 'aprun' command (which is the parallel job launcher, like mpiexec).
+ ## Set RUNPARALLEL to mpiexec if not set yet.
+ ## Check for building on Cray if RUNPARALLEL is not yet set by checking
+ ## for 'aprun' command (which is the parallel job launcher, like mpiexec).
if test "X$PARALLEL" = "Xyes" -a -z "$RUNPARALLEL"; then
- dnl Find the path where aprun is located.
+ ## Find the path where aprun is located.
for path in `echo $PATH | ${TR} ":" " "`; do
if test -x $path/aprun; then
RUNPARALLEL="aprun -q -n \$\${NPROCS:=6}"
@@ -2703,7 +2726,7 @@ case "X-$enable_parallel" in
done
fi
- dnl Set RUNPARALLEL to mpiexec if not set yet.
+ ## Set RUNPARALLEL to mpiexec if not set yet.
if test "X$PARALLEL" = "Xyes" -a -z "$RUNPARALLEL"; then
RUNPARALLEL="mpiexec -n \$\${NPROCS:=6}"
fi
@@ -2715,39 +2738,39 @@ case "X-$enable_parallel" in
;;
esac
-dnl ----------------------------------------------------------------------
-dnl Print some other parallel information and do some sanity checks.
-dnl
+## ----------------------------------------------------------------------
+## Print some other parallel information and do some sanity checks.
+##
AC_SUBST([ADD_PARALLEL_FILES]) ADD_PARALLEL_FILES="no"
if test -n "$PARALLEL"; then
- dnl The 'testpar' directory should participate in the build
+ ## The 'testpar' directory should participate in the build
TESTPARALLEL=testpar
- dnl We are building a parallel library
+ ## We are building a parallel library
AC_DEFINE([HAVE_PARALLEL], [1], [Define if we have parallel support])
- dnl Display what we found about running programs
+ ## Display what we found about running programs
AC_MSG_CHECKING([prefix for running on one processor])
AC_MSG_RESULT([$RUNSERIAL])
AC_MSG_CHECKING([prefix for running in parallel])
AC_MSG_RESULT([$RUNPARALLEL])
- dnl Check that we can link a simple MPI and MPI-IO application
+ ## Check that we can link a simple MPI and MPI-IO application
AC_MSG_CHECKING([whether a simple MPI-IO program can be linked])
AC_TRY_LINK(, [MPI_Init(); MPI_File_open();],
- AC_MSG_RESULT([yes]),
- AC_MSG_RESULT([no])
- AC_MSG_ERROR([unable to link a simple MPI-IO application]))
+ [AC_MSG_RESULT([yes])],
+ [AC_MSG_RESULT([no])
+ AC_MSG_ERROR([unable to link a simple MPI-IO application])])
- dnl There *must* be some way to run in parallel even if it's just the
- dnl word `none'.
+ ## There *must* be some way to run in parallel even if it's just the
+ ## word `none'.
if test -z "$RUNPARALLEL"; then
AC_MSG_ERROR([no way to run a parallel program])
fi
- dnl If RUNSERIAL or RUNPARALLEL is the word `none' then replace it with
- dnl the empty string.
+ ## If RUNSERIAL or RUNPARALLEL is the word `none' then replace it with
+ ## the empty string.
if test "X$RUNSERIAL" = "Xnone"; then
RUNSERIAL=""
fi
@@ -2777,15 +2800,15 @@ if test -n "$PARALLEL"; then
)
fi
- dnl --------------------------------------------------------------------
- dnl Do we want MPE instrumentation feature on?
- dnl
- dnl This must be done after enable-parallel is checked since it depends
- dnl on a mpich compiler.
- dnl
+ ## --------------------------------------------------------------------
+ ## Do we want MPE instrumentation feature on?
+ ##
+ ## This must be done after enable-parallel is checked since it depends
+ ## on a mpich compiler.
+ ##
MPE=yes
AC_ARG_WITH([mpe],
- [AC_HELP_STRING([--with-mpe=DIR],
+ [AS_HELP_STRING([--with-mpe=DIR],
[Use MPE instrumentation [default=no]])],,
[withval=no])
@@ -2798,7 +2821,7 @@ if test -n "$PARALLEL"; then
X-yes)
AC_CHECK_HEADERS([mpe.h],, [unset MPE])
AC_CHECK_LIB([mpe], [MPE_Init_log],, [unset MPE])
- AC_CHECK_LIB([lmpe], [CLOG_Init],, [unset MPE])
+ AC_CHECK_LIB([lmpe], [MPE_Init_mpi_io],, [unset MPE])
;;
*)
case "$withval" in
@@ -2814,8 +2837,8 @@ if test -n "$PARALLEL"; then
;;
esac
- dnl Trying to include -I/usr/include and -L/usr/lib is redundant and
- dnl can mess some compilers up.
+ ## Trying to include -I/usr/include and -L/usr/lib is redundant and
+ ## can mess some compilers up.
if test "X$mpe_inc" = "X/usr/include"; then
mpe_inc=""
fi
@@ -2840,12 +2863,12 @@ if test -n "$PARALLEL"; then
AM_LDFLAGS="$AM_LDFLAGS -L$mpe_lib"
AC_CHECK_LIB([mpe], [MPE_Init_log],,
[LDFLAGS="$saved_LDFLAGS"; AM_LDFLAGS="$saved_AM_LDFLAGS"; unset MPE])
- AC_CHECK_LIB([lmpe], [CLOG_Init],,
+ AC_CHECK_LIB([lmpe], [MPE_Init_mpi_io],,
[LDFLAGS="$saved_LDFLAGS"; AM_LDFLAGS="$saved_AM_LDFLAGS"; unset MPE])
else
AC_CHECK_LIB([mpe], [MPE_Init_log],, [unset MPE])
- AC_CHECK_LIB([lmpe], [CLOG_Init],, [unset MPE])
+ AC_CHECK_LIB([lmpe], [MPE_Init_mpi_io],, [unset MPE])
fi
;;
esac
@@ -2854,12 +2877,12 @@ if test -n "$PARALLEL"; then
AC_DEFINE([HAVE_MPE], [1], [Define if we have MPE support])
fi
- dnl ----------------------------------------------------------------------
- dnl Set the flag to indicate that the MPI_File_set_size() function
- dnl works with files over 2GB, unless it's already set in the cache.
- dnl (This flag should be set for all machines, except for ASCI Red, where
- dnl the cache value is set in it's config file)
- dnl
+ ## ----------------------------------------------------------------------
+ ## Set the flag to indicate that the MPI_File_set_size() function
+ ## works with files over 2GB, unless it's already set in the cache.
+ ## (This flag should be set for all machines, except for ASCI Red, where
+ ## the cache value is set in it's config file)
+ ##
AC_MSG_CHECKING([if MPI_File_set_size works for files over 2GB])
AC_CACHE_VAL([hdf5_cv_mpi_file_set_size_big], [hdf5_cv_mpi_file_set_size_big=yes])
@@ -2871,15 +2894,15 @@ if test -n "$PARALLEL"; then
AC_MSG_RESULT([no])
fi
- dnl ----------------------------------------------------------------------
- dnl Set the flag to indicate that the MPI_File_get_size() function
- dnl works. The default is enabled unless the user knows the function
- dnl doesn't work on the system and disables it. (This flag should be set
- dnl for all machines except for SGI Altix Propack 4 where the function
- dnl doesn't return correct file size.)
- dnl
+ ## ----------------------------------------------------------------------
+ ## Set the flag to indicate that the MPI_File_get_size() function
+ ## works. The default is enabled unless the user knows the function
+ ## doesn't work on the system and disables it. (This flag should be set
+ ## for all machines except for SGI Altix Propack 4 where the function
+ ## doesn't return correct file size.)
+ ##
AC_ARG_ENABLE([mpi-size],
- [AC_HELP_STRING([--enable-mpi-size],
+ [AS_HELP_STRING([--enable-mpi-size],
[Some systems (only SGI Altix Propack 4 so far) return wrong value
from MPI_File_get_size. By disabling this function, the library
will replace it with stat to get the correct file size.
@@ -2903,29 +2926,29 @@ if test -n "$PARALLEL"; then
esac
fi
-dnl ----------------------------------------------------------------------
-dnl Turn on internal I/O filters by setting macros in header files
-dnl Internal I/O filters are contained entirely within the library and do
-dnl not depend on external headers or libraries. The shuffle filter is
-dnl an example of an internal filter, while the gzip filter is an example of
-dnl an external filter. Each external filter is controlled with an
-dnl "--with-foo=" configure flag.
-dnl
+## ----------------------------------------------------------------------
+## Turn on internal I/O filters by setting macros in header files
+## Internal I/O filters are contained entirely within the library and do
+## not depend on external headers or libraries. The shuffle filter is
+## an example of an internal filter, while the gzip filter is an example of
+## an external filter. Each external filter is controlled with an
+## "--with-foo=" configure flag.
+##
AC_SUBST([FILTERS])
-AC_SUBST(USE_FILTER_SHUFFLE) USE_FILTER_SHUFFLE="no"
-AC_SUBST(USE_FILTER_FLETCHER32) USE_FILTER_FLETCHER32="no"
-AC_SUBST(USE_FILTER_NBIT) USE_FILTER_NBIT="no"
-AC_SUBST(USE_FILTER_SCALEOFFSET) USE_FILTER_SCALEOFFSET="no"
+AC_SUBST([USE_FILTER_SHUFFLE]) USE_FILTER_SHUFFLE="no"
+AC_SUBST([USE_FILTER_FLETCHER32]) USE_FILTER_FLETCHER32="no"
+AC_SUBST([USE_FILTER_NBIT]) USE_FILTER_NBIT="no"
+AC_SUBST([USE_FILTER_SCALEOFFSET]) USE_FILTER_SCALEOFFSET="no"
AC_MSG_CHECKING([for I/O filters])
AC_ARG_ENABLE([filters],
- [AC_HELP_STRING([--enable-filters=all],
+ [AS_HELP_STRING([--enable-filters=all],
[Turn on all internal I/O filters. One may
also specify a comma-separated list of filters
or the word no. The default is all internal
I/O filters.])],
[FILTERS=$enableval])
-dnl Eventually: all_filters="shuffle,foo,bar,baz"
+## Eventually: all_filters="shuffle,foo,bar,baz"
all_filters="shuffle,fletcher32,nbit,scaleoffset"
case "X-$FILTERS" in
X-|X-all)
@@ -2943,10 +2966,10 @@ esac
if test -n "$FILTERS"; then
for filter in `echo $FILTERS | tr ${as_cr_letters}',' ${as_cr_LETTERS}' '`; do
- dnl ------------------------------------------------------------------
- dnl Have to use separate 'if' construct for each filter, so that
- dnl autoheader can detect the AC_DEFINE for each one...
- dnl
+ ## ------------------------------------------------------------------
+ ## Have to use separate 'if' construct for each filter, so that
+ ## autoheader can detect the AC_DEFINE for each one...
+ ##
if test $filter = "SHUFFLE"; then
AC_DEFINE([HAVE_FILTER_SHUFFLE], [1],
[Define if support for shuffle filter is enabled])
@@ -2970,22 +2993,22 @@ if test -n "$FILTERS"; then
done
fi
-dnl ----------------------------------------------------------------------
-dnl This is defined only when we're using CodeWarrior, since it has a
-dnl broken "open()" call.
-dnl
+## ----------------------------------------------------------------------
+## This is defined only when we're using CodeWarrior, since it has a
+## broken "open()" call.
+#
if test 1 = 2; then
AC_DEFINE([NO_SHARED_WRITING], [1],
[Define if shared writing must be disabled (CodeWarrior only)])
fi
-dnl --------------------------------------------------------------------------
-dnl Should the Default Virtual File Driver be compiled?
-dnl
+## --------------------------------------------------------------------------
+## Should the Default Virtual File Driver be compiled?
+##
AC_MSG_CHECKING([for Default Virtual File Driver definition])
AC_ARG_WITH([default-vfd],
- [AC_HELP_STRING([--with-default-vfd=driver],
+ [AS_HELP_STRING([--with-default-vfd=driver],
[Specify default file driver
[default=sec2]])],,
withval=sec2)
@@ -3008,14 +3031,14 @@ if test "X$default_vfd" = "Xyes"; then
[Define the default virtual file driver to compile])
fi
-dnl ----------------------------------------------------------------------
-dnl Check if Direct I/O driver is enabled by --enable-direct-vfd
-dnl
+## ----------------------------------------------------------------------
+## Check if Direct I/O driver is enabled by --enable-direct-vfd
+##
AC_MSG_CHECKING([for Direct Virtual File Driver support])
AC_ARG_ENABLE([direct-vfd],
- [AC_HELP_STRING([--enable-direct-vfd],
+ [AS_HELP_STRING([--enable-direct-vfd],
[Build the Direct I/O Virtual File Driver
[default=yes]])],
[DIRECT_VFD=$enableval], [DIRECT_VFD=yes])
@@ -3034,7 +3057,7 @@ if test "$DIRECT_VFD" = "yes"; then
close(fid);
remove("tst_file");
exit (0);
- }], AC_TRY_LINK(, [posix_memalign()], [hdf5_cv_direct_io=yes], [hdf5_cv_direct_io=no]), [hdf5_cv_direct_io=no],)])
+ }], [AC_TRY_LINK(, [posix_memalign()], [hdf5_cv_direct_io=yes], [hdf5_cv_direct_io=no])], [hdf5_cv_direct_io=no],)])
if test ${hdf5_cv_direct_io} = "yes"; then
AC_MSG_RESULT([yes])
@@ -3050,15 +3073,15 @@ fi
AM_CONDITIONAL([DIRECT_VFD_CONDITIONAL], [test "X$DIRECT_VFD" = "Xyes"])
-dnl ----------------------------------------------------------------------
-dnl Decide whether the presence of user's exception handling functions is
-dnl checked and data conversion exceptions are returned. This is mainly
-dnl for the speed optimization of hard conversions. Soft conversions can
-dnl actually benefit little.
-dnl
+## ----------------------------------------------------------------------
+## Decide whether the presence of user's exception handling functions is
+## checked and data conversion exceptions are returned. This is mainly
+## for the speed optimization of hard conversions. Soft conversions can
+## actually benefit little.
+##
AC_MSG_CHECKING([whether exception handling functions is checked during data conversions])
AC_ARG_ENABLE([dconv-exception],
- [AC_HELP_STRING([--enable-dconv-exception],
+ [AS_HELP_STRING([--enable-dconv-exception],
[if exception handling functions is checked during
data conversions [default=yes]])],
[DCONV_EXCEPTION=$enableval], [DCONV_EXCEPTION=yes])
@@ -3071,15 +3094,15 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Decide whether the data accuracy has higher priority during data
-dnl conversions. If not, some hard conversions will still be prefered even
-dnl though the data may be wrong (for example, some compilers don't
-dnl support denormalized floating values) to maximize speed.
-dnl
+## ----------------------------------------------------------------------
+## Decide whether the data accuracy has higher priority during data
+## conversions. If not, some hard conversions will still be prefered even
+## though the data may be wrong (for example, some compilers don't
+## support denormalized floating values) to maximize speed.
+##
AC_MSG_CHECKING([whether data accuracy is guaranteed during data conversions])
AC_ARG_ENABLE([dconv-accuracy],
- [AC_HELP_STRING([--enable-dconv-accuracy],
+ [AS_HELP_STRING([--enable-dconv-accuracy],
[if data accuracy is guaranteed during
data conversions [default=yes]])],
[DATA_ACCURACY=$enableval], [DATA_ACCURACY=yes])
@@ -3092,12 +3115,12 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can handle converting
-dnl denormalized floating-point values.
-dnl (This flag should be set for all machines, except for the Crays, where
-dnl the cache value is set in it's config file)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can handle converting
+## denormalized floating-point values.
+## (This flag should be set for all machines, except for the Crays, where
+## the cache value is set in it's config file)
+##
AC_MSG_CHECKING([if converting denormalized floating-point values is possible])
AC_CACHE_VAL([hdf5_cv_convert_denormal_float], [hdf5_cv_convert_denormal_float=yes])
@@ -3109,12 +3132,12 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can handle converting
-dnl floating-point to long long values.
-dnl (This flag should be _unset_ for all machines, except for Windows, where
-dnl it's set in the custom Windows H5pubconf.h file)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can handle converting
+## floating-point to long long values.
+## (This flag should be _unset_ for all machines, except for Windows, where
+## it's set in the custom Windows H5pubconf.h file)
+##
AC_MSG_CHECKING([if converting floating-point values to long long is not working])
AC_CACHE_VAL([hdf5_cv_convert_float_llong_not_works], [hdf5_cv_convert_float_llong_not_works=no])
@@ -3126,12 +3149,12 @@ else
AC_MSG_RESULT([false])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine has window style pathname,
-dnl that is, "drive-letter:\" (e.g. "C:") or "drive-letter:/" (e.g. "C:/").
-dnl (This flag should be _unset_ for all machines, except for Windows, where
-dnl it's set in the custom Windows H5pubconf.h file)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine has window style pathname,
+## that is, "drive-letter:\" (e.g. "C:") or "drive-letter:/" (e.g. "C:/").
+## (This flag should be _unset_ for all machines, except for Windows, where
+## it's set in the custom Windows H5pubconf.h file)
+##
AC_MSG_CHECKING([if the machine has window style path name])
case "`uname`" in
@@ -3145,13 +3168,13 @@ case "`uname`" in
;;
esac
-dnl -----------------------------------------------------------------------
-dnl Set flag to indicate that the machine can handle conversion from
-dnl long double to integers accurately. This flag should be set "yes" for
-dnl all machines except all SGIs. For SGIs, some conversions are
-dnl incorrect and its cache value is set "no" in its config/irix6.x and
-dnl irix5.x.
-dnl
+## -----------------------------------------------------------------------
+## Set flag to indicate that the machine can handle conversion from
+## long double to integers accurately. This flag should be set "yes" for
+## all machines except all SGIs. For SGIs, some conversions are
+## incorrect and its cache value is set "no" in its config/irix6.x and
+## irix5.x.
+##
AC_MSG_CHECKING([if converting from long double to integers is accurate])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3168,13 +3191,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl -----------------------------------------------------------------------
-dnl Set flag to indicate that the machine can do conversion from
-dnl long double to integers regardless of accuracy. This flag should be
-dnl set "yes" for all machines except HP-UX 11.00. For HP-UX 11.00, the
-dnl compiler has 'floating exception' when converting 'long double' to all
-dnl integers except 'unsigned long long'. Other HP-UX systems are unknown
-dnl yet. (1/8/05 - SLU)
+## -----------------------------------------------------------------------
+## Set flag to indicate that the machine can do conversion from
+## long double to integers regardless of accuracy. This flag should be
+## set "yes" for all machines except HP-UX 11.00. For HP-UX 11.00, the
+## compiler has 'floating exception' when converting 'long double' to all
+## integers except 'unsigned long long'. Other HP-UX systems are unknown
+## yet. (1/8/05 - SLU)
AC_MSG_CHECKING([if converting from long double to integers works])
@@ -3215,13 +3238,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl -----------------------------------------------------------------------
-dnl Set flag to indicate that the machine can handle conversion from
-dnl integers to long double. (This flag should be set "yes" for all
-dnl machines except all SGIs, where some conversions are
-dnl incorrect and its cache value is set "no" in its config/irix6.x and
-dnl irix5.x)
-dnl
+## -----------------------------------------------------------------------
+## Set flag to indicate that the machine can handle conversion from
+## integers to long double. (This flag should be set "yes" for all
+## machines except all SGIs, where some conversions are
+## incorrect and its cache value is set "no" in its config/irix6.x and
+## irix5.x)
+##
AC_MSG_CHECKING([if accurately converting from integers to long double])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3238,14 +3261,14 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl 'unsigned long' to 'float' values.
-dnl (This flag should be set for all machines, except for Pathscale compiler
-dnl on Sandia's Linux machine where the compiler interprets 'unsigned long'
-dnl values as negative when the first bit of 'unsigned long' is on during
-dnl the conversion to float.)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'unsigned long' to 'float' values.
+## (This flag should be set for all machines, except for Pathscale compiler
+## on Sandia's Linux machine where the compiler interprets 'unsigned long'
+## values as negative when the first bit of 'unsigned long' is on during
+## the conversion to float.)
+##
AC_MSG_CHECKING([if accurately converting unsigned long to float values])
AC_CACHE_VAL([hdf5_cv_ulong_to_float_accurate],
@@ -3288,14 +3311,14 @@ else
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl 'unsigned (long) long' values to 'float' and 'double' values.
-dnl (This flag should be set for all machines, except for the SGIs, where
-dnl the cache value is set in the config/irix6.x config file) and Solaris
-dnl 64-bit machines, where the short program below tests if round-up is
-dnl correctly handled.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'unsigned (long) long' values to 'float' and 'double' values.
+## (This flag should be set for all machines, except for the SGIs, where
+## the cache value is set in the config/irix6.x config file) and Solaris
+## 64-bit machines, where the short program below tests if round-up is
+## correctly handled.
+##
AC_MSG_CHECKING([if accurately converting unsigned long long to floating-point values])
if test ${host_os_novers} = "solaris2.x"; then
@@ -3372,13 +3395,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl 'float' or 'double' to 'unsigned long long' values.
-dnl (This flag should be set for all machines, except for PGI compiler
-dnl where round-up happens when the fraction of float-point value is greater
-dnl than 0.5.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'float' or 'double' to 'unsigned long long' values.
+## (This flag should be set for all machines, except for PGI compiler
+## where round-up happens when the fraction of float-point value is greater
+## than 0.5.
+##
AC_MSG_CHECKING([if accurately roundup converting floating-point to unsigned long long values])
AC_CACHE_VAL([hdf5_cv_fp_to_ullong_accurate],
@@ -3409,13 +3432,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl 'float', 'double' or 'long double' to 'unsigned long long' values.
-dnl (This flag should be set for all machines, except for HP-UX machines
-dnl where the maximal number for unsigned long long is 0x7fffffffffffffff
-dnl during conversion.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'float', 'double' or 'long double' to 'unsigned long long' values.
+## (This flag should be set for all machines, except for HP-UX machines
+## where the maximal number for unsigned long long is 0x7fffffffffffffff
+## during conversion.
+##
AC_MSG_CHECKING([if right maximum converting floating-point to unsigned long long values])
AC_CACHE_VAL([hdf5_cv_fp_to_ullong_right_maximum],
@@ -3453,11 +3476,11 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl 'long double' to 'unsigned int' values. (This flag should be set for
-dnl all machines, except for some Intel compilers on some Linux.)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'long double' to 'unsigned int' values. (This flag should be set for
+## all machines, except for some Intel compilers on some Linux.)
+##
AC_MSG_CHECKING([if correctly converting long double to unsigned int values])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3489,13 +3512,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can _compile_
-dnl 'unsigned long long' to 'float' and 'double' typecasts.
-dnl (This flag should be set for all machines, except for under Windows when
-dnl compiled with Visual Studio 6, where the macro value is set in the
-dnl src/H5pubconf.h file)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can _compile_
+## 'unsigned long long' to 'float' and 'double' typecasts.
+## (This flag should be set for all machines, except for under Windows when
+## compiled with Visual Studio 6, where the macro value is set in the
+## src/H5pubconf.h file)
+##
AC_MSG_CHECKING([if compiling unsigned long long to floating-point typecasts work])
AC_CACHE_VAL([hdf5_cv_ullong_to_fp_cast_works], [hdf5_cv_ullong_to_fp_cast_works=yes])
@@ -3507,13 +3530,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can _compile_
-dnl 'long long' to 'float' and 'double' typecasts.
-dnl (This flag should be set for all machines, except for under Windows when
-dnl compiled with Visual Studio 6, where the macro value is set in the
-dnl src/H5pubconf.h file)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can _compile_
+## 'long long' to 'float' and 'double' typecasts.
+## (This flag should be set for all machines, except for under Windows when
+## compiled with Visual Studio 6, where the macro value is set in the
+## src/H5pubconf.h file)
+##
AC_MSG_CHECKING([if compiling long long to floating-point typecasts work])
AC_CACHE_VAL([hdf5_cv_llong_to_fp_cast_works], [hdf5_cv_llong_to_fp_cast_works=yes])
@@ -3525,13 +3548,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can convert from
-dnl 'unsigned long long' to 'long double' without precision loss.
-dnl (This flag should be set for all machines, except for FreeBSD(sleipnir)
-dnl where the last 2 bytes of mantissa are lost when compiler tries to do
-dnl the conversion, and Cygwin where compiler doesn't do rounding correctly.)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can convert from
+## 'unsigned long long' to 'long double' without precision loss.
+## (This flag should be set for all machines, except for FreeBSD(sleipnir)
+## where the last 2 bytes of mantissa are lost when compiler tries to do
+## the conversion, and Cygwin where compiler doesn't do rounding correctly.)
+##
AC_MSG_CHECKING([if converting unsigned long long to long double with precision])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3623,13 +3646,13 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can handle overflow converting
-dnl all floating-point to all integer types.
-dnl (This flag should be set for all machines, except for Cray X1 where
-dnl floating exception is generated when the floating-point value is greater
-dnl than the maximal integer value).
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can handle overflow converting
+## all floating-point to all integer types.
+## (This flag should be set for all machines, except for Cray X1 where
+## floating exception is generated when the floating-point value is greater
+## than the maximal integer value).
+##
AC_MSG_CHECKING([if overflows normally converting floating-point to integer values])
AC_CACHE_VAL([hdf5_cv_fp_to_integer_overflow_works],
@@ -3654,15 +3677,15 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine is using a special algorithm to convert
-dnl 'long double' to '(unsigned) long' values. (This flag should only be set for
-dnl the IBM Power6 Linux. When the bit sequence of long double is
-dnl 0x4351ccf385ebc8a0bfcc2a3c3d855620, the converted value of (unsigned)long
-dnl is 0x004733ce17af227f, not the same as the library's conversion to 0x004733ce17af2282.
-dnl The machine's conversion gets the correct value. We define the macro and disable
-dnl this kind of test until we figure out what algorithm they use.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine is using a special algorithm to convert
+## 'long double' to '(unsigned) long' values. (This flag should only be set for
+## the IBM Power6 Linux. When the bit sequence of long double is
+## 0x4351ccf385ebc8a0bfcc2a3c3d855620, the converted value of (unsigned)long
+## is 0x004733ce17af227f, not the same as the library's conversion to 0x004733ce17af2282.
+## The machine's conversion gets the correct value. We define the macro and disable
+## this kind of test until we figure out what algorithm they use.
+##
AC_MSG_CHECKING([if using special algorithm to convert long double to (unsigned) long values])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3734,14 +3757,14 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine is using a special algorithm
-dnl to convert some values of '(unsigned) long' to 'long double' values.
-dnl (This flag should be off for all machines, except for IBM Power6 Linux,
-dnl when the bit sequences are 003fff..., 007fff..., 00ffff..., 01ffff...,
-dnl ..., 7fffff..., the compiler uses a unknown algorithm. We define a
-dnl macro and skip the test for now until we know about the algorithm.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine is using a special algorithm
+## to convert some values of '(unsigned) long' to 'long double' values.
+## (This flag should be off for all machines, except for IBM Power6 Linux,
+## when the bit sequences are 003fff..., 007fff..., 00ffff..., 01ffff...,
+## ..., 7fffff..., the compiler uses a unknown algorithm. We define a
+## macro and skip the test for now until we know about the algorithm.
+##
AC_MSG_CHECKING([if using special algorithm to convert (unsigned) long to long double values])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3815,15 +3838,15 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl 'long double' to '(unsigned) long long' values. (This flag should be set for
-dnl all machines, except for Mac OS 10.4 and SGI IRIX64 6.5. When the bit sequence
-dnl of long double is 0x4351ccf385ebc8a0bfcc2a3c..., the values of (unsigned)long long
-dnl start to go wrong on these two machines. Adjusting it higher to
-dnl 0x4351ccf385ebc8a0dfcc... or 0x4351ccf385ebc8a0ffcc... will make the converted
-dnl values wildly wrong. This test detects this wrong behavior and disable the test.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## 'long double' to '(unsigned) long long' values. (This flag should be set for
+## all machines, except for Mac OS 10.4 and SGI IRIX64 6.5. When the bit sequence
+## of long double is 0x4351ccf385ebc8a0bfcc2a3c..., the values of (unsigned)long long
+## start to go wrong on these two machines. Adjusting it higher to
+## 0x4351ccf385ebc8a0dfcc... or 0x4351ccf385ebc8a0ffcc... will make the converted
+## values wildly wrong. This test detects this wrong behavior and disable the test.
+##
AC_MSG_CHECKING([if correctly converting long double to (unsigned) long long values])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3879,13 +3902,13 @@ else
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine can accurately convert
-dnl '(unsigned) long long' to 'long double' values. (This flag should be set for
-dnl all machines, except for Mac OS 10.4, when the bit sequences are 003fff...,
-dnl 007fff..., 00ffff..., 01ffff..., ..., 7fffff..., the converted values are twice
-dnl as big as they should be.
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine can accurately convert
+## '(unsigned) long long' to 'long double' values. (This flag should be set for
+## all machines, except for Mac OS 10.4, when the bit sequences are 003fff...,
+## 007fff..., 00ffff..., 01ffff..., ..., 7fffff..., the converted values are twice
+## as big as they should be.
+##
AC_MSG_CHECKING([if correctly converting (unsigned) long long to long double values])
if test ${ac_cv_sizeof_long_double} = 0; then
@@ -3944,12 +3967,12 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set the flag to indicate that the machine generates bad code
-dnl for the H5V_log2_gen() routine in src/H5Vprivate.h
-dnl (This flag should be set to no for all machines, except for SGI IRIX64,
-dnl where the cache value is set to yes in it's config file)
-dnl
+## ----------------------------------------------------------------------
+## Set the flag to indicate that the machine generates bad code
+## for the H5V_log2_gen() routine in src/H5Vprivate.h
+## (This flag should be set to no for all machines, except for SGI IRIX64,
+## where the cache value is set to yes in it's config file)
+##
AC_MSG_CHECKING([if bad code for log2 routine is generated])
AC_CACHE_VAL([hdf5_cv_bad_log2_code_generated], [hdf5_cv_bad_log2_code_generated=no])
@@ -3961,28 +3984,28 @@ else
AC_MSG_RESULT([no])
fi
-dnl ----------------------------------------------------------------------
-dnl Set some variables for general configuration information to be saved
-dnl and installed with the libraries.
-dnl
+## ----------------------------------------------------------------------
+## Set some variables for general configuration information to be saved
+## and installed with the libraries.
+##
-dnl HDF5 version from the first line of the README.txt file.
+## HDF5 version from the first line of the README.txt file.
H5_VERSION="`cut -d' ' -f3 $srcdir/README.txt | head -1`"
AC_SUBST([H5_VERSION])
-dnl Configuration date
+## Configuration date
AC_SUBST([CONFIG_DATE]) CONFIG_DATE="`date`"
-dnl User doing the configuration
+## User doing the configuration
AC_SUBST([CONFIG_USER]) CONFIG_USER="`whoami`@`hostname`"
if test -n "$ORGANIZATION"; then
CONFIG_USER="$CONFIG_USER at $ORGANIZATION"
fi
-dnl Configuration mode (production, development, profile, etc) saved above.
+## Configuration mode (production, development, profile, etc) saved above.
AC_SUBST([CONFIG_MODE])
-dnl Byte sex from the AC_C_BIGENDIAN macro.
+## Byte sex from the AC_C_BIGENDIAN macro.
AC_SUBST([BYTESEX])
if test "X$ac_cv_c_bigendian" = "Xyes"; then
BYTESEX="big-endian"
@@ -3998,13 +4021,13 @@ else
fi
AC_SUBST([WORDS_BIGENDIAN])
-dnl Parallel support? (set above except empty if none)
+## Parallel support? (set above except empty if none)
PARALLEL=${PARALLEL:-no}
-dnl Compiler with version information. This consists of the full path
-dnl name of the compiler and the reported version number.
+## Compiler with version information. This consists of the full path
+## name of the compiler and the reported version number.
AC_SUBST([CC_VERSION])
-dnl Strip anything that looks like a flag off of $CC
+## Strip anything that looks like a flag off of $CC
CC_NOFLAGS=`echo $CC | sed 's/ -.*//'`
if `echo $CC_NOFLAGS | grep ^/ >/dev/null 2>&1`; then
@@ -4023,7 +4046,7 @@ if test -n "$cc_version_info"; then
fi
AC_SUBST([FC_VERSION])
-dnl Strip anything that looks like a flag off of $CC
+## Strip anything that looks like a flag off of $CC
FC_NOFLAGS=`echo $FC | sed 's/ -.*//'`
if `echo $FC_NOFLAGS | grep ^/ >/dev/null 2>&1`; then
@@ -4042,7 +4065,7 @@ if test -n "$fc_version_info"; then
fi
AC_SUBST([CXX_VERSION])
-dnl Strip anything that looks like a flag off of $CC
+## Strip anything that looks like a flag off of $CC
CXX_NOFLAGS=`echo $CXX | sed 's/ -.*//'`
if `echo $CXX_NOFLAGS | grep ^/ >/dev/null 2>&1`; then
@@ -4060,12 +4083,12 @@ if test -n "$cxx_version_info"; then
CXX_VERSION="$CXX_VERSION ( $cxx_version_info)"
fi
-dnl ----------------------------------------------------------------------
-dnl Where is the root of the source tree. Give an absolute address so
-dnl we can find it no matter which directory of the distribution is our
-dnl current directory. The built-in pwd fails on some systems, but the
-dnl /bin/pwd version works OK.
-dnl
+## ----------------------------------------------------------------------
+## Where is the root of the source tree. Give an absolute address so
+## we can find it no matter which directory of the distribution is our
+## current directory. The built-in pwd fails on some systems, but the
+## /bin/pwd version works OK.
+##
if test -x /bin/pwd; then
pwd=/bin/pwd
else
@@ -4073,16 +4096,16 @@ else
fi
AC_SUBST([ROOT]) ROOT="`$pwd`"
-dnl ----------------------------------------------------------------------
-dnl Move any compiler-specific libraries into the main LIBS varaible.
-dnl
+## ----------------------------------------------------------------------
+## Move any compiler-specific libraries into the main LIBS varaible.
+##
LIBS="$DEFAULT_LIBS $LIBS"
-dnl ----------------------------------------------------------------------
-dnl Determine the runtime libraries we may need to include in the
-dnl libtools command so that executables will find the correct dynamic
-dnl libraries.
-dnl
+## ----------------------------------------------------------------------
+## Determine the runtime libraries we may need to include in the
+## libtools command so that executables will find the correct dynamic
+## libraries.
+##
AC_SUBST([DYNAMIC_DIRS]) DYNAMIC_DIRS=""
if test -n "$AM_LDFLAGS $LDFLAGS"; then
@@ -4092,8 +4115,8 @@ if test -n "$AM_LDFLAGS $LDFLAGS"; then
d="`echo $d | sed -e 's/-L//g'`"
case "$d" in
.*)
- dnl If the path isn't absolute, make it so by
- dnl prepending the ROOT directory to it.
+ ## If the path isn't absolute, make it so by
+ ## prepending the ROOT directory to it.
d=${ROOT}/$d
;;
esac
@@ -4108,8 +4131,8 @@ if test -n "$AM_CPPFLAGS"; then
for d in $AM_CPPFLAGS ; do
case "$d" in
-I.*)
- dnl If the path isn't absolute, make it so by prepending
- dnl the ROOT directory to it.
+ ## If the path isn't absolute, make it so by prepending
+ ## the ROOT directory to it.
d="`echo $d | sed -e 's/-I//g'`"
d="-I${ROOT}/${d}"
;;
@@ -4119,16 +4142,16 @@ if test -n "$AM_CPPFLAGS"; then
AM_CPPFLAGS=$TEMP_CPPFLAGS
fi
-dnl ----------------------------------------------------------------------
-dnl Check if they would like the High Level library compiled
-dnl
+## ----------------------------------------------------------------------
+## Check if they would like the High Level library compiled
+##
AC_SUBST(HL) HL=""
-# name of fortran folder inside "hl", if FORTRAN compile is requested
+## name of fortran folder inside "hl", if FORTRAN compile is requested
AC_SUBST(HL_FOR) HL_FOR=""
AC_MSG_CHECKING([if high level library is enabled])
AC_ARG_ENABLE([hl],
- [AC_HELP_STRING([--enable-hl],
+ [AS_HELP_STRING([--enable-hl],
[Enable the high level library [default=yes]])],
[HDF5_HL=$enableval],
[HDF5_HL=yes])
@@ -4142,14 +4165,14 @@ else
echo "no"
fi
-dnl ----------------------------------------------------------------------
-dnl Some programs shouldn't be built by default (e.g., programs to generate
-dnl data files used by tests, some optional tests).
-dnl Check if they want such programs built anyway.
-dnl
+## ----------------------------------------------------------------------
+## Some programs shouldn't be built by default (e.g., programs to generate
+## data files used by tests, some optional tests).
+## Check if they want such programs built anyway.
+##
AC_MSG_CHECKING([additional programs should be built])
AC_ARG_ENABLE([build-all],
- [AC_HELP_STRING([--enable-build-all],
+ [AS_HELP_STRING([--enable-build-all],
[Build helper programs that only developers should need [default=no]])],
[BUILD_ALL=$enableval],
[BUILD_ALL=no])
@@ -4161,13 +4184,13 @@ else
fi
AM_CONDITIONAL([BUILD_ALL_CONDITIONAL], [test "X$BUILD_ALL" = "Xyes"])
-dnl ----------------------------------------------------------------------
-dnl Enable deprecated public API symbols
-dnl
+## ----------------------------------------------------------------------
+## Enable deprecated public API symbols
+##
AC_SUBST([DEPRECATED_SYMBOLS])
AC_MSG_CHECKING([if deprecated public symbols are available]);
AC_ARG_ENABLE([deprecated-symbols],
- [AC_HELP_STRING([--enable-deprecated-symbols],
+ [AS_HELP_STRING([--enable-deprecated-symbols],
[Enable deprecated public API symbols [default=yes]])],
[DEPREC_SYMBOLS=$enableval],
[DEPREC_SYMBOLS=yes])
@@ -4185,17 +4208,17 @@ case "X-$DEPREC_SYMBOLS" in
;;
esac
-dnl --------------------------------------------------------------------------
-dnl Which version of the public APIs should the 'base' versioned symbols use?
-dnl
+## --------------------------------------------------------------------------
+## Which version of the public APIs should the 'base' versioned symbols use?
+##
AC_SUBST([DEFAULT_API_VERSION])
AC_MSG_CHECKING([which version of public symbols to use by default])
AC_ARG_WITH([default-api-version],
- [AC_HELP_STRING([--with-default-api-version=(v16|v18|v110)],
+ [AS_HELP_STRING([--with-default-api-version=(v16|v18|v110)],
[Specify default release version of public symbols
[default=v110]])],,
- withval=v110)
+ [withval=v110])
if test "X$withval" = "Xv16"; then
AC_MSG_RESULT([v16])
@@ -4212,28 +4235,28 @@ else
AC_MSG_ERROR([invalid version of public symbols given])
fi
-dnl It's an error to try to disable deprecated public API symbols while
-dnl choosing an older version of the public API as the default. However,
-dnl if the user insists on doing this via the --enable-unsupported configure
-dnl flag, we'll let them.
+## It's an error to try to disable deprecated public API symbols while
+## choosing an older version of the public API as the default. However,
+## if the user insists on doing this via the --enable-unsupported configure
+## flag, we'll let them.
if test "X${ALLOW_UNSUPPORTED}" != "Xyes"; then
if test "X${DEFAULT_API_VERSION}" != "Xv110" -a "X${DEPRECATED_SYMBOLS}" = "Xno" ; then
AC_MSG_ERROR([Removing old public API symbols not allowed when using them as default public API symbols. Use --enable-unsupported to override this error.])
fi
fi
-dnl ----------------------------------------------------------------------
-dnl Enable strict file format checks
-dnl
+## ----------------------------------------------------------------------
+## Enable strict file format checks
+##
AC_SUBST([STRICT_FORMAT_CHECKS])
AC_MSG_CHECKING([Whether to perform strict file format checks]);
AC_ARG_ENABLE([strict-format-checks],
- [AC_HELP_STRING([--enable-strict-format-checks],
+ [AS_HELP_STRING([--enable-strict-format-checks],
[Enable strict file format checks, default=yes if
debug flag is enabled, no otherwise])],
[STRICT_CHECKS=$enableval])
-dnl Default to yes if debug is enabled
+## Default to yes if debug is enabled
if test "X-$STRICT_CHECKS" = X- ; then
if test -z "$DEBUG_PKG" ; then
STRICT_CHECKS=no
@@ -4256,12 +4279,12 @@ case "X-$STRICT_CHECKS" in
esac
-dnl ----------------------------------------------------------------------
-dnl Enable embedded library information
-dnl
+## ----------------------------------------------------------------------
+## Enable embedded library information
+##
AC_MSG_CHECKING([Whether to have library information embedded in the executables])
AC_ARG_ENABLE([embedded-libinfo],
- [AC_HELP_STRING([--enable-embedded-libinfo],
+ [AS_HELP_STRING([--enable-embedded-libinfo],
[Enable embedded library information [default=yes]])],
[enable_embedded_libinfo=$enableval],
[enable_embedded_libinfo=yes])
@@ -4275,9 +4298,9 @@ AC_ARG_ENABLE([embedded-libinfo],
fi
-dnl ----------------------------------------------------------------------
-dnl Check if pointer alignments are enforced
-dnl
+## ----------------------------------------------------------------------
+## Check if pointer alignments are enforced
+##
AC_MSG_CHECKING([if alignment restrictions are strictly enforced])
AC_RUN_IFELSE([
AC_LANG_PROGRAM([
@@ -4323,8 +4346,8 @@ AC_RUN_IFELSE([
])
-dnl ----------------------------------------------------------------------
-dnl Restore user's CFLAGS.
+## ----------------------------------------------------------------------
+## Restore user's CFLAGS.
CFLAGS="$saved_user_CFLAGS"
FCFLAGS="$saved_user_FCFLAGS"
CXXFLAGS="$saved_user_CXXFLAGS"
@@ -4332,9 +4355,9 @@ CPPFLAGS="$saved_user_CPPFLAGS"
LDFLAGS="$saved_user_LDFLAGS"
-dnl ----------------------------------------------------------------------
-dnl Create automake conditionals to tell automake makefiles which directories
-dnl need to be compiled
+## ----------------------------------------------------------------------
+## Create automake conditionals to tell automake makefiles which directories
+## need to be compiled
AM_CONDITIONAL([BUILD_CXX_CONDITIONAL], [test "X$HDF_CXX" = "Xyes"])
AM_CONDITIONAL([BUILD_PARALLEL_CONDITIONAL], [test -n "$TESTPARALLEL"])
@@ -4342,37 +4365,37 @@ AM_CONDITIONAL([BUILD_FORTRAN_CONDITIONAL], [test "X$HDF_FORTRAN" = "Xyes"])
AM_CONDITIONAL([BUILD_HDF5_HL_CONDITIONAL], [test "X$HDF5_HL" = "Xyes"])
-dnl ----------------------------------------------------------------------
-dnl Build the Makefiles.
-dnl
+## ----------------------------------------------------------------------
+## Build the Makefiles.
+##
-dnl The directory search list
+## The directory search list
AC_SUBST([SEARCH]) SEARCH='$(srcdir) $(top_builddir)/src $(top_srcdir)/src'
cmd='echo $SEARCH |sed "s/ /'$SEARCH_SEP'/g"'
SEARCH="$SEARCH_RULE`eval $cmd`"
export SEARCH
-dnl We don't need to say when we're entering directories if we're using
-dnl GNU make because make does it for us.
+## We don't need to say when we're entering directories if we're using
+## GNU make because make does it for us.
if test "X$GMAKE" = "Xyes"; then
AC_SUBST([SETX]) SETX=":"
else
AC_SUBST([SETX]) SETX="set -x"
fi
-dnl Some cleanup stuff
+## Some cleanup stuff
rm -f conftest conftest.o conftest.c dummy.o *.mod
-dnl Build config.status, touch the stamp files, and build all the Makefiles.
-dnl The order is such that the first `make' does not need to update any
-dnl configuration information. See config/commence.in for the order in which
-dnl things need to be done.
+## Build config.status, touch the stamp files, and build all the Makefiles.
+## The order is such that the first `make' does not need to update any
+## configuration information. See config/commence.in for the order in which
+## things need to be done.
-# First the stamp1 file for H5config.h.in
+## First the stamp1 file for H5config.h.in
mkdir ./config >/dev/null 2>&1
touch ./config/stamp1
-# Then the config.status file (but not makefiles)
+## Then the config.status file (but not makefiles)
saved_no_create=$no_create
no_create=yes
@@ -4467,16 +4490,16 @@ AC_OUTPUT
LT_OUTPUT
no_create=$saved_no_create
-# Then the stamp2 file for H5config.h
+## Then the stamp2 file for H5config.h
touch ./config/stamp2
-# Finally the makefiles
+## Finally the makefiles
test "$no_create" = yes || ${CONFIG_SHELL-/bin/sh} $CONFIG_STATUS || exit 1
-dnl Post processing to patch up some deficiencies in libtool
+## Post processing to patch up some deficiencies in libtool
case $host_os in
linux* | freebsd* )
- # If gcc is not used, need to set $wl to use "-Wl,"
+ ## If gcc is not used, need to set $wl to use "-Wl,"
if $CC -v 2>&1 | grep '^gcc' > /dev/null ; then
: using gcc
else
@@ -4490,12 +4513,12 @@ EOF
;;
esac
-dnl Are we compiling static libraries, shared libraries, or both? This
-dnl is only used for the libhdf5.settings file. We can't just look at
-dnl $enable_static and $enable_shared because if they're yes the ltconfig
-dnl might have decided that one or the other is simply not possible.
-dnl Therefore we have to ask the generated `libtool' shell script
-dnl which 'features' it has enabled.
+## Are we compiling static libraries, shared libraries, or both? This
+## is only used for the libhdf5.settings file. We can't just look at
+## $enable_static and $enable_shared because if they're yes the ltconfig
+## might have decided that one or the other is simply not possible.
+## Therefore we have to ask the generated `libtool' shell script
+## which 'features' it has enabled.
if (./libtool --features | grep '^enable shared libraries' > /dev/null); then
enable_shared=yes
else
@@ -4528,9 +4551,9 @@ if test "X$HDF_CXX" = "Xyes"; then
chmod 755 c++/src/h5c++
fi
-dnl We don't want inline defined for C++ compilers
-dnl Don't worry about the C++ ifdef wrappers in the H5pubconf file, since
-dnl 'H5_inline' isn't a C++ keyword.
+## We don't want inline defined for C++ compilers
+## Don't worry about the C++ ifdef wrappers in the H5pubconf file, since
+## 'H5_inline' isn't a C++ keyword.
cat >> src/H5config.h <<EOF
#if defined(__cplusplus) && defined(inline)
@@ -4538,5 +4561,5 @@ cat >> src/H5config.h <<EOF
#endif
EOF
-dnl show the configure settings
+## show the configure settings
cat src/libhdf5.settings
diff --git a/examples/CMakeLists.txt b/examples/CMakeLists.txt
index 56206a5..b23c6f8 100644
--- a/examples/CMakeLists.txt
+++ b/examples/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_EXAMPLES)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Define Sources
#-----------------------------------------------------------------------------
SET (examples
diff --git a/fortran/robodoc.rc b/fortran/robodoc.rc
index 1366f77..07b8b35 100644
--- a/fortran/robodoc.rc
+++ b/fortran/robodoc.rc
@@ -9,13 +9,11 @@ items:
AUTHOR
CREATION DATE
MODIFICATION HISTORY
- HISTORY
- INPUTS
+ HISTORY
ARGUMENTS
OPTIONS
PARAMETERS
SWITCHES
- OUTPUTS
SIDE EFFECTS
RESULT
RETURN VALUE
@@ -41,12 +39,77 @@ items:
USED BY
PARENTS
COMMANDS
- SOURCE
- CONTAINS SUBROUTINES
+ SOURCE
+ INPUTS
+ OUTPUTS
OPTIONAL PARAMETERS
+ CONTAINS SUBROUTINES
FILE
+ Fortran2003 Interface:
+ Fortran90 Interface:
+ Fortran2003 Derived Type:
+ Outputs:
+ Inputs:
+ Optional parameters:
+ Components:
ignore items:
+ NAME
COPYRIGHT
+ SYNOPSIS
+ USAGE
+ FUNCTION
+ DESCRIPTION
+ PURPOSE
+ AUTHOR
+ CREATION DATE
+ MODIFICATION HISTORY
+ HISTORY
+ ARGUMENTS
+ OPTIONS
+ PARAMETERS
+ SWITCHES
+ SIDE EFFECTS
+ RESULT
+ RETURN VALUE
+ EXAMPLE
+ NOTES
+ DIAGNOSTICS
+ WARNINGS
+ ERRORS
+ BUGS
+ TODO
+ IDEAS
+ PORTABILITY
+ SEE ALSO
+ METHODS
+ NEW METHODS
+ ATTRIBUTES
+ NEW ATTRIBUTES
+ TAGS
+ DERIVED FROM
+ DERIVED BY
+ USES
+ CHILDREN
+ USED BY
+ PARENTS
+ COMMANDS
+ CONTAINS SUBROUTINES
+ FILE
+item order:
+ Fortran90 Interface:
+ Fortran2003 Interface:
+ Inputs:
+ Outputs:
+ Optional parameters:
+ Fortran2003 Derived Type:
+ Components:
+source items:
+ Fortran90 Interface:
+ Fortran2003 Interface:
+ Fortran2003 Derived Type:
+ SOURCE
+
+
headertypes:
s Subroutines robo_subroutines
options:
@@ -60,14 +123,19 @@ options:
--sections
--sectionnameonly
--documenttitle "HDF5 FORTRAN Developer's Guide"
- --cmode
+ --footless
+ --headless
+ --one_file_per_header
ignore files:
.svn
*~
*.o
*e
*.mod
-accept files:
+ *_F90.f90
*.c
+accept files:
+ *_F03.f90
+ *_F90.f90
*.f90
*.h
diff --git a/fortran/src/H5FDmpiof.c b/fortran/src/H5FDmpiof.c
index 89b4180..993b5ac 100644
--- a/fortran/src/H5FDmpiof.c
+++ b/fortran/src/H5FDmpiof.c
@@ -300,3 +300,40 @@ nh5pget_fapl_mpiposix_c(hid_t_f *prp_id, int_f* comm, int_f* flag)
ret_value = 0;
return ret_value;
}
+
+/****if* H5Pf/h5pget_mpio_actual_io_mode_c
+ * NAME
+ * h5pget_mpio_actual_io_mode_c
+ * PURPOSE
+ * Calls H5Pget_mpio_actual_io_mode
+ *
+ * INPUTS
+ * dxpl_id - Dataset transfer property list identifier.
+ * OUTPUTS
+ * actual_io_mode - The type of I/O performed by this process.
+ *
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * July 27, 2012
+ * SOURCE
+*/
+int_f
+nh5pget_mpio_actual_io_mode_c(hid_t_f *dxpl_id, int_f *actual_io_mode)
+/******/
+{
+ int ret_value = -1;
+ H5D_mpio_actual_io_mode_t c_actual_io_mode;
+
+ /*
+ * Call H5Pget_mpio_actual_io_mode_f function.
+ */
+ if( (H5Pget_mpio_actual_io_mode((hid_t)*dxpl_id, &c_actual_io_mode)) <0 )
+ return ret_value; /* error occurred */
+
+ *actual_io_mode =(int_f)c_actual_io_mode;
+
+ ret_value = 0;
+ return ret_value;
+}
diff --git a/fortran/src/H5FDmpioff.f90 b/fortran/src/H5FDmpioff.f90
index ea9283c..d9faef3 100644
--- a/fortran/src/H5FDmpioff.f90
+++ b/fortran/src/H5FDmpioff.f90
@@ -174,24 +174,23 @@ CONTAINS
! access property list.
!
! INPUTS
-! prp_id - file access property list identifier
-! comm - MPI-2 communicator
-! use_gpfs - logical flag to use the GPFS hints
+! prp_id - File access property list identifier.
+! comm - MPI-2 communicator.
+! use_gpfs - Logical flag to use the GPFS hints.
! OUTPUTS
-! hdferr - Returns 0 if successful and -1 if fails
+! hdferr - Returns 0 if successful and -1 if fails.
!
! AUTHOR
! Elena Pourmal
! May 6, 2003
!
-! SOURCE
+! Fortran90 Interface:
SUBROUTINE h5pset_fapl_mpiposix_f(prp_id, comm, use_gpfs, hdferr)
IMPLICIT NONE
- INTEGER(HID_T), INTENT(IN) :: prp_id ! Property list identifier
- INTEGER, INTENT(IN) :: comm ! MPI communicator to be used for file open
- ! as defined in MPI_FILE_OPEN of MPI-2
- LOGICAL, INTENT(IN) :: use_gpfs
- INTEGER, INTENT(OUT) :: hdferr ! Error code
+ INTEGER(HID_T), INTENT(IN) :: prp_id
+ INTEGER, INTENT(IN) :: comm
+ LOGICAL, INTENT(IN) :: use_gpfs
+ INTEGER, INTENT(OUT) :: hdferr
!*****
INTEGER :: flag
INTEGER, EXTERNAL :: h5pset_fapl_mpiposix_c
@@ -209,22 +208,22 @@ CONTAINS
! Returns MPI communicator information.
!
! INPUTS
-! prp_id - file access property list identifier
+! prp_id - File access property list identifier.
! OUTPUTS
-! comm - MPI-2 communicator
-! use_gpfs - flag to use GPFS hints
-! hdferr - Returns 0 if successful and -1 if fails
+! comm - MPI-2 communicator.
+! use_gpfs - Flag to use GPFS hints.
+! hdferr - Returns 0 if successful and -1 if fails.
! AUTHOR
! Elena Pourmal
! May 6, 2003
!
-! SOURCE
+! Fortran90 Interface:
SUBROUTINE h5pget_fapl_mpiposix_f(prp_id, comm, use_gpfs, hdferr)
IMPLICIT NONE
- INTEGER(HID_T), INTENT(IN) :: prp_id ! Property list identifier
- INTEGER, INTENT(OUT) :: comm ! Buffer to return communicator
- LOGICAL, INTENT(OUT) :: use_gpfs
- INTEGER, INTENT(OUT) :: hdferr ! Error code
+ INTEGER(HID_T), INTENT(IN) :: prp_id
+ INTEGER, INTENT(OUT) :: comm
+ LOGICAL, INTENT(OUT) :: use_gpfs
+ INTEGER, INTENT(OUT) :: hdferr
!*****
INTEGER :: flag
@@ -234,4 +233,49 @@ CONTAINS
IF (flag .EQ. 1) use_gpfs = .TRUE.
END SUBROUTINE h5pget_fapl_mpiposix_f
+
+!****s* H5P/h5pget_mpio_actual_io_mode_f
+! NAME
+! h5pget_mpio_actual_io_mode_f
+!
+! PURPOSE
+! Retrieves the type of I/O that HDF5 actually performed on the last
+! parallel I/O call. This is not necessarily the type of I/O requested.
+!
+! INPUTS
+! dxpl_id - Dataset transfer property list identifier.
+! OUTPUTS
+! actual_io_mode - The type of I/O performed by this process.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! July 27, 2012
+!
+! HISTORY
+!
+! Fortran90 Interface:
+ SUBROUTINE h5pget_mpio_actual_io_mode_f(dxpl_id, actual_io_mode, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T), INTENT(IN) :: dxpl_id
+ INTEGER , INTENT(OUT) :: actual_io_mode
+ INTEGER , INTENT(OUT) :: hdferr
+!*****
+ INTERFACE
+ INTEGER FUNCTION h5pget_mpio_actual_io_mode_c(dxpl_id, actual_io_mode)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5PGET_MPIO_ACTUAL_IO_MODE_C'::h5pget_mpio_actual_io_mode_c
+ !DEC$ENDIF
+ INTEGER(HID_T), INTENT(IN) :: dxpl_id
+ INTEGER , INTENT(OUT) :: actual_io_mode
+ END FUNCTION h5pget_mpio_actual_io_mode_c
+ END INTERFACE
+
+ actual_io_mode = -1
+
+ hdferr = h5pget_mpio_actual_io_mode_c(dxpl_id, actual_io_mode)
+
+ END SUBROUTINE h5pget_mpio_actual_io_mode_f
+
END MODULE H5FDMPIO
diff --git a/fortran/src/H5Gff.f90 b/fortran/src/H5Gff.f90
index 6bcee7c..155185a 100644
--- a/fortran/src/H5Gff.f90
+++ b/fortran/src/H5Gff.f90
@@ -927,7 +927,7 @@ CONTAINS
! Buffer to hold a comment
INTEGER, INTENT(OUT) :: hdferr ! Error code
!*****
- INTEGER :: namelen ! Lenghth of the current_name string
+ INTEGER :: namelen ! Length of the current_name string
INTERFACE
INTEGER FUNCTION h5gget_comment_c(loc_id, name, namelen, size, buffer)
diff --git a/fortran/src/H5Of.c b/fortran/src/H5Of.c
index 531f09c..8e75989 100644
--- a/fortran/src/H5Of.c
+++ b/fortran/src/H5Of.c
@@ -24,6 +24,83 @@
#include "H5f90.h"
#include "H5Eprivate.h"
+int_f
+fill_h5o_info_t_f(H5O_info_t Oinfo, H5O_info_t_f *object_info) {
+
+ struct tm *ts;
+
+ object_info->fileno = Oinfo.fileno;
+ object_info->addr = (haddr_t_f)Oinfo.addr;
+
+ object_info->type = (int_f)Oinfo.type;
+ object_info->rc = (int_f)Oinfo.rc;
+
+ ts = HDgmtime(&Oinfo.atime);
+
+ object_info->atime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
+ object_info->atime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
+ object_info->atime[2] = (int_f)ts->tm_mday;
+ object_info->atime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
+ object_info->atime[4] = (int_f)ts->tm_hour;
+ object_info->atime[5] = (int_f)ts->tm_min;
+ object_info->atime[6] = (int_f)ts->tm_sec;
+ object_info->atime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+
+ ts = HDgmtime(&Oinfo.btime);
+
+ object_info->btime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
+ object_info->btime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
+ object_info->btime[2] = (int_f)ts->tm_mday;
+ object_info->btime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
+ object_info->btime[4] = (int_f)ts->tm_hour;
+ object_info->btime[5] = (int_f)ts->tm_min;
+ object_info->btime[6] = (int_f)ts->tm_sec;
+ object_info->btime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+
+ ts = HDgmtime(&Oinfo.ctime);
+
+ object_info->ctime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
+ object_info->ctime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
+ object_info->ctime[2] = (int_f)ts->tm_mday;
+ object_info->ctime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
+ object_info->ctime[4] = (int_f)ts->tm_hour;
+ object_info->ctime[5] = (int_f)ts->tm_min;
+ object_info->ctime[6] = (int_f)ts->tm_sec;
+ object_info->ctime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+
+ ts = HDgmtime(&Oinfo.mtime);
+
+ object_info->mtime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
+ object_info->mtime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
+ object_info->mtime[2] = (int_f)ts->tm_mday;
+ object_info->mtime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
+ object_info->mtime[4] = (int_f)ts->tm_hour;
+ object_info->mtime[5] = (int_f)ts->tm_min;
+ object_info->mtime[6] = (int_f)ts->tm_sec;
+ object_info->mtime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+
+ object_info->num_attrs = (hsize_t_f)Oinfo.num_attrs;
+
+ object_info->hdr.version = (int_f)Oinfo.hdr.version;
+ object_info->hdr.nmesgs = (int_f)Oinfo.hdr.nmesgs;
+ object_info->hdr.nchunks = (int_f)Oinfo.hdr.nchunks;
+ object_info->hdr.flags = (int_f)Oinfo.hdr.flags;
+
+ object_info->hdr.space.total = (hsize_t_f)Oinfo.hdr.space.total;
+ object_info->hdr.space.meta = (hsize_t_f)Oinfo.hdr.space.meta;
+ object_info->hdr.space.mesg = (hsize_t_f)Oinfo.hdr.space.mesg;
+ object_info->hdr.space.free = (hsize_t_f)Oinfo.hdr.space.free;
+
+ object_info->hdr.mesg.present = Oinfo.hdr.mesg.present;
+ object_info->hdr.mesg.shared = Oinfo.hdr.mesg.shared;
+
+ object_info->meta_size.obj.index_size = (hsize_t_f)Oinfo.meta_size.obj.index_size;
+ object_info->meta_size.obj.heap_size = (hsize_t_f)Oinfo.meta_size.obj.heap_size;
+
+ return 0;
+
+}
+
/****if* H5Of/h5olink_c
* NAME
* h5olink_c
@@ -215,7 +292,7 @@ nh5oopen_by_addr_c (hid_t_f *loc_id, haddr_t_f *addr, hid_t_f *obj_id)
return ret_value;
}
-/* ***if* H5Of/H5Oget_info_by_name_c
+/****if* H5Of/H5Oget_info_by_name_c
* NAME
* H5Oget_info_by_name_c
* PURPOSE
@@ -226,10 +303,7 @@ nh5oopen_by_addr_c (hid_t_f *loc_id, haddr_t_f *addr, hid_t_f *obj_id)
* namelen - Name length.
* lapl_id - Link access property list.
* OUTPUTS
- * corder_valid - Indicates whether the the creation order data is valid for this attribute.
- * corder - Is a positive integer containing the creation order of the attribute.
- * cset - Indicates the character set used for the attribute’s name.
- * data_size - indicates the size, in the number of characters, of the attribute.
+ * object_info - Buffer in which to return object information.
*
* RETURNS
* 0 on success, -1 on failure
@@ -261,74 +335,100 @@ nh5oget_info_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *namelen, hid_t_f *
&Oinfo, (hid_t)*lapl_id) < 0)
HGOTO_DONE(FAIL);
- object_info->fileno = Oinfo.fileno;
- object_info->addr = (haddr_t_f)Oinfo.addr;
-
-
- object_info->type = (int_f)Oinfo.type;
- object_info->rc = (int_f)Oinfo.rc;
-
- ts = HDgmtime(&Oinfo.atime);
-
- object_info->atime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
- object_info->atime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
- object_info->atime[2] = (int_f)ts->tm_mday;
- object_info->atime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
- object_info->atime[4] = (int_f)ts->tm_hour;
- object_info->atime[5] = (int_f)ts->tm_min;
- object_info->atime[6] = (int_f)ts->tm_sec;
- object_info->atime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
-
- ts = HDgmtime(&Oinfo.btime);
-
- object_info->btime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
- object_info->btime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
- object_info->btime[2] = (int_f)ts->tm_mday;
- object_info->btime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
- object_info->btime[4] = (int_f)ts->tm_hour;
- object_info->btime[5] = (int_f)ts->tm_min;
- object_info->btime[6] = (int_f)ts->tm_sec;
- object_info->btime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+ ret_value = fill_h5o_info_t_f(Oinfo,object_info);
- ts = HDgmtime(&Oinfo.ctime);
-
- object_info->ctime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
- object_info->ctime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
- object_info->ctime[2] = (int_f)ts->tm_mday;
- object_info->ctime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
- object_info->ctime[4] = (int_f)ts->tm_hour;
- object_info->ctime[5] = (int_f)ts->tm_min;
- object_info->ctime[6] = (int_f)ts->tm_sec;
- object_info->ctime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+ done:
+ if(c_name)
+ HDfree(c_name);
+ return ret_value;
+}
- ts = HDgmtime(&Oinfo.mtime);
+/****if* H5Of/H5Oget_info_by_idx_c
+ * NAME
+ * H5Oget_info_by_idx_c
+ * PURPOSE
+ * Calls H5Oget_info_by_idx
+ * INPUTS
+ * loc_id - File or group identifier specifying location of group in which object is located.
+ * name - Name of group, relative to loc_id.
+ * namelen - Name length.
+ * lapl_id - Link access property list.
+ * OUTPUTS
+ * object_info - Buffer in which to return object information.
+ *
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * December 1, 2008
+ * SOURCE
+*/
+int_f
+nh5oget_info_by_idx_c (hid_t_f *loc_id, _fcd group_name, size_t_f *namelen,
+ int_f *index_field, int_f *order, hsize_t_f *n, hid_t_f *lapl_id, H5O_info_t_f *object_info)
+/******/
+{
+ char *c_group_name = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0; /* Return value */
+ H5O_info_t Oinfo;
+ H5_index_t c_index_field;
+ H5_iter_order_t c_order;
+
+ /*
+ * Convert FORTRAN name to C name
+ */
+ if((c_group_name = HD5f2cstring( group_name, (size_t)*namelen)) == NULL)
+ HGOTO_DONE(FAIL);
- object_info->mtime[0] = (int_f)ts->tm_year+1900; /* year starts at 1900 */
- object_info->mtime[1] = (int_f)ts->tm_mon+1; /* month starts at 0 in C */
- object_info->mtime[2] = (int_f)ts->tm_mday;
- object_info->mtime[3] = 0; /* time is expressed as UTC (or GMT timezone) */
- object_info->mtime[4] = (int_f)ts->tm_hour;
- object_info->mtime[5] = (int_f)ts->tm_min;
- object_info->mtime[6] = (int_f)ts->tm_sec;
- object_info->mtime[7] = -32767; /* millisecond is not available, assign it -HUGE(0) */
+ c_index_field = (H5_index_t)*index_field;
+ c_order = (H5_iter_order_t)*order;
- object_info->num_attrs = (hsize_t_f)Oinfo.num_attrs;
+ /*
+ * Call H5Oinfo_by_idx function.
+ */
+ if(H5Oget_info_by_idx((hid_t)*loc_id, c_group_name, c_index_field, c_order, (hsize_t)*n,
+ &Oinfo, (hid_t)*lapl_id) < 0)
+ HGOTO_DONE(FAIL);
- object_info->hdr.version = (int_f)Oinfo.hdr.version;
- object_info->hdr.nmesgs = (int_f)Oinfo.hdr.nmesgs;
- object_info->hdr.nchunks = (int_f)Oinfo.hdr.nchunks;
- object_info->hdr.flags = (int_f)Oinfo.hdr.flags;
+ ret_value = fill_h5o_info_t_f(Oinfo,object_info);
- object_info->hdr.space.total = (hsize_t_f)Oinfo.hdr.space.total;
- object_info->hdr.space.meta = (hsize_t_f)Oinfo.hdr.space.meta;
- object_info->hdr.space.mesg = (hsize_t_f)Oinfo.hdr.space.mesg;
- object_info->hdr.space.free = (hsize_t_f)Oinfo.hdr.space.free;
+ done:
+ if(c_group_name)
+ HDfree(c_group_name);
+ return ret_value;
+}
- object_info->hdr.mesg.present = Oinfo.hdr.mesg.present;
- object_info->hdr.mesg.shared = Oinfo.hdr.mesg.shared;
+/****if* H5Of/H5Oget_info_c
+ * NAME
+ * H5Oget_info_c
+ * PURPOSE
+ * Calls H5Oget_info
+ * INPUTS
+ * object_id - Identifier for target object.
+ * OUTPUTS
+ * object_info - Buffer in which to return object information.
+ *
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 16, 2012
+ * SOURCE
+*/
+int_f
+nh5oget_info_c (hid_t_f *object_id, H5O_info_t_f *object_info)
+/******/
+{
+ int_f ret_value = 0; /* Return value */
+ H5O_info_t Oinfo;
+
+ /*
+ * Call H5Oinfo_by_name function.
+ */
+ if(H5Oget_info((hid_t)*object_id, &Oinfo) < 0)
+ HGOTO_DONE(FAIL);
- object_info->meta_size.obj.index_size = (hsize_t_f)Oinfo.meta_size.obj.index_size;
- object_info->meta_size.obj.heap_size = (hsize_t_f)Oinfo.meta_size.obj.heap_size;
+ ret_value = fill_h5o_info_t_f(Oinfo,object_info);
done:
return ret_value;
@@ -391,3 +491,428 @@ nh5ocopy_c (hid_t_f *src_loc_id, _fcd src_name, size_t_f *src_name_len,
return ret_value;
}
+
+/****if* H5Of/h5ovisit_by_name_c
+ * NAME
+ * h5ovisit_by_name_c
+ * PURPOSE
+ * Calls H5Ovisit_by_name
+ * INPUTS
+ * object_id - Identifier specifying subject group
+ * index_type - Type of index which determines the order
+ * order - Order within index
+ * idx - Iteration position at which to start
+ * op - Callback function passing data regarding the link to the calling application
+ * op_data - User-defined pointer to data required by the application for its processing of the link
+ *
+ * OUTPUTS
+ * idx - Position at which an interrupted iteration may be restarted
+ *
+ * RETURNS
+ * >0 on success, 0< on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 16, 2012
+ * SOURCE
+*/
+int_f
+nh5ovisit_by_name_c(hid_t_f *loc_id, _fcd object_name, size_t_f *namelen, int_f *index_type, int_f *order,
+ H5O_iterate_t op, void *op_data, hid_t_f *lapl_id )
+/******/
+{
+ int_f ret_value = -1; /* Return value */
+ herr_t func_ret_value; /* H5Linterate return value */
+ char *c_object_name = NULL; /* Buffer to hold C string */
+
+
+ /*
+ * Convert FORTRAN name to C name
+ */
+ if( (c_object_name = HD5f2cstring(object_name, (size_t)*namelen)) == NULL)
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Call H5Ovisit
+ */
+ func_ret_value = H5Ovisit_by_name( (hid_t)*loc_id, c_object_name, (H5_index_t)*index_type, (H5_iter_order_t)*order,
+ op, op_data, (hid_t)*lapl_id);
+ ret_value = (int_f)func_ret_value;
+
+ done:
+ if(c_object_name)
+ HDfree(c_object_name);
+ return ret_value;
+
+}
+
+/****if* H5Of/h5odecr_refcount_c
+ * NAME
+ * h5odecr_refcount_c
+ * PURPOSE
+ * Calls H5Odecr_refcount
+ * INPUTS
+ * object_id - Object identifier.
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 16, 2012
+ * SOURCE
+*/
+int_f
+nh5odecr_refcount_c (hid_t_f *object_id)
+/******/
+{
+ int_f ret_value = 0; /* Return value */
+
+ /*
+ * Call H5Odecr_refcount function.
+ */
+ if((hid_t_f)H5Odecr_refcount((hid_t)*object_id) < 0)
+ HGOTO_DONE(FAIL);
+
+ done:
+ return ret_value;
+}
+
+/****if* H5Of/h5oexists_by_name_c
+ * NAME
+ * h5oexists_by_name_c
+ * PURPOSE
+ * Calls H5Oexists_by_name
+ * INPUTS
+ * loc_id - File or group identifier
+ * name - Attribute access property list
+ * namelen - Size of name
+ * lapl_id - Link access property list
+ *
+ * RETURNS
+ * link status: 0 = false, 1 = true, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 17, 2012
+ * SOURCE
+*/
+int_f
+nh5oexists_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *namelen, hid_t_f *lapl_id)
+/******/
+{
+ char *c_name = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0; /* Return value */
+ htri_t status = 0;
+
+ /*
+ * Convert FORTRAN name to C name
+ */
+ if((c_name = HD5f2cstring(name, (size_t)*namelen)) == NULL)
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Call H5Oopen function.
+ */
+ if((ret_value = (int_f)H5Oexists_by_name((hid_t)*loc_id, c_name, (hid_t)*lapl_id)) < 0)
+ HGOTO_DONE(FAIL);
+
+ done:
+ if(c_name)
+ HDfree(c_name);
+ return ret_value;
+}
+
+/****if* H5Of/h5oincr_refcount_c
+ * NAME
+ * h5oincr_refcount_c
+ * PURPOSE
+ * Calls H5Oincr_refcount
+ * INPUTS
+ * object_id - Object identifier.
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 16, 2012
+ * SOURCE
+*/
+int_f
+nh5oincr_refcount_c (hid_t_f *object_id)
+/******/
+{
+ int_f ret_value = 0; /* Return value */
+
+ /*
+ * Call H5Oincr_refcount function.
+ */
+ if((hid_t_f)H5Oincr_refcount((hid_t)*object_id) < 0)
+ HGOTO_DONE(FAIL);
+
+ done:
+ return ret_value;
+}
+
+/****if* H5Of/h5oset_comment_c
+ * NAME
+ * h5oset_comment_c
+ * PURPOSE
+ * Calls H5Oset_comment
+ * INPUTS
+ * object_id - Identifier of the target object.
+ * comment - The new comment.
+ * commentlen - Length of the comment.
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 17, 2012
+ * SOURCE
+*/
+int_f
+nh5oset_comment_c (hid_t_f *object_id, _fcd comment, size_t_f *commentlen)
+/******/
+{
+ char *c_comment = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0; /* Return value */
+
+ /*
+ * Convert FORTRAN string to C string
+ */
+ if((c_comment = HD5f2cstring(comment, (size_t)*commentlen)) == NULL)
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Call H5Oset_comment function.
+ */
+ if((hid_t_f)H5Oset_comment((hid_t)*object_id, c_comment) < 0)
+ HGOTO_DONE(FAIL);
+
+ done:
+ if(c_comment)
+ HDfree(c_comment);
+ return ret_value;
+}
+
+/****if* H5Of/h5oset_comment_by_name_c
+ * NAME
+ * h5oset_comment_by_name_c
+ * PURPOSE
+ * Calls H5Oset_comment_by_name
+ * INPUTS
+ * object_id - Identifier of the target object.
+ * name - Name of the object whose comment is to be set or reset,
+ * specified as a path relative to loc_id.
+ * namelen - Length of the name.
+ * comment - The new comment.
+ * commentlen - Length of the comment.
+ * lapl_id - Link access property list identifier.
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 17, 2012
+ * SOURCE
+*/
+int_f
+nh5oset_comment_by_name_c (hid_t_f *object_id, _fcd name, size_t_f *namelen, _fcd comment, size_t_f *commentlen, hid_t_f *lapl_id)
+/******/
+{
+ char *c_comment = NULL; /* Buffer to hold C string */
+ char *c_name = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0; /* Return value */
+
+ /*
+ * Convert FORTRAN string to C string
+ */
+ if((c_comment = HD5f2cstring(comment, (size_t)*commentlen)) == NULL)
+ HGOTO_DONE(FAIL);
+ /*
+ * Convert FORTRAN string to C string
+ */
+ if((c_name = HD5f2cstring(name, (size_t)*namelen)) == NULL)
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Call H5Oset_comment_by_name function.
+ */
+ if((hid_t_f)H5Oset_comment_by_name((hid_t)*object_id, c_name, c_comment, (hid_t)*lapl_id) < 0)
+ HGOTO_DONE(FAIL);
+
+ done:
+ if(c_name)
+ HDfree(c_name);
+ if(c_comment)
+ HDfree(c_comment);
+ return ret_value;
+}
+/****if* H5Of/h5oopen_by_idx_c
+ * NAME
+ * h5oopen_by_idx_c
+ * PURPOSE
+ * Calls H5Oopen_by_idx_c
+ * INPUTS
+ * loc_id - A file or group identifier.
+ * group_name - Name of group, relative to loc_id, in which object is located.
+ * group_namelen - Length of group_name
+ * index_type - Type of index by which objects are ordered.
+ * order - Order of iteration within index.
+ * n - Object to open.
+ * lapl_id - Link access property list.
+ * OUTPUTS
+ * obj_id - An object identifier for the opened object.
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * May 17, 2012
+ * SOURCE
+*/
+int_f
+nh5oopen_by_idx_c (hid_t_f *loc_id, _fcd group_name, size_t_f *group_namelen,
+ int_f *index_type, int_f *order, hsize_t_f *n, hid_t_f *obj_id, hid_t_f *lapl_id)
+/******/
+{
+ char *c_group_name = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0;
+ H5_index_t c_index_type;
+ H5_iter_order_t c_order;
+
+ /*
+ * Convert FORTRAN string to C string
+ */
+ if((c_group_name = HD5f2cstring( group_name, (size_t)*group_namelen)) == NULL)
+ HGOTO_DONE(FAIL);
+
+ c_index_type = (H5_index_t)*index_type;
+ c_order = (H5_iter_order_t)*order;
+
+ /*
+ * Call H5Oopen_by_idx function.
+ */
+ if((*obj_id =(hid_t_f)H5Oopen_by_idx((hid_t)*loc_id, c_group_name, c_index_type, c_order, (hsize_t)*n, (hid_t)*lapl_id)) < 0)
+ HGOTO_DONE(FAIL);
+
+ done:
+ if(c_group_name)
+ HDfree(c_group_name);
+ return ret_value;
+}
+
+/****if* H5Of/h5oget_comment_c
+ * NAME
+ * h5oget_comment_c
+ * PURPOSE
+ * Calls H5Oget_comment
+ * INPUTS
+ * object_id - Identifier for the target object.
+ * bufsize - Anticipated required size of the comment buffer.
+ * OUTPUTS
+ * comment - The comment.
+ *
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * June 24, 2012
+ * SOURCE
+*/
+int_f
+nh5oget_comment_c (hid_t_f *object_id, _fcd comment, size_t_f *commentsize, hssize_t_f *bufsize)
+/******/
+{
+ char *c_comment = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0; /* Return value */
+ size_t c_commentsize;
+
+ c_commentsize = (size_t)*commentsize + 1;
+
+ /*
+ * Allocate buffer to hold comment name
+ */
+
+ if(NULL == (c_comment = (char *)HDmalloc(c_commentsize)))
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Call H5Oget_comment function.
+ */
+
+ if((*bufsize = (hssize_t_f)H5Oget_comment((hid_t)*object_id, c_comment, (size_t)*commentsize)) < 0)
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Convert C name to FORTRAN and place it in the given buffer
+ */
+ if(c_comment)
+ HD5packFstring(c_comment, _fcdtocp(comment), c_commentsize - 1);
+ return ret_value;
+
+ done:
+ if(c_comment)
+ HDfree(c_comment);
+
+ return ret_value;
+}
+
+/****if* H5Of/h5oget_comment_by_name_c
+ * NAME
+ * h5oget_comment_by_name_c
+ * PURPOSE
+ * Calls H5Oget_comment_by_name
+ * INPUTS
+ * object_id - Identifier for the target object.
+ * bufsize - Anticipated required size of the comment buffer.
+ * OUTPUTS
+ * comment - The comment.
+ *
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * July 6, 2012
+ * SOURCE
+*/
+int_f
+nh5oget_comment_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *name_size,
+ _fcd comment, size_t_f *commentsize, size_t_f *bufsize, hid_t_f *lapl_id)
+/******/
+{
+ char *c_comment = NULL; /* Buffer to hold C string */
+ char *c_name = NULL; /* Buffer to hold C string */
+ int_f ret_value = 0; /* Return value */
+ size_t c_commentsize;
+
+ /*
+ * Convert FORTRAN string to C string
+ */
+ if((c_name = HD5f2cstring(name, (size_t)*name_size)) == NULL)
+ HGOTO_DONE(FAIL);
+
+ c_commentsize = (size_t)*commentsize + 1;
+
+ /*
+ * Allocate buffer to hold comment name
+ */
+
+ if(NULL == (c_comment = (char *)HDmalloc(c_commentsize)))
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Call H5Oget_comment_by_name function.
+ */
+
+ if((*bufsize = (size_t_f)H5Oget_comment_by_name((hid_t)*loc_id, c_name, c_comment, (size_t)*commentsize,(hid_t)*lapl_id )) < 0)
+ HGOTO_DONE(FAIL);
+
+ /*
+ * Convert C name to FORTRAN and place it in the given buffer
+ */
+ if(c_comment)
+ HD5packFstring(c_comment, _fcdtocp(comment), c_commentsize - 1);
+ return ret_value;
+
+ done:
+ if(c_comment)
+ HDfree(c_comment);
+ if(c_name)
+ HDfree(c_name);
+
+ return ret_value;
+}
diff --git a/fortran/src/H5Off.f90 b/fortran/src/H5Off.f90
index 4f1ea18..ce8c55c 100644
--- a/fortran/src/H5Off.f90
+++ b/fortran/src/H5Off.f90
@@ -119,15 +119,15 @@ CONTAINS
! Opens an object in an HDF5 file by location identifier and path name.
!
! Inputs:
-! loc_id - File or group identifier.
-! name - Path to the object, relative to loc_id.
+! loc_id - File or group identifier.
+! name - Path to the object, relative to loc_id.
!
! Outputs:
-! obj_id - Object identifier for the opened object.
-! hdferr - Returns 0 if successful and -1 if fails.
+! obj_id - Object identifier for the opened object.
+! hdferr - Returns 0 if successful and -1 if fails.
!
! Optional parameters:
-! lapl_id - Access property list identifier for the link pointing to the object.
+! lapl_id - Access property list identifier for the link pointing to the object.
!
! AUTHOR
! M. Scot Breitenfeld
@@ -215,12 +215,12 @@ CONTAINS
! Opens an object using its address within an HDF5 file.
!
! Inputs:
-! loc_id - File or group identifier.
-! addr - Object’s address in the file.
+! loc_id - File or group identifier.
+! addr - Object’s address in the file.
!
! Outputs:
-! obj_id - Object identifier for the opened object.
-! hdferr - Returns 0 if successful and -1 if fails.
+! obj_id - Object identifier for the opened object.
+! hdferr - Returns 0 if successful and -1 if fails.
!
! AUTHOR
! M. Scot Breitenfeld
@@ -321,5 +321,455 @@ CONTAINS
END SUBROUTINE h5ocopy_f
+!****s* H5O/h5odecr_refcount_f
+! NAME
+! h5odecr_refcount_f
+!
+! PURPOSE
+! Decrements an object reference count.
+!
+! Inputs:
+! object_id - Object identifier.
+!
+! Outputs:
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 11, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5odecr_refcount_f(object_id, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T), INTENT(IN) :: object_id
+ INTEGER , INTENT(OUT) :: hdferr
+!*****
+
+ INTERFACE
+ INTEGER FUNCTION h5odecr_refcount_c(object_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5ODECR_REFCOUNT_C'::h5odecr_refcount_c
+ !DEC$ENDIF
+ INTEGER(HID_T) , INTENT(IN) :: object_id
+ END FUNCTION h5odecr_refcount_c
+ END INTERFACE
+
+ hdferr = h5odecr_refcount_c(object_id)
+
+ END SUBROUTINE h5odecr_refcount_f
+
+!****s* H5O/h5oexists_by_name_f
+! NAME
+! h5oexists_by_name_f
+!
+! PURPOSE
+! Determines whether a link resolves to an actual object.
+!
+! Inputs:
+! loc_id - Identifier of the file or group to query.
+! name - The name of the link to check.
+!
+!
+! Optional parameters:
+! lapl_id - Link access property list identifier.
+!
+! Outputs:
+! link_exists - Existing link resolves to an object.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 11, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oexists_by_name_f(loc_id, name, link_exists, hdferr, lapl_id)
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ LOGICAL , INTENT(OUT) :: link_exists
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(HID_T) , INTENT(IN), OPTIONAL :: lapl_id
+!*****
+
+ INTEGER(size_t) :: namelen
+ INTEGER :: status
+ INTEGER(HID_T) :: lapl_id_default
+
+ INTERFACE
+ INTEGER FUNCTION h5oexists_by_name_c(loc_id, name, namelen, lapl_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OEXISTS_BY_NAME_C'::h5oexists_by_name_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: name
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ INTEGER(SIZE_T) , INTENT(IN) :: namelen
+ INTEGER(HID_T) , INTENT(IN) :: lapl_id
+
+ END FUNCTION h5oexists_by_name_c
+ END INTERFACE
+
+ namelen = LEN(name)
+
+ lapl_id_default = H5P_DEFAULT_F
+ IF(PRESENT(lapl_id)) lapl_id_default = lapl_id
+
+ status = h5oexists_by_name_c(loc_id, name, namelen, lapl_id_default)
+
+ link_exists = .FALSE.
+ IF(status.EQ.1)THEN
+ link_exists = .TRUE.
+ ENDIF
+
+ hdferr = 0
+ IF(status.LT.0)THEN
+ hdferr = -1
+ ENDIF
+
+ END SUBROUTINE h5oexists_by_name_f
+
+!****s* H5O/h5oget_comment_f
+! NAME
+! h5oget_comment_f
+!
+! PURPOSE
+! Retrieves comment for specified object.
+!
+! Inputs:
+! obj_id - Identifier for the target object.
+!
+! Optional parameters:
+! bufsize - Size of the comment buffer.
+!
+! Outputs:
+! comment - The comment.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 11, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oget_comment_f(obj_id, comment, hdferr, bufsize)
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: obj_id
+ CHARACTER(LEN=*) , INTENT(OUT) :: comment
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(HSSIZE_T), INTENT(OUT), OPTIONAL :: bufsize
+!*****
+
+ INTEGER(SIZE_T) :: commentsize_default
+ INTEGER(HSSIZE_T) :: bufsize_default
+
+ INTERFACE
+ INTEGER FUNCTION h5oget_comment_c(obj_id, comment, commentsize_default, bufsize)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OGET_COMMENT_C'::h5oget_comment_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: comment
+ INTEGER(HID_T) , INTENT(IN) :: obj_id
+ CHARACTER(LEN=*), INTENT(OUT) :: comment
+ INTEGER(SIZE_T) , INTENT(IN) :: commentsize_default
+ INTEGER(HSSIZE_T) , INTENT(OUT) :: bufsize
+ END FUNCTION h5oget_comment_c
+ END INTERFACE
+
+ commentsize_default = LEN(comment)
+
+ hdferr = h5oget_comment_c(obj_id, comment, commentsize_default, bufsize_default)
+
+ IF(PRESENT(bufsize)) bufsize = bufsize_default
+
+ END SUBROUTINE h5oget_comment_f
+
+!****s* H5O/h5oget_comment_by_name_f
+! NAME
+! h5oget_comment_by_name_f
+!
+! PURPOSE
+! Retrieves comment for specified object.
+!
+! Inputs:
+! loc_id - Identifier of a file, group, dataset, or named datatype.
+! name - Name of the object whose comment is to be retrieved,
+! specified as a path relative to loc_id.
+!
+! Optional parameters:
+! bufsize - Size of the comment buffer.
+!
+! Outputs:
+! comment - The comment.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! July 6, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oget_comment_by_name_f(loc_id, name, comment, hdferr, bufsize, lapl_id)
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ CHARACTER(LEN=*), INTENT(OUT) :: comment
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(SIZE_T) , INTENT(OUT), OPTIONAL :: bufsize
+ INTEGER(HID_T) , INTENT(IN) , OPTIONAL :: lapl_id
+!*****
+
+ INTEGER(SIZE_T) :: commentsize_default
+ INTEGER(SIZE_T) :: name_size
+ INTEGER(SIZE_T) :: bufsize_default
+ INTEGER(HID_T) :: lapl_id_default
+ INTERFACE
+ INTEGER FUNCTION h5oget_comment_by_name_c(loc_id, name, name_size, &
+ comment, commentsize_default, bufsize_default, lapl_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OGET_COMMENT_BY_NAME_C'::h5oget_comment_by_name_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: comment, name
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ INTEGER(SIZE_T) , INTENT(IN) :: name_size
+ CHARACTER(LEN=*), INTENT(OUT) :: comment
+ INTEGER(SIZE_T) , INTENT(IN) :: commentsize_default
+ INTEGER(SIZE_T) , INTENT(OUT) :: bufsize_default
+ INTEGER(HID_T) , INTENT(IN) :: lapl_id
+ END FUNCTION h5oget_comment_by_name_c
+ END INTERFACE
+
+ commentsize_default = LEN(comment)
+ name_size = LEN(name)
+
+ lapl_id_default = H5P_DEFAULT_F
+ IF(PRESENT(lapl_id)) lapl_id_default = lapl_id
+
+ hdferr = h5oget_comment_by_name_c(loc_id, name, name_size, &
+ comment, commentsize_default, bufsize_default, lapl_id_default)
+
+ IF(PRESENT(bufsize)) bufsize = bufsize_default
+
+ END SUBROUTINE h5oget_comment_by_name_f
+
+!****s* H5O/h5oincr_refcount_f
+! NAME
+! h5oincr_refcount_f
+!
+! PURPOSE
+! Increments an object reference count.
+!
+! Inputs:
+! obj_id - Object identifier.
+!
+! Outputs:
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 15, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oincr_refcount_f(obj_id, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T), INTENT(IN) :: obj_id
+ INTEGER , INTENT(OUT) :: hdferr
+!*****
+
+ INTERFACE
+ INTEGER FUNCTION h5oincr_refcount_c(obj_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OINCR_REFCOUNT_C'::h5oincr_refcount_c
+ !DEC$ENDIF
+ INTEGER(HID_T) , INTENT(IN) :: obj_id
+ END FUNCTION h5oincr_refcount_c
+ END INTERFACE
+
+ hdferr = h5oincr_refcount_c(obj_id)
+
+ END SUBROUTINE h5oincr_refcount_f
+
+!****s* H5O/h5oopen_by_idx_f
+!
+! NAME
+! h5oopen_by_idx_f
+!
+! PURPOSE
+! Open the nth object in a group.
+!
+! Inputs:
+! loc_id - A file or group identifier.
+! group_name - Name of group, relative to loc_id, in which object is located.
+! index_type - Type of index by which objects are ordered.
+! order - Order of iteration within index, NOTE: zero-based.
+! n - Object to open.
+!
+! Outputs:
+! obj_id - An object identifier for the opened object.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! Optional parameters:
+! lapl_id - Link access property list.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 17, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oopen_by_idx_f(loc_id, group_name, index_type, order, n, obj_id, &
+ hdferr, lapl_id)
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: group_name
+ INTEGER , INTENT(IN) :: index_type
+ INTEGER , INTENT(IN) :: order
+ INTEGER(HSIZE_T), INTENT(IN) :: n
+ INTEGER(HID_T) , INTENT(OUT) :: obj_id
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(HID_T) , INTENT(IN) , OPTIONAL :: lapl_id
+!*****
+ INTEGER(SIZE_T) :: group_namelen
+ INTEGER(HID_T) :: lapl_id_default
+
+ INTERFACE
+ INTEGER FUNCTION h5oopen_by_idx_c(loc_id, group_name, group_namelen, index_type, order, n, obj_id, lapl_id_default)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OOPEN_BY_IDX_C'::h5oopen_by_idx_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: group_name
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: group_name
+ INTEGER(SIZE_T) , INTENT(IN) :: group_namelen
+ INTEGER , INTENT(IN) :: index_type
+ INTEGER , INTENT(IN) :: order
+ INTEGER(HSIZE_T), INTENT(IN) :: n
+ INTEGER(HID_T) , INTENT(OUT) :: obj_id
+ INTEGER(HID_T) , INTENT(IN) :: lapl_id_default
+
+ END FUNCTION h5oopen_by_idx_c
+ END INTERFACE
+
+ group_namelen = LEN(group_name)
+
+ lapl_id_default = H5P_DEFAULT_F
+ IF(PRESENT(lapl_id)) lapl_id_default = lapl_id
+
+ hdferr = h5oopen_by_idx_c(loc_id, group_name, group_namelen, index_type, order, n, obj_id, lapl_id_default)
+
+ END SUBROUTINE H5Oopen_by_idx_f
+
+!****s* H5O/h5oset_comment_f
+! NAME
+! h5oset_comment_f
+!
+! PURPOSE
+! Sets comment for specified object.
+!
+! Inputs:
+! obj_id - Identifier of the target object.
+! comment - The new comment.
+!
+! Outputs:
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 15, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oset_comment_f(obj_id, comment, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: obj_id
+ CHARACTER(LEN=*), INTENT(IN) :: comment
+ INTEGER , INTENT(OUT) :: hdferr
+!*****
+ INTEGER(SIZE_T) :: commentlen
+
+ INTERFACE
+ INTEGER FUNCTION h5oset_comment_c(obj_id, comment, commentlen)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OSET_COMMENT_C'::h5oset_comment_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: comment
+ INTEGER(HID_T) , INTENT(IN) :: obj_id
+ CHARACTER(LEN=*), INTENT(IN) :: comment
+ INTEGER(SIZE_T) , INTENT(IN) :: commentlen
+
+ END FUNCTION h5oset_comment_c
+ END INTERFACE
+
+ commentlen = LEN(comment)
+
+ hdferr = h5oset_comment_c(obj_id, comment, commentlen)
+
+ END SUBROUTINE h5oset_comment_f
+
+!****s* H5O/h5oset_comment_by_name_f
+! NAME
+! h5oset_comment_by_name_f
+!
+! PURPOSE
+! Sets comment for specified object.
+!
+! Inputs:
+! loc_id - Identifier of a file, group, dataset, or named datatype.
+! name - Name of the object whose comment is to be set or reset,
+! specified as a path relative to loc_id.
+! comment - The new comment.
+!
+! Outputs:
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! Optional parameters:
+! lapl_id - Link access property list identifier.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 15, 2012
+!
+! Fortran90 Interface:
+ SUBROUTINE h5oset_comment_by_name_f(loc_id, name, comment, hdferr, lapl_id)
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ CHARACTER(LEN=*), INTENT(IN) :: comment
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(HID_T) , INTENT(IN), OPTIONAL :: lapl_id
+!*****
+ INTEGER(SIZE_T) :: commentlen
+ INTEGER(SIZE_T) :: namelen
+ INTEGER(HID_T) :: lapl_id_default
+
+ INTERFACE
+ INTEGER FUNCTION h5oset_comment_by_name_c(loc_id, name, namelen, comment, commentlen, lapl_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OSET_COMMENT_BY_NAME_C'::h5oset_comment_by_name_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: name, comment
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: comment
+ INTEGER(SIZE_T) , INTENT(IN) :: commentlen
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ INTEGER(SIZE_T) , INTENT(IN) :: namelen
+ INTEGER(HID_T) , INTENT(IN) :: lapl_id
+ END FUNCTION h5oset_comment_by_name_c
+ END INTERFACE
+
+ commentlen = LEN(comment)
+ namelen = LEN(name)
+
+ lapl_id_default = H5P_DEFAULT_F
+ IF(PRESENT(lapl_id)) lapl_id_default = lapl_id
+
+ hdferr = h5oset_comment_by_name_c(loc_id, name, namelen, comment, commentlen, lapl_id_default)
+
+ END SUBROUTINE h5oset_comment_by_name_f
+
END MODULE H5O
diff --git a/fortran/src/H5Off_F03.f90 b/fortran/src/H5Off_F03.f90
index 8eb7a4b..f4ddd3e 100644
--- a/fortran/src/H5Off_F03.f90
+++ b/fortran/src/H5Off_F03.f90
@@ -82,9 +82,9 @@ MODULE H5O_PROVISIONAL
ENDTYPE meta_size_t
TYPE, BIND(C) :: h5o_info_t
- INTEGER(c_long) :: fileno ! File number that object is located in
+ INTEGER(C_LONG) :: fileno ! File number that object is located in
INTEGER(haddr_t) :: addr ! Object address in file
- INTEGER :: type ! Basic object type (group, dataset, etc.)
+ INTEGER(C_INT) :: type ! Basic object type (group, dataset, etc.)
INTEGER :: rc ! Reference count of object
INTEGER, DIMENSION(8) :: atime ! Access time ! -- NOTE --
@@ -181,14 +181,14 @@ CONTAINS
! Inputs:
! loc_id - File or group identifier specifying location of group
! in which object is located.
-! name - Name of group, relative to loc_id
+! name - Name of group, relative to loc_id.
!
! Outputs:
-! object_info - Buffer in which to return object information
-! hdferr - Returns 0 if successful and -1 if fails
+! object_info - Buffer in which to return object information.
+! hdferr - Returns 0 if successful and -1 if fails.
!
! Optional parameters:
-! lapl_id - Link access property list
+! lapl_id - Link access property list.
!
! AUTHOR
! M. Scot Breitenfeld
@@ -218,11 +218,12 @@ CONTAINS
!DEC$IF DEFINED(HDF5F90_WINDOWS)
!DEC$ATTRIBUTES C,reference,decorate,alias:'H5OGET_INFO_BY_NAME_C'::h5oget_info_by_name_c
!DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: name
INTEGER(HID_T) , INTENT(IN) :: loc_id
CHARACTER(LEN=*), INTENT(IN) :: name
INTEGER(SIZE_T) , INTENT(IN) :: namelen
INTEGER(HID_T) , INTENT(IN) :: lapl_id_default
- TYPE(C_PTR),value :: object_info
+ TYPE(C_PTR),VALUE :: object_info
END FUNCTION h5oget_info_by_name_c
END INTERFACE
@@ -238,5 +239,222 @@ CONTAINS
END SUBROUTINE H5Oget_info_by_name_f
+!****s* H5O (F03)/h5oget_info_f_F03
+!
+! NAME
+! h5oget_info_f
+!
+! PURPOSE
+! Retrieves the metadata for an object specified by an identifier.
+!
+! Inputs:
+! object_id - Identifier for target object.
+!
+! Outputs:
+! object_info - Buffer in which to return object information.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 11, 2012
+!
+! Fortran2003 Interface:
+ SUBROUTINE h5oget_info_f(object_id, object_info, hdferr)
+
+ USE, INTRINSIC :: ISO_C_BINDING
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: object_id
+ TYPE(h5o_info_t), INTENT(OUT), TARGET :: object_info
+ INTEGER , INTENT(OUT) :: hdferr
+!*****
+ TYPE(C_PTR) :: ptr
+
+ INTERFACE
+ INTEGER FUNCTION h5oget_info_c(object_id, object_info)
+ USE H5GLOBAL
+ USE, INTRINSIC :: ISO_C_BINDING
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OGET_INFO_C'::h5oget_info_c
+ !DEC$ENDIF
+ INTEGER(HID_T), INTENT(IN) :: object_id
+ TYPE(C_PTR), VALUE :: object_info
+
+ END FUNCTION h5oget_info_c
+ END INTERFACE
+
+ ptr = C_LOC(object_info)
+ hdferr = H5Oget_info_c(object_id, ptr)
+
+ END SUBROUTINE H5Oget_info_f
+
+!****s* H5O (F03)/h5oget_info_by_idx_f_F03
+!
+! NAME
+! h5oget_info_by_idx_f
+!
+! PURPOSE
+! Retrieves the metadata for an object, identifying the object by an index position.
+!
+! Inputs:
+! loc_id - File or group identifier specifying location of group
+! in which object is located.
+! group_name - Name of group in which object is located.
+! index_field - Index or field that determines the order.
+! order - Order within field or index.
+! n - Object for which information is to be returned
+!
+! Outputs:
+! object_info - Buffer in which to return object information.
+! hdferr - Returns 0 if successful and -1 if fails.
+!
+! Optional parameters:
+! lapl_id - Link access property list. (Not currently used.)
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! May 11, 2012
+!
+! Fortran2003 Interface:
+ SUBROUTINE h5oget_info_by_idx_f(loc_id, group_name, index_field, order, n, &
+ object_info, hdferr, lapl_id)
+
+ USE, INTRINSIC :: ISO_C_BINDING
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: group_name
+ INTEGER , INTENT(IN) :: index_field
+ INTEGER , INTENT(IN) :: order
+ INTEGER(HSIZE_T), INTENT(IN) :: n
+ TYPE(h5o_info_t), INTENT(OUT), TARGET :: object_info
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(HID_T) , INTENT(IN) , OPTIONAL :: lapl_id
+!*****
+ INTEGER :: corder_valid
+ INTEGER(SIZE_T) :: namelen
+ INTEGER(HID_T) :: lapl_id_default
+ TYPE(C_PTR) :: ptr
+
+ INTERFACE
+ INTEGER FUNCTION h5oget_info_by_idx_c(loc_id, group_name, namelen, &
+ index_field, order, n, lapl_id_default, object_info)
+ USE H5GLOBAL
+ USE, INTRINSIC :: ISO_C_BINDING
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OGET_INFO_BY_IDX_C'::h5oget_info_by_idx_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: group_name
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: group_name
+ INTEGER(SIZE_T) , INTENT(IN) :: namelen
+ INTEGER , INTENT(IN) :: index_field
+ INTEGER , INTENT(IN) :: order
+ INTEGER(HSIZE_T), INTENT(IN) :: n
+ INTEGER(HID_T) , INTENT(IN) :: lapl_id_default
+ TYPE(C_PTR), VALUE :: object_info
+
+ END FUNCTION h5oget_info_by_idx_c
+ END INTERFACE
+
+ namelen = LEN(group_name)
+
+ lapl_id_default = H5P_DEFAULT_F
+ IF(PRESENT(lapl_id)) lapl_id_default = lapl_id
+
+ ptr = C_LOC(object_info)
+ hdferr = H5Oget_info_by_idx_c(loc_id, group_name, namelen, index_field, order, n, lapl_id_default, ptr)
+
+ END SUBROUTINE H5Oget_info_by_idx_f
+
+
+!****s* H5O (F03)/h5ovisit_by_name_f_F03
+!
+! NAME
+! h5ovisit_by_name_f
+!
+! PURPOSE
+! Recursively visits all objects starting from a specified object.
+!
+! Inputs:
+! loc_id - Identifier of a file or group.
+! object_name - Name of the object, generally relative to loc_id, that will serve as root of the iteration
+! index_type - Type of index; valid values include:
+! H5_INDEX_NAME_F
+! H5_INDEX_CRT_ORDER_F
+! order - Order in which index is traversed; valid values include:
+! H5_ITER_DEC_F
+! H5_ITER_INC_F
+! H5_ITER_NATIVE_F
+! op - Callback function passing data regarding the group to the calling application
+! op_data - User-defined pointer to data required by the application for its processing of the group
+!
+! Outputs:
+! return_value - Returns the return value of the first operator that returns a positive value, or
+! zero if all members were processed with no operator returning non-zero.
+! hdferr - Returns 0 if successful and -1 if fails
+!
+! Optional parameters:
+! lapl_id - Link access property list identifier.
+!
+! AUTHOR
+! M. Scot Breitenfeld
+! November 19, 2008
+!
+! Fortran2003 Interface:
+ SUBROUTINE h5ovisit_by_name_f(loc_id, object_name, index_type, order, op, op_data, &
+ return_value, hdferr, lapl_id)
+ USE, INTRINSIC :: ISO_C_BINDING
+ IMPLICIT NONE
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: object_name
+ INTEGER , INTENT(IN) :: index_type
+ INTEGER , INTENT(IN) :: order
+
+ TYPE(C_FUNPTR) :: op
+ TYPE(C_PTR) :: op_data
+ INTEGER , INTENT(OUT) :: return_value
+ INTEGER , INTENT(OUT) :: hdferr
+ INTEGER(HID_T) , INTENT(IN) , OPTIONAL :: lapl_id
+!*****
+
+ INTEGER(SIZE_T) :: namelen
+ INTEGER(HID_T) :: lapl_id_default
+ TYPE(C_PTR) :: ptr
+
+ INTERFACE
+ INTEGER FUNCTION h5ovisit_by_name_c(loc_id, object_name, namelen, index_type, order, &
+ op, op_data, lapl_id)
+ USE, INTRINSIC :: ISO_C_BINDING
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5OVISIT_BY_NAME_C'::h5ovisit_by_name_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: object_name
+ INTEGER(HID_T) , INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: object_name
+ INTEGER(SIZE_T) :: namelen
+ INTEGER , INTENT(IN) :: index_type
+ INTEGER , INTENT(IN) :: order
+ TYPE(C_FUNPTR) , VALUE :: op
+ TYPE(C_PTR) , VALUE :: op_data
+ INTEGER(HID_T) , INTENT(IN) :: lapl_id
+ END FUNCTION h5ovisit_by_name_c
+ END INTERFACE
+
+ namelen = LEN(object_name)
+
+ lapl_id_default = H5P_DEFAULT_F
+ IF(PRESENT(lapl_id)) lapl_id_default = lapl_id
+
+ return_value = h5ovisit_by_name_c(loc_id, object_name, namelen, index_type, order, &
+ op, op_data, lapl_id_default)
+
+ IF(return_value.GE.0)THEN
+ hdferr = 0
+ ELSE
+ hdferr = -1
+ END IF
+
+ END SUBROUTINE h5ovisit_by_name_f
+
END MODULE H5O_PROVISIONAL
diff --git a/fortran/src/H5Pff.f90 b/fortran/src/H5Pff.f90
index d50e3b9..4254b7f 100644
--- a/fortran/src/H5Pff.f90
+++ b/fortran/src/H5Pff.f90
@@ -6419,3 +6419,5 @@ SUBROUTINE h5pset_attr_phase_change_f(ocpl_id, max_compact, min_dense, hdferr)
END MODULE H5P
+
+
diff --git a/fortran/src/H5Rf.c b/fortran/src/H5Rf.c
index 86e0e61..0799e11 100644
--- a/fortran/src/H5Rf.c
+++ b/fortran/src/H5Rf.c
@@ -331,6 +331,47 @@ done:
return ret_value;
} /* end nh5rget_region_region_c() */
+/****if* H5Rf/h5rget_region_ptr_c
+ * NAME
+ * h5rget_region_ptr_c
+ * PURPOSE
+ * Call H5Rget_region to dereference dataspace region
+ * INPUTS
+ * dset_id - dataset identifier
+ * ref - reference to the dataset region
+ * OUTPUTS
+ * space_id - dereferenced dataset dataspace identifier
+ * RETURNS
+ * 0 on success, -1 on failure
+ * AUTHOR
+ * M. Scot Breitenfeld
+ * August 4, 2012
+ * HISTORY
+ *
+ * SOURCE
+*/
+int_f
+nh5rget_region_ptr_c(hid_t_f *dset_id, void *ref, hid_t_f *space_id)
+/******/
+{
+ hid_t c_space_id;
+ hdset_reg_ref_t ref_c;
+ int_f ret_value = 0;
+
+ /*
+ * Call H5Rget_region function.
+ */
+ if((c_space_id = H5Rget_region((hid_t)*dset_id, H5R_DATASET_REGION, ref)) < 0)
+ HGOTO_DONE(FAIL)
+
+ /* Copy the dataspace ID */
+ *space_id = (hid_t_f)c_space_id;
+
+done:
+ return ret_value;
+} /* end nh5rget_region_ptr_c() */
+
+
/****if* H5Rf/h5rget_object_type_obj_c
* NAME
* h5rget_object_type_obj_c
diff --git a/fortran/src/H5Rff.f90 b/fortran/src/H5Rff.f90
index 35a3ed6..89ffc10 100644
--- a/fortran/src/H5Rff.f90
+++ b/fortran/src/H5Rff.f90
@@ -53,12 +53,6 @@ MODULE H5R
! END TYPE
!
- INTERFACE h5rget_region_f
-
- MODULE PROCEDURE h5rget_region_region_f
-
- END INTERFACE
-
INTERFACE h5rget_object_type_f
MODULE PROCEDURE h5rget_object_type_obj_f
@@ -67,61 +61,6 @@ MODULE H5R
CONTAINS
-!****s* H5R/h5rget_region_region_f
-!
-! NAME
-! h5rget_region_region_f
-!
-! PURPOSE
-! Retrieves a dataspace with the specified region selected
-!
-! INPUTS
-! dset_id - identifier of the dataset containing
-! reference to the regions
-! ref - reference to open
-! OUTPUTS
-! space_id - dataspace identifier
-! hdferr - Returns 0 if successful and -1 if fails
-! AUTHOR
-! Elena Pourmal
-! August 12, 1999
-!
-! HISTORY
-! Explicit Fortran interfaces were added for
-! called C functions (it is needed for Windows
-! port). February 28, 2001
-!
-! NOTES
-! This is a module procedure for the h5rget_region_f subroutine.
-!
-! SOURCE
- SUBROUTINE h5rget_region_region_f(dset_id, ref, space_id, hdferr)
- IMPLICIT NONE
- INTEGER(HID_T), INTENT(IN) :: dset_id ! Dataset identifier
- TYPE(hdset_reg_ref_t_f), INTENT(IN) :: ref ! Dataset region reference
- INTEGER(HID_T), INTENT(OUT) :: space_id ! Space identifier
- INTEGER, INTENT(OUT) :: hdferr ! Error code
-!*****
- INTEGER :: ref_f(REF_REG_BUF_LEN) ! Local buffer to pass reference
-
- INTERFACE
- INTEGER FUNCTION h5rget_region_region_c(dset_id, ref_f, space_id)
- USE H5GLOBAL
- !DEC$IF DEFINED(HDF5F90_WINDOWS)
- !DEC$ATTRIBUTES C,reference,decorate,alias:'H5RGET_REGION_REGION_C':: h5rget_region_region_c
- !DEC$ENDIF
- INTEGER(HID_T), INTENT(IN) :: dset_id
- ! INTEGER, PARAMETER :: REF_REG_BUF_LEN = 3
- INTEGER :: ref_f(REF_REG_BUF_LEN)
- INTEGER(HID_T), INTENT(OUT) :: space_id
- END FUNCTION h5rget_region_region_c
- END INTERFACE
-
- ref_f = ref%ref
- hdferr = h5rget_region_region_c(dset_id, ref_f, space_id )
-
- END SUBROUTINE h5rget_region_region_f
-
!****s* H5R/h5rget_object_type_obj_f
!
! NAME
diff --git a/fortran/src/H5Rff_F03.f90 b/fortran/src/H5Rff_F03.f90
index 7f66745..88ec8cf 100644
--- a/fortran/src/H5Rff_F03.f90
+++ b/fortran/src/H5Rff_F03.f90
@@ -37,6 +37,7 @@
!*****
MODULE H5R_PROVISIONAL
USE H5GLOBAL
+ USE, INTRINSIC :: ISO_C_BINDING
! If you change the value of these parameters, do not forget to change corresponding
! values in the H5f90.h file.
@@ -51,6 +52,19 @@ MODULE H5R_PROVISIONAL
! INTEGER ref(REF_REG_BUF_LEN)
! END TYPE
!
+
+ TYPE :: hdset_reg_ref_t_f03
+ INTEGER(C_SIGNED_CHAR), DIMENSION(1:H5R_DSET_REG_REF_BUF_SIZE_F) :: ref
+ END TYPE hdset_reg_ref_t_f03
+
+ INTERFACE h5rget_region_f
+
+ MODULE PROCEDURE h5rget_region_region_f ! obsolete
+ MODULE PROCEDURE h5rget_region_ptr_f ! F2003
+
+ END INTERFACE
+
+
INTERFACE h5rcreate_f
MODULE PROCEDURE h5rcreate_object_f ! obsolete
@@ -123,8 +137,114 @@ MODULE H5R_PROVISIONAL
END FUNCTION h5rcreate_ptr_c
END INTERFACE
+ INTERFACE
+ INTEGER FUNCTION h5rget_region_ptr_c(dset_id, ref, space_id)
+ USE, INTRINSIC :: ISO_C_BINDING
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5RGET_REGION_PTR_C':: h5rget_region_ptr_c
+ !DEC$ENDIF
+ INTEGER(HID_T), INTENT(IN) :: dset_id
+ TYPE(C_PTR), VALUE :: ref
+ INTEGER(HID_T), INTENT(OUT) :: space_id
+ END FUNCTION h5rget_region_ptr_c
+ END INTERFACE
+
CONTAINS
+!****s* H5R/h5rget_region_region_f
+!
+! NAME
+! h5rget_region_region_f
+!
+! PURPOSE
+! Retrieves a dataspace with the specified region selected
+!
+! INPUTS
+! dset_id - identifier of the dataset containing
+! reference to the regions
+! ref - reference to open
+! OUTPUTS
+! space_id - dataspace identifier
+! hdferr - Returns 0 if successful and -1 if fails
+! AUTHOR
+! Elena Pourmal
+! August 12, 1999
+!
+! HISTORY
+! Explicit Fortran interfaces were added for
+! called C functions (it is needed for Windows
+! port). February 28, 2001
+!
+! NOTES
+! This is a module procedure for the h5rget_region_f subroutine.
+!
+! SOURCE
+ SUBROUTINE h5rget_region_region_f(dset_id, ref, space_id, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T), INTENT(IN) :: dset_id ! Dataset identifier
+ TYPE(hdset_reg_ref_t_f), INTENT(IN) :: ref ! Dataset region reference
+ INTEGER(HID_T), INTENT(OUT) :: space_id ! Space identifier
+ INTEGER, INTENT(OUT) :: hdferr ! Error code
+!*****
+ INTEGER :: ref_f(REF_REG_BUF_LEN) ! Local buffer to pass reference
+
+ INTERFACE
+ INTEGER FUNCTION h5rget_region_region_c(dset_id, ref_f, space_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5RGET_REGION_REGION_C':: h5rget_region_region_c
+ !DEC$ENDIF
+ INTEGER(HID_T), INTENT(IN) :: dset_id
+ ! INTEGER, PARAMETER :: REF_REG_BUF_LEN = 3
+ INTEGER :: ref_f(REF_REG_BUF_LEN)
+ INTEGER(HID_T), INTENT(OUT) :: space_id
+ END FUNCTION h5rget_region_region_c
+ END INTERFACE
+
+ ref_f = ref%ref
+ hdferr = h5rget_region_region_c(dset_id, ref_f, space_id )
+
+ END SUBROUTINE h5rget_region_region_f
+
+!****s* H5R/h5rget_region_ptr_f
+!
+! NAME
+! h5rget_region_ptr_f
+!
+! PURPOSE
+! Retrieves a dataspace with the specified region
+! selected using pointer
+!
+! INPUTS
+! dset_id - identifier of the dataset containing
+! reference to the regions
+! ref - reference to open
+! OUTPUTS
+! space_id - dataspace identifier
+! hdferr - Returns 0 if successful and -1 if fails
+! AUTHOR
+! M. Scot Breitenfeld
+! August 4, 2012
+!
+! NOTES
+! This is a module procedure for the h5rget_region_f subroutine.
+!
+! SOURCE
+ SUBROUTINE h5rget_region_ptr_f(dset_id, ref, space_id, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T), INTENT(IN) :: dset_id ! Dataset identifier
+ TYPE(C_PTR), INTENT(IN) :: ref ! Dataset region reference
+ INTEGER(HID_T), INTENT(OUT) :: space_id ! Space identifier
+ INTEGER, INTENT(OUT) :: hdferr ! Error code
+!*****
+ INTEGER :: ref_f(REF_REG_BUF_LEN) ! Local buffer to pass reference
+
+ hdferr = h5rget_region_ptr_c(dset_id, ref, space_id )
+
+ END SUBROUTINE h5rget_region_ptr_f
+
+
!****s* H5R (F03)/h5rcreate_object_f
!
! NAME
@@ -175,7 +295,7 @@ CONTAINS
END SUBROUTINE h5rcreate_object_f
-!****s* H5R (F03)/h5rcreate_region_f
+!****s* H5R (F90)/h5rcreate_region_f
!
! NAME
! h5rcreate_region_f
@@ -183,16 +303,15 @@ CONTAINS
! PURPOSE
! Creates reference to the dataset region
!
-! Inputs:
+! INPUTS
! loc_id - location identifier
! name - name of the dataset at the specified location
! space_id - dataspace identifier that describes selected region
-! Outputs:
+! OUTPUTS
! ref - reference to the dataset region
! hdferr: - error code
! Success: 0
! Failure: -1
-!
! AUTHOR
! Elena Pourmal
! August 12, 1999
@@ -205,46 +324,39 @@ CONTAINS
! NOTES
! This is a module procedure for the h5rcreate_f subroutine.
!
-! Signature:
+! SOURCE
SUBROUTINE h5rcreate_region_f(loc_id, name, space_id, ref, hdferr)
- USE, INTRINSIC :: ISO_C_BINDING
IMPLICIT NONE
INTEGER(HID_T), INTENT(IN) :: loc_id ! Location identifier
CHARACTER(LEN=*), INTENT(IN) :: name ! Name of the dataset at location specified
! by loc_id identifier
INTEGER(HID_T), INTENT(IN) :: space_id ! Dataset's dataspace identifier
- TYPE(hdset_reg_ref_t_f), INTENT(INOUT), TARGET :: ref ! Dataset region reference
+ TYPE(hdset_reg_ref_t_f), INTENT(OUT) :: ref ! Dataset region reference
INTEGER, INTENT(OUT) :: hdferr ! Error code
!*****
INTEGER :: namelen ! Name length
INTEGER :: ref_f(REF_REG_BUF_LEN) ! Local buffer to pass reference
- TYPE(C_PTR) :: f_ptr
-
-! !$ INTERFACE
-! !$ INTEGER FUNCTION h5rcreate_region_c(ref_f, loc_id, name, namelen, space_id)
-! !$ USE H5GLOBAL
-! !$ !DEC$IF DEFINED(HDF5F90_WINDOWS)
-! !$ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5RCREATE_REGION_C':: h5rcreate_region_c
-! !$ !DEC$ENDIF
-! !$ !DEC$ATTRIBUTES reference :: name
-! !$ ! INTEGER, PARAMETER :: REF_REG_BUF_LEN = 3
-! !$ INTEGER :: ref_f(REF_REG_BUF_LEN)
-! !$ INTEGER(HID_T), INTENT(IN) :: loc_id
-! !$ CHARACTER(LEN=*), INTENT(IN) :: name
-! !$ INTEGER :: namelen
-! !$ INTEGER(HID_T), INTENT(IN) :: space_id
-! !$ END FUNCTION h5rcreate_region_c
-! !$ END INTERFACE
-
- f_ptr = C_LOC(ref)
+ INTERFACE
+ INTEGER FUNCTION h5rcreate_region_c(ref_f, loc_id, name, namelen, space_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5RCREATE_REGION_C':: h5rcreate_region_c
+ !DEC$ENDIF
+ !DEC$ATTRIBUTES reference :: name
+ ! INTEGER, PARAMETER :: REF_REG_BUF_LEN = 3
+ INTEGER :: ref_f(REF_REG_BUF_LEN)
+ INTEGER(HID_T), INTENT(IN) :: loc_id
+ CHARACTER(LEN=*), INTENT(IN) :: name
+ INTEGER :: namelen
+ INTEGER(HID_T), INTENT(IN) :: space_id
+ END FUNCTION h5rcreate_region_c
+ END INTERFACE
namelen = LEN(name)
- hdferr = h5rcreate_ptr_c(f_ptr, loc_id, name, namelen, 1, space_id)
-
-! !$ ref_f = 0
-! !$ hdferr = h5rcreate_region_c(ref_f, loc_id, name, namelen, space_id )
-! !$ ref%ref = ref_f
+ ref_f = 0
+ hdferr = h5rcreate_region_c(ref_f, loc_id, name, namelen, space_id )
+ ref%ref = ref_f
END SUBROUTINE h5rcreate_region_f
diff --git a/fortran/src/H5Rff_F90.f90 b/fortran/src/H5Rff_F90.f90
index 3f02825..0190e57 100644
--- a/fortran/src/H5Rff_F90.f90
+++ b/fortran/src/H5Rff_F90.f90
@@ -72,8 +72,73 @@ MODULE H5R_PROVISIONAL
END INTERFACE
+ INTERFACE h5rget_region_f
+
+ MODULE PROCEDURE h5rget_region_region_f
+
+ END INTERFACE
+
+
CONTAINS
+
+!****s* H5R/h5rget_region_region_f
+!
+! NAME
+! h5rget_region_region_f
+!
+! PURPOSE
+! Retrieves a dataspace with the specified region selected
+!
+! INPUTS
+! dset_id - identifier of the dataset containing
+! reference to the regions
+! ref - reference to open
+! OUTPUTS
+! space_id - dataspace identifier
+! hdferr - Returns 0 if successful and -1 if fails
+! AUTHOR
+! Elena Pourmal
+! August 12, 1999
+!
+! HISTORY
+! Explicit Fortran interfaces were added for
+! called C functions (it is needed for Windows
+! port). February 28, 2001
+!
+! NOTES
+! This is a module procedure for the h5rget_region_f subroutine.
+!
+! SOURCE
+ SUBROUTINE h5rget_region_region_f(dset_id, ref, space_id, hdferr)
+ IMPLICIT NONE
+ INTEGER(HID_T), INTENT(IN) :: dset_id ! Dataset identifier
+ TYPE(hdset_reg_ref_t_f), INTENT(IN) :: ref ! Dataset region reference
+ INTEGER(HID_T), INTENT(OUT) :: space_id ! Space identifier
+ INTEGER, INTENT(OUT) :: hdferr ! Error code
+!*****
+ INTEGER :: ref_f(REF_REG_BUF_LEN) ! Local buffer to pass reference
+
+ INTERFACE
+ INTEGER FUNCTION h5rget_region_region_c(dset_id, ref_f, space_id)
+ USE H5GLOBAL
+ !DEC$IF DEFINED(HDF5F90_WINDOWS)
+ !DEC$ATTRIBUTES C,reference,decorate,alias:'H5RGET_REGION_REGION_C':: h5rget_region_region_c
+ !DEC$ENDIF
+ INTEGER(HID_T), INTENT(IN) :: dset_id
+ ! INTEGER, PARAMETER :: REF_REG_BUF_LEN = 3
+ INTEGER :: ref_f(REF_REG_BUF_LEN)
+ INTEGER(HID_T), INTENT(OUT) :: space_id
+ END FUNCTION h5rget_region_region_c
+ END INTERFACE
+
+ ref_f = ref%ref
+ hdferr = h5rget_region_region_c(dset_id, ref_f, space_id )
+
+ END SUBROUTINE h5rget_region_region_f
+
+
+
!****s* H5R (F90)/h5rcreate_object_f
!
! NAME
diff --git a/fortran/src/H5_f.c b/fortran/src/H5_f.c
index 7b55384..4c85df2 100644
--- a/fortran/src/H5_f.c
+++ b/fortran/src/H5_f.c
@@ -398,7 +398,11 @@ nh5init_flags_c( int_f *h5d_flags, int_f *h5e_flags, hid_t_f *h5e_hid_flags, int
h5d_flags[19] = (int_f)H5D_CHUNK_CACHE_NSLOTS_DEFAULT;
h5d_flags[20] = (int_f)H5D_CHUNK_CACHE_NBYTES_DEFAULT;
h5d_flags[21] = (int_f)H5D_CHUNK_CACHE_W0_DEFAULT;
-
+ h5d_flags[22] = (int_f)H5D_MPIO_NO_COLLECTIVE;
+ h5d_flags[23] = (int_f)H5D_MPIO_CHUNK_INDEPENDENT;
+ h5d_flags[24] = (int_f)H5D_MPIO_CHUNK_COLLECTIVE;
+ h5d_flags[25] = (int_f)H5D_MPIO_CHUNK_MIXED;
+ h5d_flags[26] = (int_f)H5D_MPIO_CONTIGUOUS_COLLECTIVE;
/*
* H5E flags
*/
diff --git a/fortran/src/H5f90global.f90 b/fortran/src/H5f90global.f90
index 6943270..1bef2f2 100644
--- a/fortran/src/H5f90global.f90
+++ b/fortran/src/H5f90global.f90
@@ -354,7 +354,7 @@ MODULE H5GLOBAL
! H5D flags declaration
!
- INTEGER, PARAMETER :: H5D_FLAGS_LEN = 22
+ INTEGER, PARAMETER :: H5D_FLAGS_LEN = 27
INTEGER H5D_flags(H5D_FLAGS_LEN)
!DEC$if defined(BUILD_HDF5_DLL)
!DEC$ATTRIBUTES DLLEXPORT :: /H5D_FLAGS/
@@ -387,10 +387,17 @@ MODULE H5GLOBAL
! shortened "_DEFAULT" to "_DFLT" to satisfy the limit of 31
! characters for variable names in Fortran.
+! shortened "_CONTIGUOUS" to "_CONTIG" to satisfy the limit of 31
+! characters for variable names in Fortran.
INTEGER :: H5D_CHUNK_CACHE_NSLOTS_DFLT_F
INTEGER :: H5D_CHUNK_CACHE_NBYTES_DFLT_F
INTEGER :: H5D_CHUNK_CACHE_W0_DFLT_F
+ INTEGER :: H5D_MPIO_NO_COLLECTIVE_F
+ INTEGER :: H5D_MPIO_CHUNK_INDEPENDENT_F
+ INTEGER :: H5D_MPIO_CHUNK_COLLECTIVE_F
+ INTEGER :: H5D_MPIO_CHUNK_MIXED_F
+ INTEGER :: H5D_MPIO_CONTIG_COLLECTIVE_F
EQUIVALENCE(H5D_flags(1), H5D_COMPACT_F)
EQUIVALENCE(H5D_flags(2), H5D_CONTIGUOUS_F)
@@ -419,6 +426,11 @@ MODULE H5GLOBAL
EQUIVALENCE(H5D_flags(20), H5D_CHUNK_CACHE_NSLOTS_DFLT_F)
EQUIVALENCE(H5D_flags(21), H5D_CHUNK_CACHE_NBYTES_DFLT_F)
EQUIVALENCE(H5D_flags(22), H5D_CHUNK_CACHE_W0_DFLT_F)
+ EQUIVALENCE(H5D_flags(23), H5D_MPIO_NO_COLLECTIVE_F)
+ EQUIVALENCE(H5D_flags(24), H5D_MPIO_CHUNK_INDEPENDENT_F)
+ EQUIVALENCE(H5D_flags(25), H5D_MPIO_CHUNK_COLLECTIVE_F)
+ EQUIVALENCE(H5D_flags(26), H5D_MPIO_CHUNK_MIXED_F)
+ EQUIVALENCE(H5D_flags(27), H5D_MPIO_CONTIG_COLLECTIVE_F)
!
! H5E flags declaration
diff --git a/fortran/src/H5f90kit.c b/fortran/src/H5f90kit.c
index 059685e..0bc721f 100644
--- a/fortran/src/H5f90kit.c
+++ b/fortran/src/H5f90kit.c
@@ -53,7 +53,7 @@ HD5f2cstring(_fcd fdesc, size_t len)
/* Search for the end of the string */
str = _fcdtocp(fdesc);
- for(i = (int)len - 1; i >= 0 && !HDisgraph((int)str[i]); i--)
+ for(i = (int)len - 1; i >= 0 && HDisspace((int)str[i]) && str[i] == ' '; i--)
/*EMPTY*/;
/* Allocate C string */
diff --git a/fortran/src/H5f90proto.h b/fortran/src/H5f90proto.h
index d0a8361..9340c2a 100644
--- a/fortran/src/H5f90proto.h
+++ b/fortran/src/H5f90proto.h
@@ -61,7 +61,7 @@ typedef struct H5O_hdr_info_t_f {
typedef struct H5O_info_t_f {
unsigned long fileno; /* File number that object is located in */
haddr_t_f addr; /* Object address in file */
- int_f type; /* Basic object type (group, dataset, etc.) */
+ int type; /* Basic object type (group, dataset, etc.) */
int_f rc; /* Reference count of object */
int_f atime[8]; /* Access time */
int_f mtime[8]; /* Modification time */
@@ -806,11 +806,21 @@ H5_FCDLL int_f nh5tconvert_c(hid_t_f *src_id, hid_t_f *dst_id, size_t_f *nelmts,
#define nh5olink_c H5_FC_FUNC_(h5olink_c, H5OLINK_C)
#define nh5oopen_c H5_FC_FUNC_(h5oopen_c, H5OOPEN_C)
#define nh5oclose_c H5_FC_FUNC_(h5oclose_c, H5OCLOSE_C)
-#define nh5ovisit_c H5_FC_FUNC_(h5ovisit_c,H5OVISIT_C)
+#define nh5ovisit_c H5_FC_FUNC_(h5ovisit_c, H5OVISIT_C)
+#define nh5ovisit_by_name_c H5_FC_FUNC_(h5ovisit_by_name_c, H5OVISIT_BY_NAME_C)
+#define nh5oget_info_c H5_FC_FUNC_(h5oget_info_c, H5OGET_INFO_C)
+#define nh5oget_info_by_idx_c H5_FC_FUNC_(h5oget_info_by_idx_c ,H5OGET_INFO_BY_IDX_C)
#define nh5oget_info_by_name_c H5_FC_FUNC_(h5oget_info_by_name_c ,H5OGET_INFO_BY_NAME_C)
#define nh5oopen_by_addr_c H5_FC_FUNC_(h5oopen_by_addr_c, H5OOPEN_BY_ADDR_C)
#define nh5ocopy_c H5_FC_FUNC_(h5ocopy_c, H5OCOPY_C)
-
+#define nh5odecr_refcount_c H5_FC_FUNC_(h5odecr_refcount_c, H5ODECR_REFCOUNT_C)
+#define nh5oincr_refcount_c H5_FC_FUNC_(h5oincr_refcount_c, H5OINCR_REFCOUNT_C)
+#define nh5oexists_by_name_c H5_FC_FUNC_(h5oexists_by_name_c, H5OEXISTS_BY_NAME_C)
+#define nh5oset_comment_c H5_FC_FUNC_(h5oset_comment_c, H5OSET_COMMENT_C)
+#define nh5oset_comment_by_name_c H5_FC_FUNC_(h5oset_comment_by_name_c, H5OSET_COMMENT_BY_NAME_C)
+#define nh5oopen_by_idx_c H5_FC_FUNC_(h5oopen_by_idx_c, H5OOPEN_BY_IDX_C)
+#define nh5oget_comment_c H5_FC_FUNC_(h5oget_comment_c, H5OGET_COMMENT_C)
+#define nh5oget_comment_by_name_c H5_FC_FUNC_(h5oget_comment_by_name_c, H5OGET_COMMENT_BY_NAME_C)
H5_FCDLL int_f nh5oopen_c (hid_t_f *loc_id, _fcd name, size_t_f *namelen, hid_t_f *lapl_id, hid_t_f *obj_id);
H5_FCDLL int_f nh5oclose_c (hid_t_f *object_id );
@@ -818,11 +828,26 @@ H5_FCDLL int_f nh5oopen_by_addr_c (hid_t_f *loc_id, haddr_t_f *addr, hid_t_f *ob
H5_FCDLL int_f nh5olink_c (hid_t_f *object_id, hid_t_f *new_loc_id, _fcd name, size_t_f *namelen,
hid_t_f *lcpl_id, hid_t_f *lapl_id);
H5_FCDLL int_f nh5ovisit_c (hid_t_f *group_id, int_f *index_type, int_f *order, H5O_iterate_t op, void *op_data);
-H5_FCDLL int_f nh5oget_info_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *namelen,hid_t_f *lapl_id,
+H5_FCDLL int_f nh5ovisit_by_name_c(hid_t_f *loc_id, _fcd object_name, size_t_f *namelen, int_f *index_type, int_f *order,
+ H5O_iterate_t op, void *op_data, hid_t_f *lapl_id );
+H5_FCDLL int_f nh5oget_info_c (hid_t_f *object_id, H5O_info_t_f *object_info);
+H5_FCDLL int_f nh5oget_info_by_idx_c (hid_t_f *loc_id, _fcd group_name, size_t_f *namelen,
+ int_f *index_field, int_f *order, hsize_t_f *n, hid_t_f *lapl_id, H5O_info_t_f *object_info);
+H5_FCDLL int_f nh5oget_info_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *namelen, hid_t_f *lapl_id,
H5O_info_t_f *object_info);
H5_FCDLL int_f nh5ocopy_c (hid_t_f *src_loc_id, _fcd src_name, size_t_f *src_name_len,
hid_t_f *dst_loc_id, _fcd dst_name, size_t_f *dst_name_len,
hid_t_f *ocpypl_id, hid_t_f *lcpl_id );
+H5_FCDLL int_f nh5odecr_refcount_c (hid_t_f *object_id);
+H5_FCDLL int_f nh5oincr_refcount_c (hid_t_f *object_id);
+H5_FCDLL int_f nh5oexists_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *namelen, hid_t_f *lapl_id);
+H5_FCDLL int_f nh5oset_comment_c (hid_t_f *object_id, _fcd comment, size_t_f *commentlen);
+H5_FCDLL int_f nh5oset_comment_by_name_c (hid_t_f *object_id, _fcd name, size_t_f *namelen, _fcd comment, size_t_f *commentlen, hid_t_f *lapl_id);
+H5_FCDLL int_f nh5oopen_by_idx_c (hid_t_f *loc_id, _fcd group_name, size_t_f *group_namelen,
+ int_f *index_type, int_f *order, hsize_t_f *n, hid_t_f *obj_id, hid_t_f *lapl_id);
+H5_FCDLL int_f nh5oget_comment_c (hid_t_f *object_id, _fcd comment, size_t_f *commentsize, hssize_t_f *bufsize);
+H5_FCDLL int_f nh5oget_comment_by_name_c (hid_t_f *loc_id, _fcd name, size_t_f *name_size,
+ _fcd comment, size_t_f *commentsize, size_t_f *bufsize, hid_t_f *lapl_id);
/*
* Functions from H5Pf.c
*/
@@ -982,6 +1007,7 @@ H5_FCDLL int_f nh5ocopy_c (hid_t_f *src_loc_id, _fcd src_name, size_t_f *src_nam
#define nh5pget_nlinks_c H5_FC_FUNC_(h5pget_nlinks_c, H5PGET_NLINKS_C)
#define nh5pset_chunk_cache_c H5_FC_FUNC_(h5pset_chunk_cache_c, H5PSET_CHUNK_CACHE_C)
#define nh5pget_chunk_cache_c H5_FC_FUNC_(h5pget_chunk_cache_c, H5PGET_CHUNK_CACHE_C)
+#define nh5pget_mpio_actual_io_mode_c H5_FC_FUNC_(h5pget_mpio_actual_io_mode_c, H5PGET_MPIO_ACTUAL_IO_MODE_C)
H5_FCDLL int_f nh5pcreate_c ( hid_t_f *cls, hid_t_f *prp_id );
H5_FCDLL int_f nh5pclose_c ( hid_t_f *prp_id );
@@ -1142,6 +1168,7 @@ H5_FCDLL int_f nh5pset_nlinks_c(hid_t_f *lapl_id, size_t_f *nlinks);
H5_FCDLL int_f nh5pget_nlinks_c(hid_t_f *lapl_id, size_t_f *nlinks);
H5_FCDLL int_f nh5pset_chunk_cache_c(hid_t_f *dapl_id, size_t_f *rdcc_nslots, size_t_f *rdcc_nbytes, real_f *rdcc_w0);
H5_FCDLL int_f nh5pget_chunk_cache_c(hid_t_f *dapl_id, size_t_f *rdcc_nslots, size_t_f *rdcc_nbytes, real_f *rdcc_w0);
+H5_FCDLL int_f nh5pget_mpio_actual_io_mode_c(hid_t_f *dxpl_id, int_f *actual_io_mode);
/*
* Functions frome H5Rf.c
*/
@@ -1152,6 +1179,7 @@ H5_FCDLL int_f nh5pget_chunk_cache_c(hid_t_f *dapl_id, size_t_f *rdcc_nslots, si
#define nh5rdereference_object_c H5_FC_FUNC_(h5rdereference_object_c, H5RDEREFERENCE_OBJECT_C)
#define nh5rdereference_ptr_c H5_FC_FUNC_(h5rdereference_ptr_c, H5RDEREFERENCE_PTR_C)
#define nh5rget_region_region_c H5_FC_FUNC_(h5rget_region_region_c, H5RGET_REGION_REGION_C)
+#define nh5rget_region_ptr_c H5_FC_FUNC_(h5rget_region_ptr_c, H5RGET_REGION_PTR_C)
#define nh5rget_object_type_obj_c H5_FC_FUNC_(h5rget_object_type_obj_c, H5RGET_OBJECT_TYPE_OBJ_C)
#define nh5rget_name_object_c H5_FC_FUNC_(h5rget_name_object_c, H5RGET_NAME_OBJECT_C)
#define nh5rget_name_region_c H5_FC_FUNC_(h5rget_name_region_c, H5RGET_NAME_REGION_C)
@@ -1166,6 +1194,7 @@ H5_FCDLL int_f nh5rdereference_region_c (hid_t_f *dset_id, int_f *ref, hid_t_f *
H5_FCDLL int_f nh5rdereference_object_c (hid_t_f *dset_id, haddr_t_f *ref, hid_t_f *obj_id);
H5_FCDLL int_f nh5rdereference_ptr_c (hid_t_f *obj_id, int_f *ref_type, void *ref, hid_t_f *ref_obj_id);
H5_FCDLL int_f nh5rget_region_region_c (hid_t_f *dset_id, int_f *ref, hid_t_f *space_id);
+H5_FCDLL int_f nh5rget_region_ptr_c(hid_t_f *dset_id, void *ref, hid_t_f *space_id);
H5_FCDLL int_f nh5rget_object_type_obj_c (hid_t_f *dset_id, haddr_t_f *ref, int_f *obj_type);
H5_FCDLL int_f nh5rget_name_object_c (hid_t_f *loc_id, haddr_t_f *ref, _fcd name, size_t_f *name_len, size_t_f *size_default);
H5_FCDLL int_f nh5rget_name_region_c (hid_t_f *loc_id, int_f *ref, _fcd name, size_t_f *name_len, size_t_f *size_default);
diff --git a/fortran/src/H5match_types.c b/fortran/src/H5match_types.c
index 61504ec..4c83d21 100644
--- a/fortran/src/H5match_types.c
+++ b/fortran/src/H5match_types.c
@@ -533,7 +533,21 @@ int main(void)
/* double_f */
#if defined H5_FORTRAN_HAS_DOUBLE_NATIVE_16_KIND
- writeFloatToFiles("Fortran_DOUBLE", "double_f", 16, H5_FORTRAN_HAS_DOUBLE_NATIVE_16_KIND);
+ if(H5_C_HAS_REAL_NATIVE_16 != 0) { /* Check if C has 16 byte floats */
+ writeFloatToFiles("Fortran_DOUBLE", "double_f", 16, H5_FORTRAN_HAS_DOUBLE_NATIVE_16_KIND);
+ } else {
+#if defined H5_FORTRAN_HAS_REAL_NATIVE_8_KIND /* Fall back to 8 byte floats */
+ writeFloatToFiles("Fortran_DOUBLE", "double_f", 8, H5_FORTRAN_HAS_REAL_NATIVE_8_KIND);
+ }
+#elif defined H5_FORTRAN_HAS_REAL_NATIVE_4_KIND /* Fall back to 4 byte floats */
+ writeFloatToFiles("Fortran_DOUBLE", "double_f", 4, H5_FORTRAN_HAS_REAL_NATIVE_4_KIND);
+ }
+#else
+ /* Error: couldn't find a size for double_f when fortran has 16 byte reals */
+ return -1;
+ }
+#endif
+
#elif defined H5_FORTRAN_HAS_DOUBLE_NATIVE_8_KIND
writeFloatToFiles("Fortran_DOUBLE", "double_f", 8, H5_FORTRAN_HAS_DOUBLE_NATIVE_8_KIND);
#else
@@ -541,6 +555,14 @@ int main(void)
return -1;
#endif
+ /* Need the buffer size for the fortran derive type 'hdset_reg_ref_t_f03'
+ * in order to be interoperable with C's structure, the C buffer size
+ * H5R_DSET_REG_REF_BUF_SIZE is (sizeof(haddr_t)+4)
+ */
+
+ fprintf(fort_header, " INTEGER, PARAMETER :: H5R_DSET_REG_REF_BUF_SIZE_F = %u\n", H5_SIZEOF_HADDR_T + 4 );
+
+
/* Close files */
endCfile();
endFfile();
diff --git a/fortran/src/Makefile.in b/fortran/src/Makefile.in
index a8c0546..572f081 100644
--- a/fortran/src/Makefile.in
+++ b/fortran/src/Makefile.in
@@ -517,7 +517,7 @@ CHECK_CLEANFILES = *.chkexe *.chklog *.clog
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
# Include src directory in both Fortran and C flags (C compiler is used
diff --git a/fortran/src/hdf5_fortrandll.def b/fortran/src/hdf5_fortrandll.def
index 29e83f5..d317476 100644
--- a/fortran/src/hdf5_fortrandll.def
+++ b/fortran/src/hdf5_fortrandll.def
@@ -284,10 +284,25 @@ H5L_mp_H5LIS_REGISTERED_F
H5L_mp_H5LMOVE_F
H5L_mp_H5LGET_NAME_BY_IDX_F
; H5O
+H5O_mp_H5OCLOSE_F
H5O_mp_H5OCOPY_F
+H5O_mp_H5ODECR_REFCOUNT_F
+H5O_mp_H5OEXISTS_BY_NAME_F
+H5O_mp_H5OGET_COMMENT_F
+H5O_mp_H5OGET_COMMENT_BY_NAME_F
+H5O_mp_H5OINCR_REFCOUNT_F
H5O_mp_H5OLINK_F
-H5O_mp_H5OOPEN_F
H5O_mp_H5OOPEN_BY_ADDR_F
+H5O_mp_H5OOPEN_BY_IDX_F
+H5O_mp_H5OOPEN_F
+H5O_mp_H5OSET_COMMENT_F
+H5O_mp_H5OSET_COMMENT_BY_NAME_F
+; These should only get compiled with option --enable-fortran2003
+;H5O_PROVISIONAL_mp_H5OGET_INFO_BY_IDX_F
+;H5O_PROVISIONAL_mp_H5OGET_INFO_BY_NAME_F
+;H5O_PROVISIONAL_mp_H5OGET_INFO_F
+;H5O_PROVISIONAL_mp_H5OVISIT_BY_NAME_F
+;H5O_PROVISIONAL_mp_H5OVISIT_F
; H5P
H5P_mp_H5PCREATE_F
H5P_mp_H5PSET_PRESERVE_F
@@ -429,7 +444,8 @@ H5R_PROVISIONAL_mp_H5RCREATE_OBJECT_F
H5R_PROVISIONAL_mp_H5RCREATE_REGION_F
H5R_PROVISIONAL_mp_H5RDEREFERENCE_OBJECT_F
H5R_PROVISIONAL_mp_H5RDEREFERENCE_REGION_F
-H5R_mp_H5RGET_REGION_REGION_F
+H5R_PROVISIONAL_mp_H5RGET_REGION_REGION_F
+
H5R_mp_H5RGET_OBJECT_TYPE_OBJ_F
H5R_PROVISIONAL_mp_H5RGET_NAME_OBJECT_F
H5R_PROVISIONAL_mp_H5RGET_NAME_REGION_F
diff --git a/fortran/src/phdf5_fortrandll.def b/fortran/src/phdf5_fortrandll.def
index 7a196cd..df61860 100644
--- a/fortran/src/phdf5_fortrandll.def
+++ b/fortran/src/phdf5_fortrandll.def
@@ -284,10 +284,25 @@ H5L_mp_H5LIS_REGISTERED_F
H5L_mp_H5LMOVE_F
H5L_mp_H5LGET_NAME_BY_IDX_F
; H5O
+H5O_mp_H5OCLOSE_F
H5O_mp_H5OCOPY_F
+H5O_mp_H5ODECR_REFCOUNT_F
+H5O_mp_H5OEXISTS_BY_NAME_F
+H5O_mp_H5OGET_COMMENT_F
+H5O_mp_H5OGET_COMMENT_BY_NAME_F
+H5O_mp_H5OINCR_REFCOUNT_F
H5O_mp_H5OLINK_F
-H5O_mp_H5OOPEN_F
H5O_mp_H5OOPEN_BY_ADDR_F
+H5O_mp_H5OOPEN_BY_IDX_F
+H5O_mp_H5OOPEN_F
+H5O_mp_H5OSET_COMMENT_F
+H5O_mp_H5OSET_COMMENT_BY_NAME_F
+; These should only get compiled with option --enable-fortran2003
+;H5O_PROVISIONAL_mp_H5OGET_INFO_BY_IDX_F
+;H5O_PROVISIONAL_mp_H5OGET_INFO_BY_NAME_F
+;H5O_PROVISIONAL_mp_H5OGET_INFO_F
+;H5O_PROVISIONAL_mp_H5OVISIT_BY_NAME_F
+;H5O_PROVISIONAL_mp_H5OVISIT_F
; H5P
H5P_mp_H5PCREATE_F
H5P_mp_H5PSET_PRESERVE_F
@@ -429,7 +444,8 @@ H5R_PROVISIONAL_mp_H5RCREATE_OBJECT_F
H5R_PROVISIONAL_mp_H5RCREATE_REGION_F
H5R_PROVISIONAL_mp_H5RDEREFERENCE_OBJECT_F
H5R_PROVISIONAL_mp_H5RDEREFERENCE_REGION_F
-H5R_mp_H5RGET_REGION_REGION_F
+H5R_PROVISIONAL_mp_H5RGET_REGION_REGION_F
+
H5R_mp_H5RGET_OBJECT_TYPE_OBJ_F
H5R_PROVISIONAL_mp_H5RGET_NAME_OBJECT_F
H5R_PROVISIONAL_mp_H5RGET_NAME_REGION_F
@@ -528,4 +544,9 @@ H5Z_mp_H5ZFILTER_AVAIL_F
H5Z_mp_H5ZGET_FILTER_INFO_F
; Parallel
H5FDMPIO_mp_H5PSET_FAPL_MPIO_F
+H5FDMPIO_mp_H5PGET_FAPL_MPIO_F
H5FDMPIO_mp_H5PSET_DXPL_MPIO_F
+H5FDMPIO_mp_H5PGET_DXPL_MPIO_F
+H5FDMPIO_mp_H5PSET_FAPL_MPIPOSIX_F
+H5FDMPIO_mp_H5PGET_FAPL_MPIPOSIX_F
+H5FDMPIO_mp_H5PGET_MPIO_ACTUAL_IO_MODE_F \ No newline at end of file
diff --git a/fortran/test/CMakeLists.txt b/fortran/test/CMakeLists.txt
index d19baea..3a3d084 100644
--- a/fortran/test/CMakeLists.txt
+++ b/fortran/test/CMakeLists.txt
@@ -106,6 +106,7 @@ IF (FORTRAN_HAVE_ISO_C_BINDING AND HDF5_ENABLE_F2003)
tH5F.f90
tH5E_F03.f90
tH5L_F03.f90
+ tH5O_F03.f90
tH5P_F03.f90
tH5T_F03.f90
)
diff --git a/fortran/test/Makefile.am b/fortran/test/Makefile.am
index b261785..42dd127 100644
--- a/fortran/test/Makefile.am
+++ b/fortran/test/Makefile.am
@@ -68,7 +68,7 @@ fortranlib_test_1_8_SOURCES = fortranlib_test_1_8.f90 \
if FORTRAN_2003_CONDITIONAL_F
fortranlib_test_F03_SOURCES = fortranlib_test_F03.f90 \
- tH5F.f90 tH5E_F03.f90 tH5L_F03.f90 tH5P_F03.f90 tH5T_F03.f90
+ tH5F.f90 tH5E_F03.f90 tH5L_F03.f90 tH5O_F03.f90 tH5P_F03.f90 tH5T_F03.f90
endif
diff --git a/fortran/test/Makefile.in b/fortran/test/Makefile.in
index e42b080..b9f05e3 100644
--- a/fortran/test/Makefile.in
+++ b/fortran/test/Makefile.in
@@ -136,11 +136,13 @@ fortranlib_test_1_8_LDADD = $(LDADD)
fortranlib_test_1_8_DEPENDENCIES = libh5test_fortran.la $(LIBH5TEST) \
$(LIBH5F) $(LIBHDF5)
am__fortranlib_test_F03_SOURCES_DIST = fortranlib_test_F03.f90 \
- tH5F.f90 tH5E_F03.f90 tH5L_F03.f90 tH5P_F03.f90 tH5T_F03.f90
+ tH5F.f90 tH5E_F03.f90 tH5L_F03.f90 tH5O_F03.f90 tH5P_F03.f90 \
+ tH5T_F03.f90
@FORTRAN_2003_CONDITIONAL_F_TRUE@am_fortranlib_test_F03_OBJECTS = fortranlib_test_F03.$(OBJEXT) \
@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5F.$(OBJEXT) \
@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5E_F03.$(OBJEXT) \
@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5L_F03.$(OBJEXT) \
+@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5O_F03.$(OBJEXT) \
@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5P_F03.$(OBJEXT) \
@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5T_F03.$(OBJEXT)
fortranlib_test_F03_OBJECTS = $(am_fortranlib_test_F03_OBJECTS)
@@ -525,7 +527,7 @@ fortranlib_test_1_8_SOURCES = fortranlib_test_1_8.f90 \
tH5F.f90 tH5O.f90 tH5A_1_8.f90 tH5G_1_8.f90
@FORTRAN_2003_CONDITIONAL_F_TRUE@fortranlib_test_F03_SOURCES = fortranlib_test_F03.f90 \
-@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5F.f90 tH5E_F03.f90 tH5L_F03.f90 tH5P_F03.f90 tH5T_F03.f90
+@FORTRAN_2003_CONDITIONAL_F_TRUE@ tH5F.f90 tH5E_F03.f90 tH5L_F03.f90 tH5O_F03.f90 tH5P_F03.f90 tH5T_F03.f90
fflush1_SOURCES = fflush1.f90
fflush2_SOURCES = fflush2.f90
diff --git a/fortran/test/fortranlib_test_1_8.f90 b/fortran/test/fortranlib_test_1_8.f90
index 321cb99..d3ced72 100644
--- a/fortran/test/fortranlib_test_1_8.f90
+++ b/fortran/test/fortranlib_test_1_8.f90
@@ -94,12 +94,6 @@ PROGRAM fortranlibtest
total_error)
ret_total_error = 0
- CALL test_nbit(cleanup, ret_total_error )
- CALL write_test_status(ret_total_error, &
- ' Testing nbit filter', &
- total_error)
-
- ret_total_error = 0
CALL test_scaleoffset(cleanup, ret_total_error )
CALL write_test_status(ret_total_error, &
' Testing scaleoffset filter', &
@@ -401,141 +395,6 @@ SUBROUTINE test_h5s_encode(cleanup, total_error)
END SUBROUTINE test_h5s_encode
!-------------------------------------------------------------------------
-! Function: test_nbit
-!
-! Purpose: Tests (real) datatype for nbit filter
-!
-! Return: Success: 0
-! Failure: >0
-!
-! Programmer: M. Scot Breitenfeld
-! Decemeber 7, 2010
-!
-! Modifications:
-!
-!-------------------------------------------------------------------------
-!
-
-SUBROUTINE test_nbit(cleanup, total_error )
-
- USE HDF5
-
- IMPLICIT NONE
- INTEGER, PARAMETER :: wp = KIND(1.0)
- LOGICAL, INTENT(IN) :: cleanup
- INTEGER, INTENT(INOUT) :: total_error
- INTEGER(hid_t) :: file
-
- INTEGER(hid_t) :: dataset, datatype, space, dc
- INTEGER(hsize_t), DIMENSION(1:2) :: dims = (/2,5/)
- INTEGER(hsize_t), DIMENSION(1:2) :: chunk_dim = (/2,5/)
- ! orig_data[] are initialized to be within the range that can be represented by
- ! dataset datatype (no precision loss during datatype conversion)
- !
- REAL(kind=wp), DIMENSION(1:2,1:5) :: orig_data = RESHAPE( (/188384.00, 19.103516, -1.0831790e9, -84.242188, &
- 5.2045898, -49140.000, 2350.2500, -3.2110596e-1, 6.4998865e-5, -0.0000000/) , (/2,5/) )
- REAL(kind=wp), DIMENSION(1:2,1:5) :: new_data
- INTEGER(size_t) :: PRECISION, offset
- INTEGER :: error
- LOGICAL :: status
- INTEGER*8 :: ii
- INTEGER(size_t) :: i, j
-
-
- ! check to see if filter is available
- CALL H5Zfilter_avail_f(H5Z_FILTER_NBIT_F, status, error)
- IF(.NOT.status)THEN ! We don't have H5Z_FILTER_NBIT_F filter
- total_error = -1 ! so return
- RETURN
- ENDIF
-
- CALL H5Fcreate_f("nbit.h5", H5F_ACC_TRUNC_F, file, error)
- CALL check("H5Fcreate_f", error, total_error)
-
- ! Define dataset datatype (integer), and set precision, offset
- CALL H5Tcopy_f(H5T_IEEE_F32BE, datatype, error)
- CALL CHECK(" H5Tcopy_f", error, total_error)
- CALL H5Tset_fields_f(datatype, 26_size_t, 20_size_t, 6_size_t, 7_size_t, 13_size_t, error)
- CALL CHECK(" H5Tset_fields_f", error, total_error)
- offset = 7
- CALL H5Tset_offset_f(datatype, offset, error)
- CALL CHECK(" H5Tset_offset_f", error, total_error)
- PRECISION = 20
- CALL H5Tset_precision_f(datatype,PRECISION, error)
- CALL CHECK(" H5Tset_precision_f", error, total_error)
-
- CALL H5Tset_size_f(datatype, 4_size_t, error)
- CALL CHECK(" H5Tset_size_f", error, total_error)
-
- CALL H5Tset_ebias_f(datatype, 31_size_t, error)
- CALL CHECK(" H5Tset_ebias_f", error, total_error)
-
- ! Create the data space
- CALL H5Screate_simple_f(2, dims, space, error)
- CALL CHECK(" H5Screate_simple_f", error, total_error)
-
- ! USE nbit filter
- CALL H5Pcreate_f(H5P_DATASET_CREATE_F, dc, error)
- CALL CHECK(" H5Pcreate_f", error, total_error)
-
- CALL H5Pset_chunk_f(dc, 2, chunk_dim, error)
- CALL CHECK(" H5Pset_chunk_f", error, total_error)
- CALL H5Pset_nbit_f(dc, error)
- CALL CHECK(" H5Pset_nbit_f", error, total_error)
-
- ! Create the dataset
- CALL H5Dcreate_f(file, "nbit_real", datatype, &
- space, dataset, error, dc)
- CALL CHECK(" H5Dcreate_f", error, total_error)
-
- !----------------------------------------------------------------------
- ! STEP 1: Test nbit by setting up a chunked dataset and writing
- ! to it.
- !----------------------------------------------------------------------
- !
- CALL H5Dwrite_f(dataset, H5T_NATIVE_REAL, orig_data, dims, error)
- CALL CHECK(" H5Dwrite_f", error, total_error)
-
- !----------------------------------------------------------------------
- ! STEP 2: Try to read the data we just wrote.
- !----------------------------------------------------------------------
- !
- CALL H5Dread_f(dataset, H5T_NATIVE_REAL, new_data, dims, error)
- CALL CHECK(" H5Dread_f", error, total_error)
-
- ! Check that the values read are the same as the values written
- ! Assume size of long long = size of double
- !
- i_loop: DO i = 1, dims(1)
- j_loop: DO j = 1, dims(2)
- IF(.NOT.(orig_data(i,j).EQ.orig_data(i,j))) CYCLE ! skip IF value is NaN
- IF(new_data(i,j) .NE. orig_data(i,j))THEN
- total_error = total_error + 1
- WRITE(*,'(" Read different values than written.")')
- WRITE(*,'(" At index ", 2(1X,I0))') i, j
- EXIT i_loop
- END IF
- ENDDO j_loop
- ENDDO i_loop
-
- !----------------------------------------------------------------------
- ! Cleanup
- !----------------------------------------------------------------------
- !
- CALL H5Tclose_f(datatype, error)
- CALL CHECK(" H5Tclose_f", error, total_error)
- CALL H5Pclose_f(dc, error)
- CALL CHECK(" H5Pclose_f", error, total_error)
- CALL H5Sclose_f(space, error)
- CALL CHECK(" H5Sclose_f", error, total_error)
- CALL H5Dclose_f(dataset, error)
- CALL CHECK(" H5Dclose_f", error, total_error)
- CALL H5Fclose_f(file, error)
- CALL CHECK(" H5Fclose_f", error, total_error)
-
-END SUBROUTINE test_nbit
-
-!-------------------------------------------------------------------------
! Function: test_scaleoffset
!
! Purpose: Tests the integer datatype for scaleoffset filter
diff --git a/fortran/test/fortranlib_test_F03.f90 b/fortran/test/fortranlib_test_F03.f90
index 1b69f7f..a03241c 100644
--- a/fortran/test/fortranlib_test_F03.f90
+++ b/fortran/test/fortranlib_test_F03.f90
@@ -64,10 +64,7 @@ PROGRAM fortranlibtest_F03
! CALL write_test_status(ret_total_error, ' Test error API based on data I/O', total_error)
WRITE(*,*)
-! write(*,*)
-! write(*,*) '========================================='
-! write(*,*) 'Testing DATATYPE interface '
-! write(*,*) '========================================='
+
ret_total_error = 0
CALL test_array_compound_atomic(ret_total_error)
CALL write_test_status(ret_total_error, ' Testing 1-D Array of Compound Datatypes Functionality', total_error)
@@ -117,16 +114,16 @@ PROGRAM fortranlibtest_F03
CALL write_test_status(ret_total_error, ' Testing writing/reading string datatypes, using C_LOC', total_error)
ret_total_error = 0
+ CALL vl_test_special_char(ret_total_error)
+ CALL write_test_status(ret_total_error, ' Testing string datatypes containing control characters', total_error)
+
+ ret_total_error = 0
CALL test_create(ret_total_error)
- CALL write_test_status(ret_total_error, &
- ' Testing filling functions', &
- total_error)
+ CALL write_test_status(ret_total_error, ' Testing filling functions', total_error)
ret_total_error = 0
CALL test_h5kind_to_type(total_error)
- CALL write_test_status(ret_total_error, &
- ' Test function h5kind_to_type', &
- total_error)
+ CALL write_test_status(ret_total_error, ' Test function h5kind_to_type', total_error)
ret_total_error = 0
CALL test_array_bkg(ret_total_error)
@@ -138,14 +135,30 @@ PROGRAM fortranlibtest_F03
ret_total_error = 0
CALL test_iter_group(ret_total_error)
- CALL write_test_status(ret_total_error, ' Testing Group Iteration Functionality', total_error)
+ CALL write_test_status(ret_total_error, ' Testing group iteration functionality', total_error)
+
+ ret_total_error = 0
+ CALL test_nbit(ret_total_error)
+ CALL write_test_status(ret_total_error, ' Testing nbit filter', total_error)
+
! write(*,*)
! write(*,*) '========================================='
! write(*,*) 'Testing GROUP interface '
! write(*,*) '========================================='
-
+ ret_total_error = 0
+ CALL test_h5o_refcount(ret_total_error)
+ CALL write_test_status(ret_total_error, ' Testing object functions ', total_error)
+
+ ret_total_error = 0
+ CALL obj_visit(ret_total_error)
+ CALL write_test_status(ret_total_error, ' Testing object visiting functions ', total_error)
+
+ ret_total_error = 0
+ CALL obj_info(ret_total_error)
+ CALL write_test_status(ret_total_error, ' Testing object info functions ', total_error)
+
WRITE(*,*)
WRITE(*,*) ' ============================================ '
diff --git a/fortran/test/tH5A.f90 b/fortran/test/tH5A.f90
index 03522f7..cecaded 100644
--- a/fortran/test/tH5A.f90
+++ b/fortran/test/tH5A.f90
@@ -100,7 +100,7 @@
CHARACTER(LEN=35), DIMENSION(2) :: aread_data ! Buffer to put read back
! string attr data
CHARACTER :: attr_character_data = 'A'
- DOUBLE PRECISION, DIMENSION(1) :: attr_double_data = 3.459
+ REAL(KIND=Fortran_DOUBLE), DIMENSION(1) :: attr_double_data = 3.459
REAL, DIMENSION(1) :: attr_real_data = 4.0
INTEGER, DIMENSION(1) :: attr_integer_data = 5
INTEGER(HSIZE_T), DIMENSION(7) :: data_dims
@@ -109,7 +109,7 @@
CHARACTER :: aread_character_data ! variable to put read back Character attr data
INTEGER, DIMENSION(1) :: aread_integer_data ! variable to put read back integer attr data
INTEGER, DIMENSION(1) :: aread_null_data = 7 ! variable to put read back null attr data
- DOUBLE PRECISION, DIMENSION(1) :: aread_double_data ! variable to put read back double attr data
+ REAL(KIND=Fortran_DOUBLE), DIMENSION(1) :: aread_double_data ! variable to put read back double attr data
REAL, DIMENSION(1) :: aread_real_data ! variable to put read back real attr data
!
diff --git a/fortran/test/tH5O.f90 b/fortran/test/tH5O.f90
index 247d1d0..b68e7ca 100644
--- a/fortran/test/tH5O.f90
+++ b/fortran/test/tH5O.f90
@@ -35,15 +35,8 @@ SUBROUTINE test_h5o(cleanup, total_error)
INTEGER, INTENT(OUT) :: total_error
INTEGER :: error
- ! /* Output message about test being performed */
- ! WRITE(*,*) "Testing Objects"
-
-!!$ test_h5o_open(); /* Test generic OPEN FUNCTION */
-!!$ test_h5o_open_by_addr(); /* Test opening objects by address */
-!!$ test_h5o_close(); /* Test generic CLOSE FUNCTION */
-!!$ test_h5o_refcount(); /* Test incrementing and decrementing reference count */
- CALL test_h5o_plist(total_error) ! /* Test object creation properties */
- CALL test_h5o_link(total_error) ! /* Test object link routine */
+ CALL test_h5o_plist(total_error) ! Test object creation properties
+ CALL test_h5o_link(total_error) ! Test object link routine
IF(cleanup) CALL h5_cleanup_f("TestFile", H5P_DEFAULT_F, error)
CALL check("h5_cleanup_f", error, total_error)
@@ -100,6 +93,19 @@ SUBROUTINE test_h5o_link(total_error)
INTEGER(HSIZE_T), DIMENSION(1:1) :: dims2 = (/dim0/) ! size read/write buffer
INTEGER , DIMENSION(1:dim0) :: wdata2, & ! Write buffer
rdata2 ! Read buffer
+ LOGICAL :: link_exists
+ CHARACTER(LEN=8) :: chr_exact
+ CHARACTER(LEN=10) :: chr_lg
+ INTEGER(size_t) :: nlinks
+ INTEGER(HID_T) :: plist = -1
+
+ CHARACTER(LEN=20) :: dset_comment = "dataset comment"
+ CHARACTER(LEN=13) :: grp_comment = "group comment"
+ CHARACTER(LEN=10) :: comment_sm ! to small comment sized buffer
+ CHARACTER(LEN=15) :: comment ! exact comment sized buffer
+ CHARACTER(LEN=20) :: comment_lg ! large comment sized buffer
+ INTEGER(HSSIZE_T) :: comment_size
+ INTEGER(SIZE_T) :: comment_size2
! Initialize the raw data
DO i = 1, TEST6_DIM1
@@ -131,8 +137,6 @@ SUBROUTINE test_h5o_link(total_error)
CALL H5Pset_libver_bounds_f(fapl_id, H5F_LIBVER_LATEST_F, H5F_LIBVER_LATEST_F, error)
CALL check("H5Pset_libver_bounds_f",error, total_error)
-!!$ ret = H5Pset_libver_bounds(fapl_id, (new_format ? H5F_LIBVER_LATEST : H5F_LIBVER_EARLIEST), H5F_LIBVER_LATEST);
-
! Create a new HDF5 file
CALL H5Fcreate_f(TEST_FILENAME, H5F_ACC_TRUNC_F, file_id, error, H5P_DEFAULT_F, fapl_id)
CALL check("H5Fcreate_f", error, total_error)
@@ -155,10 +159,9 @@ SUBROUTINE test_h5o_link(total_error)
! Create a dataset with no name using the committed datatype
CALL H5Dcreate_anon_f(file_id, type_id, space_id, dset_id, error ) ! using no optional parameters
CALL check("H5Dcreate_anon_f",error,total_error)
-
-
+ !
! Verify that we can write to and read from the dataset
-
+ !
! Write the data to the dataset
!EP CALL h5dwrite_f(dset_id, H5T_NATIVE_INTEGER, wdata, dims, error, &
@@ -199,7 +202,6 @@ SUBROUTINE test_h5o_link(total_error)
CALL h5tclose_f(type_id, error)
CALL check("h5tclose_f", error, total_error)
-
! Re-open datatype using new link
CALL H5Topen_f(group_id, "datatype", type_id, error)
CALL check("h5topen_f", error, total_error)
@@ -208,12 +210,10 @@ SUBROUTINE test_h5o_link(total_error)
CALL H5Olink_f(group_id, file_id, "/group", error)
CALL check("H5Olink_f", error, total_error)
-
CALL h5gclose_f(group_id, error)
CALL check("h5gclose_f",error,total_error)
! Open dataset through root group and verify its data
-
CALL H5Dopen_f(file_id, "/group/inter_group/dataset", dset_id, error)
CALL check("test_lcpl.h5dopen_f", error, total_error)
@@ -236,7 +236,6 @@ SUBROUTINE test_h5o_link(total_error)
CALL h5tclose_f(type_id, error)
CALL check("h5tclose_f",error,total_error)
-
! Close remaining IDs
CALL h5sclose_f(space_id, error)
CALL check("h5sclose_f",error,total_error)
@@ -264,16 +263,214 @@ SUBROUTINE test_h5o_link(total_error)
CALL check("h5gcreate_f", error, total_error)
CALL h5gcreate_f(file_id,"/G1/G2/G3",group_id,error)
CALL check("h5gcreate_f", error, total_error)
+
+ ! Try putting a comment on the group /G1/G2/G3 by name
+ CALL h5oset_comment_by_name_f(file_id, "/G1/G2/G3", grp_comment, error)
+ CALL check("h5oset_comment_by_name_f", error, total_error)
+
+ comment_lg = ' '
+
+ CALL h5oget_comment_by_name_f(file_id, "/G1/G2/G3", comment_lg, error)
+ CALL check("h5oget_comment_by_name_f", error, total_error)
+
+ IF(comment_lg(1:13).NE.grp_comment)THEN
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+ IF(comment_lg(14:20).NE.' ')THEN ! make sure no NULL terminator
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+
+ ! Try putting a comment on the group /G1/G2/G3 by name with trailing blanks
+
+ CALL h5oset_comment_by_name_f(file_id, "/G1/G2/G3"//' ', grp_comment, error)
+ CALL check("h5oset_comment_by_name_f", error, total_error)
+
+ comment_lg = ' '
+
+ CALL h5oget_comment_by_name_f(file_id, "/G1/G2/G3"//' ', comment_lg, error)
+ CALL check("h5oget_comment_by_name_f", error, total_error)
+
+ IF(comment_lg(1:13).NE.grp_comment)THEN
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+ IF(comment_lg(14:20).NE.' ')THEN ! make sure no NULL terminator
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+
!
! Create the dataset
!
CALL h5dcreate_f(group_id, dataset, H5T_STD_I32LE, space_id, dset_id, error)
CALL check("h5dcreate_f", error, total_error)
+
+ ! Putting a comment on the dataset
+ CALL h5oset_comment_f(dset_id, dset_comment, error)
+ CALL check("h5oset_comment_f", error, total_error)
+
+ ! Try reading into a buffer that is the correct size
+
+ CALL h5oget_comment_f(dset_id, comment, error)
+ CALL check("h5oget_comment_f", error, total_error)
+
+ IF(comment(1:15).NE.dset_comment(1:15))THEN
+ CALL check("h5oget_comment_f", -1, total_error)
+ ENDIF
+
+ ! Try reading into a buffer that is to small
+
+ CALL h5oget_comment_f(dset_id, comment_sm, error)
+ CALL check("h5oget_comment_f", error, total_error)
+
+ IF(comment_sm(1:10).NE.dset_comment(1:10))THEN
+ CALL check("h5oget_comment_f", -1, total_error)
+ ENDIF
+
+ ! Try reading into a buffer that is larger then needed
+
+ comment_lg = ' '
+
+ CALL h5oget_comment_f(dset_id, comment_lg, error)
+ CALL check("h5oget_comment_f", error, total_error)
+
+ IF(comment_lg(1:15).NE.dset_comment)THEN
+ CALL check("h5oget_comment_f", -1, total_error)
+ ENDIF
+ IF(comment_lg(16:20).NE.' ')THEN ! make sure no NULL terminator
+ CALL check("h5oget_comment_f", -1, total_error)
+ ENDIF
+ !
+ ! Check optional parameter
+ !
+ CALL h5oget_comment_f(dset_id, comment_lg, error, comment_size)
+ CALL check("h5oget_comment_f", error, total_error)
+
+ IF( comment_size.NE.15)THEN
+ CALL check("h5oget_comment_f", -1, total_error)
+ ENDIF
+
+ ! CHECK h5oget_comment_by_name_f
+
+ ! Try reading into a buffer that is the correct size
+
+ CALL h5oget_comment_by_name_f(dset_id, ".", comment, error)
+ CALL check("h5oget_comment_by_name_f", error, total_error)
+
+ IF(comment(1:15).NE.dset_comment(1:15))THEN
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+
+ ! Try with trailing blanks in the name
+
+ CALL h5oget_comment_by_name_f(dset_id, ". ", comment, error)
+ CALL check("h5oget_comment_by_name_f", error, total_error)
+
+ IF(comment(1:15).NE.dset_comment(1:15))THEN
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+
+ !
+ ! Check optional parameter
+ !
+ CALL h5oget_comment_by_name_f(dset_id, ". ", comment_lg, error, comment_size2)
+ CALL check("h5oget_comment_by_name_f", error, total_error)
+
+ IF( comment_size2.NE.15)THEN
+ CALL check("h5oget_comment_by_name_f", -1, total_error)
+ ENDIF
+
!
! Write the data to the dataset.
!
CALL h5dwrite_f(dset_id, H5T_NATIVE_INTEGER, wdata2, dims2, error)
CALL check("h5dwrite_f", error, total_error)
+
+ ! *************************
+ ! CHECK H5OEXISTS_BY_NAME_F
+ ! *************************
+
+ ! Create a soft link to /G1
+ CALL h5lcreate_soft_f("/G1", file_id, "/G1_LINK", error)
+ CALL check("h5lcreate_soft_f", error, total_error)
+
+
+ ! Create a soft link to /G1000, does not exist
+ CALL h5lcreate_soft_f("/G1000", file_id, "/G1_FALSE", error)
+ CALL check("h5lcreate_soft_f", error, total_error)
+
+ ! Create a soft link to /G1_LINK
+ CALL h5lcreate_soft_f("/G1_FALSE", file_id, "/G2_FALSE", error)
+ CALL check("h5lcreate_soft_f", error, total_error)
+
+ ! See if the link exists
+ CALL h5oexists_by_name_f(file_id,"/G1_LINK", link_exists, error)
+ CALL check("h5oexists_by_name_f", error, total_error)
+
+ ! Object should exist
+ IF(.NOT.link_exists)THEN
+ CALL check("h5oexists_by_name_f", -1, total_error)
+ ENDIF
+
+ chr_exact = "/G1_LINK"
+ ! See if the link exists
+ CALL h5oexists_by_name_f(file_id,chr_exact, link_exists, error, H5P_DEFAULT_F)
+ CALL check("h5oexists_by_name_f", error, total_error)
+
+ ! Object should exist
+ IF(.NOT.link_exists)THEN
+ CALL check("h5oexists_by_name_f", -1, total_error)
+ ENDIF
+
+ chr_lg = "/G1_LINK"
+ ! See if the link exists
+ CALL h5oexists_by_name_f(file_id,chr_lg, link_exists, error, H5P_DEFAULT_F)
+ CALL check("h5oexists_by_name_f", error, total_error)
+
+ ! Object should exist
+ IF(.NOT.link_exists)THEN
+ CALL check("h5oexists_by_name_f", -1, total_error)
+ ENDIF
+
+ chr_lg = "/G1_LINK "
+ ! See if the link exists
+ CALL h5oexists_by_name_f(file_id,chr_lg, link_exists, error, H5P_DEFAULT_F)
+ CALL check("h5oexists_by_name_f", error, total_error)
+
+ ! Object should exist
+ IF(.NOT.link_exists)THEN
+ CALL check("h5oexists_by_name_f", -1, total_error)
+ ENDIF
+
+ ! See if the link exists
+ CALL h5oexists_by_name_f(file_id,"/G1_FALSE", link_exists, error)
+ CALL check("h5oexists_by_name_f", error, total_error)
+
+ ! Object should not exist
+ IF(link_exists)THEN
+ CALL check("h5oexists_by_name_f", -1, total_error)
+ ENDIF
+
+ ! Check optional parameter
+
+ CALL h5pcreate_f(H5P_LINK_ACCESS_F,plist,error)
+ CALL check("h5pcreate_f",error,total_error)
+
+ nlinks = 2
+ CALL h5pset_nlinks_f(plist, nlinks, error)
+ CALL check("h5pset_nlinks_f", error, total_error)
+ ! Ensure that nlinks was set successfully
+ nlinks = 0
+ CALL h5pget_nlinks_f(plist, nlinks, error)
+ CALL check("h5pget_nlinks_f",error,total_error)
+ CALL VERIFY("h5pget_nlinks_f", INT(nlinks), 2, total_error)
+
+ ! See if the link exists
+ CALL h5oexists_by_name_f(file_id,"/G1_LINK", link_exists, error, plist)
+ CALL check("h5oexists_by_name_f", error, total_error)
+
+ ! Object should exist
+ IF(.not.link_exists)THEN
+ CALL check("h5oexists_by_name_f", -1, total_error)
+ ENDIF
!
! Close and release resources.
!
@@ -283,6 +480,14 @@ SUBROUTINE test_h5o_link(total_error)
CALL check("h5sclose_f", error, total_error)
CALL h5gclose_f(group_id, error)
CALL check("h5gclose_f", error, total_error)
+
+ ! Test opening an object by index, note
+ CALL h5oopen_by_idx_f(file_id, "/G1/G2/G3", H5_INDEX_NAME_F, H5_ITER_INC_F, 0_hsize_t, group_id, error)
+ CALL check("h5oopen_by_idx_f", error, total_error)
+
+ CALL h5oclose_f(group_id, error)
+ CALL check("h5gclose_f", error, total_error)
+
!
! create property to pass copy options
!
@@ -324,7 +529,7 @@ SUBROUTINE test_h5o_link(total_error)
CALL h5tcopy_f(H5T_NATIVE_INTEGER, tid, error)
CALL check("h5tcopy_f", error, total_error)
- ! create named datatype
+ ! create named datatype
CALL h5tcommit_f(file_id, NAME_DATATYPE_SIMPLE, tid, error)
CALL check("h5tcommit_f", error, total_error)
@@ -346,8 +551,7 @@ SUBROUTINE test_h5o_link(total_error)
! Compare the datatypes
CALL h5tequal_f(tid, tid2, flag, error)
IF(.NOT.flag)THEN
- WRITE(*,*) "h5ocopy_f FAILED"
- total_error = total_error + 1
+ CALL check("h5ocopy_f FAILED", -1, total_error)
ENDIF
! close the destination datatype
@@ -436,7 +640,6 @@ SUBROUTINE test_h5o_plist(total_error)
CALL VERIFY("H5Pget_attr_phase_change_f", max_compact, (def_max_compact + 1), total_error)
CALL VERIFY("H5Pget_attr_phase_change_f", min_dense, (def_min_dense - 1), total_error)
-
! Create a group, dataset, and committed datatype within the file,
! using the respective type of creation property lists.
!
@@ -472,7 +675,6 @@ SUBROUTINE test_h5o_plist(total_error)
CALL h5sclose_f(dspace, error)
CALL check("h5sclose_f",error,total_error)
-
! Close current creation property lists
CALL h5pclose_f(gcpl,error)
CALL check("h5pclose_f", error, total_error)
@@ -482,7 +684,6 @@ SUBROUTINE test_h5o_plist(total_error)
CALL check("h5pclose_f", error, total_error)
! Retrieve each object's creation property list
-
CALL H5Gget_create_plist_f(grp, gcpl, error)
CALL check("H5Gget_create_plist", error, total_error)
@@ -492,7 +693,6 @@ SUBROUTINE test_h5o_plist(total_error)
CALL H5Dget_create_plist_f(dset, dcpl, error)
CALL check("H5Dget_create_plist_f", error, total_error)
-
! Retrieve attribute phase change values on each creation property list and verify
CALL H5Pget_attr_phase_change_f(gcpl, max_compact, min_dense, error)
CALL check("H5Pget_attr_phase_change_f", error, total_error)
@@ -509,9 +709,7 @@ SUBROUTINE test_h5o_plist(total_error)
CALL VERIFY("H5Pget_attr_phase_change_f", max_compact, (def_max_compact + 1), total_error)
CALL VERIFY("H5Pget_attr_phase_change_f", min_dense, (def_min_dense - 1), total_error)
-
! Close current objects
-
CALL h5pclose_f(gcpl,error)
CALL check("h5pclose_f", error, total_error)
CALL h5pclose_f(dcpl,error)
@@ -552,7 +750,6 @@ SUBROUTINE test_h5o_plist(total_error)
CALL H5Dget_create_plist_f(dset, dcpl, error)
CALL check("H5Dget_create_plist_f", error, total_error)
-
! Retrieve attribute phase change values on each creation property list and verify
CALL H5Pget_attr_phase_change_f(gcpl, max_compact, min_dense, error)
CALL check("H5Pget_attr_phase_change_f", error, total_error)
@@ -569,9 +766,7 @@ SUBROUTINE test_h5o_plist(total_error)
CALL VERIFY("H5Pget_attr_phase_change_f", max_compact, (def_max_compact + 1), total_error)
CALL VERIFY("H5Pget_attr_phase_change_f", min_dense, (def_min_dense - 1), total_error)
-
! Close current objects
-
CALL h5pclose_f(gcpl,error)
CALL check("h5pclose_f", error, total_error)
CALL h5pclose_f(dcpl,error)
diff --git a/fortran/test/tH5O_F03.f90 b/fortran/test/tH5O_F03.f90
new file mode 100644
index 0000000..f060a7d
--- /dev/null
+++ b/fortran/test/tH5O_F03.f90
@@ -0,0 +1,547 @@
+!****h* root/fortran/test/tH5O_F03.f90
+!
+! NAME
+! tH5O_F03.f90
+!
+! FUNCTION
+! Test FORTRAN HDF5 H5O APIs which are dependent on FORTRAN 2003
+! features.
+!
+! COPYRIGHT
+! * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+! Copyright by The HDF Group. *
+! Copyright by the Board of Trustees of the University of Illinois. *
+! All rights reserved. *
+! *
+! This file is part of HDF5. The full HDF5 copyright notice, including *
+! terms governing use, modification, and redistribution, is contained in *
+! the files COPYING and Copyright.html. COPYING can be found at the root *
+! of the source code distribution tree; Copyright.html can be found at the *
+! root level of an installed copy of the electronic HDF5 document set and *
+! is linked from the top-level documents page. It can also be found at *
+! http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+! access to either file, you may request a copy from help@hdfgroup.org. *
+! * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+!
+!*****
+
+! *****************************************
+! *** H 5 O T E S T S
+! *****************************************
+MODULE visit_cb
+
+ USE HDF5
+ USE ISO_C_BINDING
+
+ IMPLICIT NONE
+
+ INTEGER, PARAMETER :: info_size = 9
+
+ !-------------------------------------------------------------------------
+ ! Function: visit_obj_cb
+ !
+ ! Purpose: Callback routine for visiting objects in a file
+ !
+ ! Return: Success: 0
+ ! Failure: -1
+ !
+ ! Programmer: M.S. Breitenfeld
+ ! July 12, 2012
+ ! Adopted from C test.
+ !
+ !-------------------------------------------------------------------------
+ !
+ ! Object visit structs
+ TYPE, bind(c) :: obj_visit_t
+ CHARACTER(LEN=1), DIMENSION(1:180) :: path ! Path to object
+ INTEGER :: type_obj ! type of object
+ END TYPE obj_visit_t
+
+ TYPE, bind(c) :: ovisit_ud_t
+ INTEGER :: idx ! Index in object visit structure
+ TYPE(obj_visit_t), DIMENSION(1:info_size) :: info ! Pointer to the object visit structure to use
+ END TYPE ovisit_ud_t
+
+CONTAINS
+
+ INTEGER FUNCTION visit_obj_cb( group_id, name, oinfo, op_data) bind(C)
+
+ IMPLICIT NONE
+
+ INTEGER(HID_T) :: group_id
+ CHARACTER(LEN=1), DIMENSION(1:180) :: name
+ TYPE(h5o_info_t) :: oinfo
+ TYPE(ovisit_ud_t) :: op_data
+
+ INTEGER :: len, i
+ INTEGER :: idx
+
+ visit_obj_cb = 0
+
+ ! Since the name is generated in C and passed to a Fortran string, it
+ ! will be NULL terminated, so we need to find the end of the string.
+
+ len = 1
+ DO len = 1, 180
+ IF(name(len) .EQ. C_NULL_CHAR) EXIT
+ ENDDO
+
+ len = len - 1
+
+ ! Check for correct object information
+
+ idx = op_data%idx
+
+ DO i = 1, len
+ IF(op_data%info(idx)%path(i)(1:1) .NE. name(i)(1:1))THEN
+ visit_obj_cb = -1
+ RETURN
+ ENDIF
+
+ IF(op_data%info(idx)%type_obj .NE. oinfo%type)THEN
+ visit_obj_cb = -1
+ RETURN
+ ENDIF
+
+ ENDDO
+
+ ! Advance to next location in expected output
+ op_data%idx = op_data%idx + 1
+
+ END FUNCTION visit_obj_cb
+
+END MODULE visit_cb
+
+!/****************************************************************
+!**
+!** test_h5o_refcount(): Test H5O refcounting functions.
+!**
+!****************************************************************/
+
+SUBROUTINE test_h5o_refcount(total_error)
+
+ USE HDF5
+ USE ISO_C_BINDING
+ IMPLICIT NONE
+
+ INTEGER, INTENT(INOUT) :: total_error
+
+ CHARACTER(LEN=11), PARAMETER :: FILENAME = "th5o_ref.h5"
+ INTEGER, PARAMETER :: DIM0 = 5
+ INTEGER, PARAMETER :: DIM1 = 10
+ INTEGER(hid_t) :: fid ! HDF5 File ID
+ INTEGER(hid_t) :: grp, dset, dtype, dspace ! Object identifiers
+ TYPE(h5o_info_t) :: oinfo ! Object info struct
+ INTEGER(hsize_t), DIMENSION(1:2) :: dims
+ INTEGER :: error ! Value returned from API calls
+
+ ! Create a new HDF5 file
+ CALL h5fcreate_f(FILENAME,H5F_ACC_TRUNC_F,fid,error)
+ CALL check("h5fcreate_f", error, total_error)
+
+ ! Create a group, dataset, and committed datatype within the file
+ ! Create the group
+ CALL h5gcreate_f(fid, "group", grp, error)
+ CALL check("h5gcreate_f",error, total_error)
+
+ ! Commit the type inside the group
+ CALL h5tcopy_f(H5T_NATIVE_INTEGER, dtype, error)
+ CALL check("H5Tcopy_f",error, total_error)
+ CALL h5tcommit_f(fid, "datatype", dtype, error)
+ CALL check("h5tcommit_f", error, total_error)
+
+ ! Create the data space for the dataset.
+ dims(1) = DIM0
+ dims(2) = DIM1
+
+ CALL h5screate_simple_f(2, dims, dspace, error)
+ CALL check("h5screate_simple_f", error, total_error)
+
+ ! Create the dataset.
+ CALL h5dcreate_f(fid, "dataset", H5T_NATIVE_INTEGER, dspace, dset, error)
+ CALL check("h5dcreate_f", error, total_error)
+ CALL h5sclose_f(dspace, error)
+ CALL check("h5sclose_f", error, total_error)
+
+ ! Get ref counts for each object. They should all be 1, since each object has a hard link.
+ CALL h5oget_info_by_name_f(fid, "group", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+ CALL h5oget_info_by_name_f(fid, "datatype", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+ CALL h5oget_info_by_name_f(fid, "dataset", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+
+ ! Check h5oget_info
+ CALL h5oget_info_f(grp, oinfo, error)
+ CALL check("h5oget_info_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_f", -1, total_error)
+ ENDIF
+ IF(oinfo%type.NE.H5O_TYPE_GROUP_F)THEN
+ CALL check("h5oget_info_f", -1, total_error)
+ ENDIF
+
+ ! Increment each object's reference count.
+ CALL h5oincr_refcount_f(grp, error)
+ CALL check("h5oincr_refcount_f", error, total_error)
+ CALL h5oincr_refcount_f(dtype, error)
+ CALL check("h5oincr_refcount_f", error, total_error)
+ CALL h5oincr_refcount_f(dset, error)
+ CALL check("h5oincr_refcount_f", error, total_error)
+
+ ! Get ref counts for each object. They should all be 2 now.
+ CALL h5oget_info_by_name_f(fid, "group", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.2)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+ CALL h5oget_info_by_name_f(fid, "datatype", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.2)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+ CALL h5oget_info_by_name_f(fid, "dataset", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.2)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+
+ ! Decrement the reference counts and check that they decrease back to 1.
+ CALL h5odecr_refcount_f(grp, error)
+ CALL check("h5oincr_refcount_f", error, total_error)
+ CALL h5odecr_refcount_f(dtype, error)
+ CALL check("h5oincr_refcount_f", error, total_error)
+ CALL h5odecr_refcount_f(dset, error)
+ CALL check("h5oincr_refcount_f", error, total_error)
+
+ CALL h5oget_info_by_name_f(fid, "group", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+ CALL h5oget_info_by_name_f(fid, "datatype", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+ CALL h5oget_info_by_name_f(fid, "dataset", oinfo, error)
+ CALL check("h5oget_info_by_name_f", error, total_error)
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_name_f", -1, total_error)
+ ENDIF
+
+ CALL h5gclose_f(grp, error)
+ CALL check("h5gclose_f",error, total_error)
+ CALL h5tclose_f(dtype, error)
+ CALL check("h5tclose_f",error, total_error)
+ CALL h5dclose_f(dset, error)
+ CALL check("h5dclose_f",error, total_error)
+ CALL h5fclose_f(fid, error)
+ CALL check("h5fclose_f",error, total_error)
+
+END SUBROUTINE test_h5o_refcount
+
+!****************************************************************
+!**
+!** test_h5o_refcount(): Test H5O visit functions.
+!**
+!****************************************************************
+
+SUBROUTINE obj_visit(total_error)
+
+ USE HDF5
+
+ USE visit_cb
+ USE ISO_C_BINDING
+ IMPLICIT NONE
+
+ INTEGER, INTENT(INOUT) :: total_error
+
+ TYPE(ovisit_ud_t), TARGET :: udata ! User-data for visiting
+ INTEGER(hid_t) :: fid = -1
+ INTEGER(hid_t) :: gid = -1 ! Group ID
+ TYPE(C_PTR) :: f_ptr
+ TYPE(C_FUNPTR) :: fun_ptr
+ CHARACTER(LEN=180) :: object_name
+ INTEGER :: ret_val
+ INTEGER :: error
+
+ ! Construct "interesting" file to visit
+ CALL build_visit_file(fid)
+
+ ! Inialize udata for testing purposes
+ udata%info(1)%path(1:1) ="."
+ udata%info(1)%type_obj = H5O_TYPE_GROUP_F
+ udata%info(2)%path(1:12) = &
+ (/"D","a","t","a","s","e","t","_","z","e","r","o"/)
+ udata%info(2)%type_obj =H5O_TYPE_DATASET_F
+ udata%info(3)%path(1:6) = &
+ (/"G","r","o","u","p","1"/)
+ udata%info(3)%type_obj = H5O_TYPE_GROUP_F
+ udata%info(4)%path(1:18) =&
+ (/"G","r","o","u","p","1","/","D","a","t","a","s","e","t","_","o","n","e"/)
+ udata%info(4)%type_obj = H5O_TYPE_DATASET_F
+ udata%info(5)%path(1:13) =&
+ (/"G","r","o","u","p","1","/","G","r","o","u","p","2"/)
+ udata%info(5)%type_obj = H5O_TYPE_GROUP_F
+ udata%info(6)%path(1:25) =&
+ (/"G","r","o","u","p","1","/","G","r","o","u","p","2","/","D","a","t","a","s","e","t","_","t","w","o"/)
+ udata%info(6)%type_obj = H5O_TYPE_DATASET_F
+ udata%info(7)%path(1:22) =&
+ (/"G","r","o","u","p","1","/","G","r","o","u","p","2","/","T","y","p","e","_","t","w","o"/)
+ udata%info(7)%type_obj = H5O_TYPE_NAMED_DATATYPE_F
+ udata%info(8)%path(1:15) =&
+ (/"G","r","o","u","p","1","/","T","y","p","e","_","o","n","e"/)
+ udata%info(8)%type_obj = H5O_TYPE_NAMED_DATATYPE_F
+ udata%info(9)%path(1:9) =&
+ (/"T","y","p","e","_","z","e","r","o"/)
+ udata%info(9)%type_obj = H5O_TYPE_NAMED_DATATYPE_F
+
+ ! Visit all the objects reachable from the root group (with file ID)
+ udata%idx = 1
+
+ fun_ptr = C_FUNLOC(visit_obj_cb)
+ f_ptr = C_LOC(udata)
+
+ ! Test h5ovisit_f
+ CALL h5ovisit_f(fid, H5_INDEX_NAME_F, H5_ITER_INC_F, fun_ptr, f_ptr, ret_val, error)
+ CALL check("h5ovisit_f", error, total_error)
+ IF(ret_val.LT.0)THEN
+ CALL check("h5ovisit_f", -1, total_error)
+ ENDIF
+
+ ! Test h5ovisit_by_name_f
+
+ object_name = "/"
+ udata%idx = 1
+
+ CALL h5ovisit_by_name_f(fid, object_name, H5_INDEX_NAME_F, H5_ITER_INC_F, fun_ptr, f_ptr, ret_val, error)
+ CALL check("h5ovisit_by_name_f", error, total_error)
+ IF(ret_val.LT.0)THEN
+ CALL check("h5ovisit_by_name_f", -1, total_error)
+ ENDIF
+
+ CALL h5fclose_f(fid, error)
+ CALL check("h5fclose_f",error, total_error)
+
+END SUBROUTINE obj_visit
+
+!****************************************************************
+!**
+!** test_h5o_refcount(): Test H5O info functions.
+!**
+!****************************************************************
+
+SUBROUTINE obj_info(total_error)
+
+ USE HDF5
+ USE ISO_C_BINDING
+ IMPLICIT NONE
+
+ INTEGER, INTENT(INOUT) :: total_error
+
+ INTEGER(hid_t) :: fid = -1 ! File ID
+ INTEGER(hid_t) :: gid = -1, gid2 = -1 ! Group IDs
+ INTEGER(hid_t) :: did ! Dataset ID
+ INTEGER(hid_t) :: sid ! Dataspace ID
+ TYPE(hobj_ref_t_f), TARGET :: wref ! Reference to write
+ TYPE(hobj_ref_t_f), TARGET :: rref ! Reference to read
+ TYPE(H5O_info_t) :: oinfo ! Object info struct
+ INTEGER :: count = 0 ! Count within iterated group
+ INTEGER :: error
+ TYPE(C_PTR) :: f_ptr
+
+ CHARACTER(LEN=6) :: GROUPNAME = "/group"
+ CHARACTER(LEN=6) :: GROUPNAME2 = "group2"
+ CHARACTER(LEN=6) :: GROUPNAME3 = "group3"
+ CHARACTER(LEN=5) :: DSETNAME = "/dset"
+ CHARACTER(LEN=5) :: DSETNAME2 = "dset2"
+
+ ! Create file with a group and a dataset containing an object reference to the group
+ CALL h5fcreate_f("get_info.h5", H5F_ACC_TRUNC_F, fid, error)
+ CALL check("h5fcreate_f",error, total_error)
+
+ ! Create dataspace to use for dataset
+ CALL h5screate_f(H5S_SCALAR_F, sid, error)
+ CALL check("h5screate_f",error,total_error)
+
+ ! Create group to refer to
+ CALL h5gcreate_f(fid, GROUPNAME, gid, error)
+ CALL check("h5gcreate_f",error,total_error)
+
+ ! Create nested groups
+ CALL h5gcreate_f(gid, GROUPNAME2, gid2, error)
+ CALL check("h5gcreate_f",error,total_error)
+ CALL h5gclose_f(gid2, error)
+ CALL check("h5gclose_f",error,total_error)
+
+ CALL h5gcreate_f(gid, GROUPNAME3, gid2, error)
+ CALL check("h5gcreate_f",error,total_error)
+ CALL h5gclose_f(gid2, error)
+ CALL check("h5gclose_f",error,total_error)
+
+ ! Create bottom dataset
+ CALL h5dcreate_f(gid, DSETNAME2, H5T_NATIVE_INTEGER, sid, did, error)
+ CALL check("h5dcreate_f",error, total_error)
+
+ CALL h5dclose_f(did, error)
+ CALL check("h5dclose_f", error, total_error)
+
+ CALL h5gclose_f(gid, error)
+ CALL check("h5gclose_f",error,total_error)
+
+ ! Create dataset
+ CALL h5dcreate_f(fid, DSETNAME, H5T_STD_REF_OBJ, sid, did, error)
+ CALL check("h5dcreate_f",error, total_error)
+
+ f_ptr = C_LOC(wref)
+
+ ! Create reference to group
+ CALL h5rcreate_f(fid, GROUPNAME, H5R_OBJECT_F, f_ptr, error)
+ CALL check("h5rcreate_f",error, total_error)
+
+ ! Write reference to disk
+ CALL h5dwrite_f(did, H5T_STD_REF_OBJ, f_ptr, error)
+ CALL check("h5dwrite_f",error, total_error)
+
+ ! Close objects
+ CALL h5dclose_f(did, error)
+ CALL check("h5dclose_f", error, total_error)
+ CALL h5sclose_f(sid, error)
+ CALL check("h5sclose_f", error, total_error)
+ CALL h5fclose_f(fid, error)
+ CALL check("h5fclose_f", error, total_error)
+
+ ! Re-open file
+ CALL h5fopen_f("get_info.h5", H5F_ACC_RDWR_F, fid, error)
+ CALL check("h5fopen_f", error, total_error)
+
+ ! Re-open dataset
+ CALL h5dopen_f(fid, DSETNAME, did, error)
+ CALL check("h5dopen_f", error, total_error)
+
+ ! Read in the reference
+
+ f_ptr = C_LOC(rref)
+
+ CALL h5dread_f(did, H5T_STD_REF_OBJ, f_ptr, error)
+ CALL check("H5Dread_f",error, total_error)
+
+ ! Dereference to get the group
+
+ CALL h5rdereference_f(did, H5R_OBJECT_F, f_ptr, gid, error)
+ CALL check("h5rdereference_f", error, total_error)
+
+ CALL h5oget_info_by_idx_f(gid, ".", H5_INDEX_NAME_F, H5_ITER_INC_F, 0_hsize_t, oinfo, error)
+ CALL check("h5oget_info_by_idx_f", error, total_error)
+
+ IF(oinfo%rc.NE.1)THEN
+ CALL check("h5oget_info_by_idx_f", -1, total_error)
+ ENDIF
+
+ IF(oinfo%type.NE.H5O_TYPE_DATASET_F)THEN
+ CALL check("h5oget_info_by_idx_f", -1, total_error)
+ ENDIF
+
+ ! Close objects
+ CALL h5dclose_f(did, error)
+ CALL check("h5dclose_f", error, total_error)
+ CALL h5gclose_f(gid, error)
+ CALL check("h5sclose_f", error, total_error)
+ CALL h5fclose_f(fid, error)
+ CALL check("h5fclose_f", error, total_error)
+
+END SUBROUTINE obj_info
+
+!-------------------------------------------------------------------------
+! Function: build_visit_file
+!
+! Purpose: Build an "interesting" file to use for visiting links & objects
+!
+! Programmer: M. Scot Breitenfeld
+! July 12, 2012
+! NOTE: Adapted from C test.
+!
+!-------------------------------------------------------------------------
+!
+
+SUBROUTINE build_visit_file(fid)
+
+ USE HDF5
+ IMPLICIT NONE
+
+ INTEGER(hid_t) :: fid ! File ID
+ INTEGER(hid_t) :: gid = -1, gid2 = -1 ! Group IDs
+ INTEGER(hid_t) :: sid = -1 ! Dataspace ID
+ INTEGER(hid_t) :: did = -1 ! Dataset ID
+ INTEGER(hid_t) :: tid = -1 ! Datatype ID
+ CHARACTER(LEN=20) :: filename = 'visit.h5'
+ INTEGER :: error
+
+ ! Create file for visiting
+ CALL H5Fcreate_f(filename, H5F_ACC_TRUNC_F, fid, error)
+
+ ! Create group
+ CALL H5Gcreate_f(fid, "/Group1", gid, error)
+
+ ! Create nested group
+ CALL H5Gcreate_f(gid, "Group2", gid2, error)
+
+ ! Close groups
+ CALL h5gclose_f(gid2, error)
+ CALL h5gclose_f(gid, error)
+
+ ! Create soft links to groups created
+ CALL H5Lcreate_soft_f("/Group1", fid, "/soft_one", error)
+ CALL H5Lcreate_soft_f("/Group1/Group2", fid, "/soft_two", error)
+
+ ! Create dangling soft link
+ CALL H5Lcreate_soft_f("nowhere", fid, "/soft_dangle", error)
+
+ ! Create hard links to all groups
+ CALL H5Lcreate_hard_f(fid, "/", fid, "hard_zero", error)
+ CALL H5Lcreate_hard_f(fid, "/Group1", fid, "hard_one", error)
+ CALL H5Lcreate_hard_f(fid, "/Group1/Group2", fid, "hard_two", error)
+
+ ! Create loops w/hard links
+ CALL H5Lcreate_hard_f(fid, "/Group1", fid, "/Group1/hard_one", error)
+ CALL H5Lcreate_hard_f(fid, "/", fid, "/Group1/Group2/hard_zero", error)
+
+ ! Create dataset in each group
+ CALL H5Screate_f(H5S_SCALAR_F, sid, error)
+
+ CALL H5Dcreate_f(fid, "/Dataset_zero", H5T_NATIVE_INTEGER, sid, did, error)
+ CALL H5Dclose_f(did, error)
+
+ CALL H5Dcreate_f(fid, "/Group1/Dataset_one", H5T_NATIVE_INTEGER, sid, did, error)
+ CALL H5Dclose_f(did, error)
+
+ CALL H5Dcreate_f(fid, "/Group1/Group2/Dataset_two", H5T_NATIVE_INTEGER, sid, did, error)
+ CALL H5Dclose_f(did, error)
+
+ CALL H5Sclose_f(sid, error)
+
+ ! Create named datatype in each group
+ CALL H5Tcopy_f(H5T_NATIVE_INTEGER, tid, error)
+
+ CALL H5Tcommit_f(fid, "/Type_zero", tid, error)
+ CALL H5Tclose_f(tid, error)
+
+ CALL H5Tcopy_f(H5T_NATIVE_INTEGER, tid, error)
+ CALL H5Tcommit_f(fid, "/Group1/Type_one", tid, error)
+ CALL H5Tclose_f(tid, error)
+
+ CALL H5Tcopy_f(H5T_NATIVE_INTEGER, tid, error)
+ CALL H5Tcommit_f(fid, "/Group1/Group2/Type_two", tid, error)
+ CALL H5Tclose_f(tid, error)
+
+END SUBROUTINE build_visit_file
diff --git a/fortran/test/tH5T.f90 b/fortran/test/tH5T.f90
index 9605c45..b42a8e6 100644
--- a/fortran/test/tH5T.f90
+++ b/fortran/test/tH5T.f90
@@ -86,8 +86,8 @@
CHARACTER(LEN=2), DIMENSION(dimsize) :: char_member_out ! Buffer to read data out
INTEGER, DIMENSION(dimsize) :: int_member
INTEGER, DIMENSION(dimsize) :: int_member_out
- DOUBLE PRECISION, DIMENSION(dimsize) :: double_member
- DOUBLE PRECISION, DIMENSION(dimsize) :: double_member_out
+ REAL(KIND=Fortran_DOUBLE), DIMENSION(dimsize) :: double_member
+ REAL(KIND=Fortran_DOUBLE), DIMENSION(dimsize) :: double_member_out
REAL, DIMENSION(dimsize) :: real_member
REAL, DIMENSION(dimsize) :: real_member_out
INTEGER :: i
diff --git a/fortran/test/tH5T_F03.f90 b/fortran/test/tH5T_F03.f90
index 215ac9e..1c4da8b 100644
--- a/fortran/test/tH5T_F03.f90
+++ b/fortran/test/tH5T_F03.f90
@@ -103,7 +103,7 @@ SUBROUTINE test_array_compound_atomic(total_error)
! Create file
CALL h5fcreate_f(FILENAME,H5F_ACC_TRUNC_F,fid1,error)
- CALL check("h5fcreate_f", error, total_error)
+ CALL check("h5fcreate_f", error, total_error)
! Create dataspace for datasets
CALL h5screate_simple_f(SPACE1_RANK, sdims1, sid1, error)
@@ -1976,8 +1976,8 @@ SUBROUTINE t_regref(total_error)
INTEGER(HSIZE_T), DIMENSION(1:1) :: maxdims
INTEGER(hssize_t) :: npoints
- TYPE(hdset_reg_ref_t_f), DIMENSION(1:dim0), TARGET :: wdata ! Write buffer
- TYPE(hdset_reg_ref_t_f), DIMENSION(:), ALLOCATABLE, TARGET :: rdata ! Read buffer
+ TYPE(hdset_reg_ref_t_f03), DIMENSION(1:dim0), TARGET :: wdata ! Write buffer
+ TYPE(hdset_reg_ref_t_f03), DIMENSION(:), ALLOCATABLE, TARGET :: rdata ! Read buffer
INTEGER(size_t) :: size
CHARACTER(LEN=1), DIMENSION(1:ds2dim0,1:ds2dim1), TARGET :: wdata2
@@ -2058,7 +2058,6 @@ SUBROUTINE t_regref(total_error)
CALL check("h5sclose_f",error, total_error)
CALL h5fclose_f(file , error)
CALL check("h5fclose_f",error, total_error)
-
!
! Now we begin the read section of this example.
!
@@ -2095,10 +2094,11 @@ SUBROUTINE t_regref(total_error)
! Open the referenced object, retrieve its region as a
! dataspace selection.
!
- CALL H5Rdereference_f(dset, rdata(i), dset2, error)
+ f_ptr = C_LOC(rdata(i))
+ CALL H5Rdereference_f(dset, H5R_DATASET_REGION_F, f_ptr, dset2, error)
CALL check("H5Rdereference_f",error, total_error)
-
- CALL H5Rget_region_f(dset, rdata(i), space, error)
+
+ CALL H5Rget_region_f(dset, f_ptr, space, error)
CALL check("H5Rget_region_f",error, total_error)
!
@@ -2754,7 +2754,7 @@ SUBROUTINE t_string(total_error)
CALL check("H5Dget_type_f",error, total_error)
CALL H5Tget_size_f(filetype, size, error)
CALL check("H5Tget_size_f",error, total_error)
- CALL VERIFY("H5Tget_size_f", size, sdim, total_error)
+ CALL VERIFY("H5Tget_size_f", INT(size), INT(sdim), total_error)
!
! Get dataspace.
!
@@ -2800,4 +2800,295 @@ SUBROUTINE t_string(total_error)
END SUBROUTINE t_string
+SUBROUTINE vl_test_special_char(cleanup, total_error)
+
+ USE hdf5
+ IMPLICIT NONE
+
+ INTERFACE
+ SUBROUTINE setup_buffer(data_in, line_lengths, char_type)
+ USE hdf5
+ USE ISO_C_BINDING
+ IMPLICIT NONE
+ CHARACTER(len=*), DIMENSION(:) :: data_in
+ INTEGER(size_t), DIMENSION(:) :: line_lengths
+ CHARACTER(KIND=C_CHAR,LEN=*) :: char_type
+ END SUBROUTINE setup_buffer
+ END INTERFACE
+
+ LOGICAL, INTENT(IN) :: cleanup
+ INTEGER, INTENT(OUT) :: total_error
+
+ CHARACTER(LEN=16), PARAMETER :: filename = "t_controlchar.h5"
+ INTEGER, PARAMETER :: line_length = 10
+ INTEGER(hid_t) :: file
+ INTEGER(hid_t) :: dataset0
+ CHARACTER(len=line_length), DIMENSION(1:100) :: data_in
+ CHARACTER(len=line_length), DIMENSION(1:100) :: data_out
+ INTEGER(size_t), DIMENSION(1:100) :: line_lengths
+ INTEGER(hid_t) :: string_id, space, dcpl
+ INTEGER(hsize_t), DIMENSION(1:1) :: dims = (/0/)
+ INTEGER(hsize_t), DIMENSION(1:1) :: max_dims = (/0/)
+ INTEGER(hsize_t), DIMENSION(1:2) :: data_dims = (/0,0/)
+ INTEGER(hsize_t), DIMENSION(1:1) :: chunk =(/10/)
+ INTEGER, PARAMETER :: ncontrolchar = 7
+ CHARACTER(KIND=C_CHAR,LEN=1), DIMENSION(1:ncontrolchar) :: controlchar = &
+ (/C_ALERT, C_BACKSPACE,C_CARRIAGE_RETURN, C_FORM_FEED,C_HORIZONTAL_TAB,C_VERTICAL_TAB, C_NEW_LINE/)
+ INTEGER :: i, j, n, error
+ n = 8
+ !
+ ! Create a new file using the default properties.
+ !
+ CALL h5fcreate_f(filename, H5F_ACC_TRUNC_F, file, error)
+ CALL check("h5fcreate_f",error, total_error)
+
+ max_dims = (/H5S_UNLIMITED_F/)
+
+ !
+ ! Create the memory datatype.
+ !
+ CALL h5tcopy_f(h5t_string, string_id, error)
+ CALL check("h5tcopy_f", error, total_error)
+ CALL h5tset_strpad_f(string_id, h5t_str_nullpad_f, error)
+ CALL check("h5tset_strpad_f", error, total_error)
+ dims(1) = n
+ !
+ ! Create dataspace.
+ !
+ CALL h5screate_simple_f(1, dims, space, error, max_dims)
+ CALL check("h5screate_simple_f", error, total_error)
+ CALL h5pcreate_f(h5p_dataset_create_f, dcpl, error)
+ CALL check("h5pcreate_f", error, total_error)
+ CALL h5pset_chunk_f(dcpl, 1, chunk, error)
+ CALL check("h5pset_chunk_f", error, total_error)
+
+ data_dims(1) = line_length
+ data_dims(2) = n
+ !
+ ! Create data with strings containing various control characters.
+ !
+ DO i = 1, ncontrolchar
+ !
+ ! Create the dataset, for the string with control character and write the string data to it.
+ !
+ CALL h5dcreate_f(file, controlchar(i), string_id, space, dataset0, error, dcpl)
+ CALL check("h5dcreate_f", error, total_error)
+ CALL setup_buffer(data_in(1:n), line_lengths, controlchar(i))
+ CALL h5dwrite_vl_f(dataset0, string_id, data_in(1:n), data_dims, line_lengths(1:n), error, space)
+ CALL check("h5dwrite_vl_f", error, total_error)
+ !
+ ! Read the string back.
+ !
+ CALL h5dread_vl_f(dataset0, string_id, data_out(1:n), data_dims, line_lengths(1:n), error, space)
+ CALL check("h5dread_vl_f", error, total_error)
+
+ DO j = 1, n
+ IF(data_in(j).NE.data_out(j))THEN
+ total_error = total_error + 1
+ EXIT
+ ENDIF
+ ENDDO
+
+ CALL h5dclose_f(dataset0, error)
+ CALL check("h5dclose_f", error, total_error)
+ ENDDO
+
+ CALL h5pclose_f(dcpl, error)
+ CALL check("h5pclose_f", error, total_error)
+ CALL h5sclose_f(space, error)
+ CALL check("h5sclose_f", error, total_error)
+ CALL h5fclose_f(file, error)
+ CALL check("h5fclose_f", error, total_error)
+
+END SUBROUTINE vl_test_special_char
+
+
+SUBROUTINE setup_buffer(data_in, line_lengths, char_type)
+
+ USE HDF5
+ USE ISO_C_BINDING
+
+ IMPLICIT NONE
+
+ ! Creates a simple "Data_in" consisting of the letters of the alphabet,
+ ! one per line, with a control character.
+
+ CHARACTER(len=10), DIMENSION(:) :: data_in
+ INTEGER(size_t), DIMENSION(:) :: line_lengths
+ INTEGER, DIMENSION(1:3) :: letters
+ CHARACTER(LEN=3) :: lets
+ CHARACTER(KIND=C_CHAR,LEN=*) :: char_type
+ CHARACTER(KIND=C_CHAR,LEN=1) :: char_tmp
+ INTEGER :: i, j, n, ff
+
+ ! Convert the letters and special character to integers
+ lets = 'abc'
+
+ READ(lets,'(3A1)') letters
+ READ(char_type,'(A1)') ff
+ n = SIZE(data_in)
+ j = 1
+ DO i=1,n-1
+ IF( j .EQ. 4 )THEN
+ WRITE(char_tmp,'(A1)') ff
+ data_in(i:i) = char_tmp
+ ELSE
+ WRITE(char_tmp,'(A1)') letters(j)
+ data_in(i:i) = char_tmp
+ ENDIF
+ line_lengths(i) = LEN_TRIM(data_in(i))
+ j = j + 1
+ IF( j .EQ. 5 ) j = 1
+ END DO
+ WRITE(char_tmp,'(A1)') ff
+ data_in(n:n) = char_tmp
+ line_lengths(n) = 1
+
+END SUBROUTINE setup_buffer
+
+!-------------------------------------------------------------------------
+! Function: test_nbit
+!
+! Purpose: Tests (real, 4 byte) datatype for nbit filter
+!
+! Return: Success: 0
+! Failure: >0
+!
+! Programmer: M. Scot Breitenfeld
+! Decemeber 7, 2010
+!
+! Modifications: Moved this subroutine from the 1.8 test file and
+! modified it to use F2003 features.
+! This routine requires 4 byte reals, so we use F2003 features to
+! ensure the requirement is satisfied in a portable way.
+! The need for this arises when a user specifies the default real is 8 bytes.
+! MSB 7/31/12
+!
+!-------------------------------------------------------------------------
+!
+
+SUBROUTINE test_nbit(cleanup, total_error )
+
+ USE HDF5
+ USE ISO_C_BINDING
+
+ IMPLICIT NONE
+ INTEGER, PARAMETER :: wp = SELECTED_REAL_KIND(Fortran_REAL_4) !should map to REAL*4 on most modern processors
+ LOGICAL, INTENT(IN) :: cleanup
+ INTEGER, INTENT(INOUT) :: total_error
+ INTEGER(hid_t) :: file
+
+ INTEGER(hid_t) :: dataset, datatype, space, dc, mem_type_id
+ INTEGER(hsize_t), DIMENSION(1:2) :: dims = (/2,5/)
+ INTEGER(hsize_t), DIMENSION(1:2) :: chunk_dim = (/2,5/)
+ ! orig_data[] are initialized to be within the range that can be represented by
+ ! dataset datatype (no precision loss during datatype conversion)
+ !
+ REAL(kind=wp), DIMENSION(1:2,1:5), TARGET :: orig_data = &
+ RESHAPE( (/188384.00, 19.103516, -1.0831790e9, -84.242188, &
+ 5.2045898, -49140.000, 2350.2500, -3.2110596e-1, 6.4998865e-5, -0.0000000/) , (/2,5/) )
+ REAL(kind=wp), DIMENSION(1:2,1:5), TARGET :: new_data
+ INTEGER(size_t) :: PRECISION, offset
+ INTEGER :: error
+ LOGICAL :: status
+ INTEGER(size_t) :: i, j
+ TYPE(C_PTR) :: f_ptr
+
+ ! check to see if filter is available
+ CALL H5Zfilter_avail_f(H5Z_FILTER_NBIT_F, status, error)
+ IF(.NOT.status)THEN ! We don't have H5Z_FILTER_NBIT_F filter
+ total_error = -1 ! so return
+ RETURN
+ ENDIF
+
+ CALL H5Fcreate_f("nbit.h5", H5F_ACC_TRUNC_F, file, error)
+ CALL check("H5Fcreate_f", error, total_error)
+
+ ! Define dataset datatype (integer), and set precision, offset
+ CALL H5Tcopy_f(H5T_IEEE_F32BE, datatype, error)
+ CALL CHECK(" H5Tcopy_f", error, total_error)
+ CALL H5Tset_fields_f(datatype, 26_size_t, 20_size_t, 6_size_t, 7_size_t, 13_size_t, error)
+ CALL CHECK(" H5Tset_fields_f", error, total_error)
+ offset = 7
+ CALL H5Tset_offset_f(datatype, offset, error)
+ CALL CHECK(" H5Tset_offset_f", error, total_error)
+ PRECISION = 20
+ CALL H5Tset_precision_f(datatype,PRECISION, error)
+ CALL CHECK(" H5Tset_precision_f", error, total_error)
+
+ CALL H5Tset_size_f(datatype, 4_size_t, error)
+ CALL CHECK(" H5Tset_size_f", error, total_error)
+
+ CALL H5Tset_ebias_f(datatype, 31_size_t, error)
+ CALL CHECK(" H5Tset_ebias_f", error, total_error)
+
+ ! Create the data space
+ CALL H5Screate_simple_f(2, dims, space, error)
+ CALL CHECK(" H5Screate_simple_f", error, total_error)
+
+ ! USE nbit filter
+ CALL H5Pcreate_f(H5P_DATASET_CREATE_F, dc, error)
+ CALL CHECK(" H5Pcreate_f", error, total_error)
+
+ CALL H5Pset_chunk_f(dc, 2, chunk_dim, error)
+ CALL CHECK(" H5Pset_chunk_f", error, total_error)
+ CALL H5Pset_nbit_f(dc, error)
+ CALL CHECK(" H5Pset_nbit_f", error, total_error)
+
+ ! Create the dataset
+ CALL H5Dcreate_f(file, "nbit_real", datatype, &
+ space, dataset, error, dc)
+ CALL CHECK(" H5Dcreate_f", error, total_error)
+
+ !----------------------------------------------------------------------
+ ! STEP 1: Test nbit by setting up a chunked dataset and writing
+ ! to it.
+ !----------------------------------------------------------------------
+ !
+ mem_type_id = h5kind_to_type(wp,H5_REAL_KIND)
+
+ f_ptr = C_LOC(orig_data(1,1))
+ CALL H5Dwrite_f(dataset, mem_type_id, f_ptr, error)
+ CALL CHECK(" H5Dwrite_f", error, total_error)
+
+ !----------------------------------------------------------------------
+ ! STEP 2: Try to read the data we just wrote.
+ !----------------------------------------------------------------------
+ !
+ f_ptr = C_LOC(new_data(1,1))
+ CALL H5Dread_f(dataset, mem_type_id, f_ptr, error)
+ CALL CHECK(" H5Dread_f", error, total_error)
+
+ ! Check that the values read are the same as the values written
+ ! Assume size of long long = size of double
+ !
+ i_loop: DO i = 1, dims(1)
+ j_loop: DO j = 1, dims(2)
+ IF(.NOT.(orig_data(i,j).EQ.orig_data(i,j))) CYCLE ! skip IF value is NaN
+ IF(new_data(i,j) .NE. orig_data(i,j))THEN
+ total_error = total_error + 1
+ WRITE(*,'(" Read different values than written.")')
+ WRITE(*,'(" At index ", 2(1X,I0))') i, j
+ EXIT i_loop
+ END IF
+ ENDDO j_loop
+ ENDDO i_loop
+
+ !----------------------------------------------------------------------
+ ! Cleanup
+ !----------------------------------------------------------------------
+ !
+ CALL H5Tclose_f(datatype, error)
+ CALL CHECK(" H5Tclose_f", error, total_error)
+ CALL H5Pclose_f(dc, error)
+ CALL CHECK(" H5Pclose_f", error, total_error)
+ CALL H5Sclose_f(space, error)
+ CALL CHECK(" H5Sclose_f", error, total_error)
+ CALL H5Dclose_f(dataset, error)
+ CALL CHECK(" H5Dclose_f", error, total_error)
+ CALL H5Fclose_f(file, error)
+ CALL CHECK(" H5Fclose_f", error, total_error)
+
+END SUBROUTINE test_nbit
+
diff --git a/fortran/testpar/hyper.f90 b/fortran/testpar/hyper.f90
index 1d65ae1..1a580ca 100644
--- a/fortran/testpar/hyper.f90
+++ b/fortran/testpar/hyper.f90
@@ -50,6 +50,7 @@ SUBROUTINE hyper(length,do_collective,do_chunk, mpi_size, mpi_rank, nerrors)
INTEGER :: icount ! number of elements in array
CHARACTER(len=80) :: filename ! filename
INTEGER :: i
+ INTEGER :: actual_io_mode ! The type of I/O performed by this process
!//////////////////////////////////////////////////////////
! initialize the array data between the processes (3)
@@ -180,6 +181,24 @@ SUBROUTINE hyper(length,do_collective,do_chunk, mpi_size, mpi_rank, nerrors)
CALL check("h5dwrite_f", hdferror, nerrors)
+ ! Check h5pget_mpio_actual_io_mode_f function
+ CALL h5pget_mpio_actual_io_mode_f(dxpl_id, actual_io_mode, hdferror)
+ CALL check("h5pget_mpio_actual_io_mode_f", hdferror, nerrors)
+
+ IF(do_collective.AND.do_chunk)THEN
+ IF(actual_io_mode.NE.H5D_MPIO_CHUNK_COLLECTIVE_F)THEN
+ CALL check("h5pget_mpio_actual_io_mode_f", -1, nerrors)
+ ENDIF
+ ELSEIF(.NOT.do_collective)THEN
+ IF(actual_io_mode.NE.H5D_MPIO_NO_COLLECTIVE_F)THEN
+ CALL check("h5pget_mpio_actual_io_mode_f", -1, nerrors)
+ ENDIF
+ ELSEIF( do_collective.AND.(.NOT.do_chunk))THEN
+ IF(actual_io_mode.NE.H5D_MPIO_CONTIG_COLLECTIVE_F)THEN
+ CALL check("h5pget_mpio_actual_io_mode_f", -1, nerrors)
+ ENDIF
+ ENDIF
+
!//////////////////////////////////////////////////////////
! close HDF5 I/O
!//////////////////////////////////////////////////////////
diff --git a/hl/CMakeLists.txt b/hl/CMakeLists.txt
index d1db6ca..e66329e 100644
--- a/hl/CMakeLists.txt
+++ b/hl/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_HL C CXX)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Shared Libs
#-----------------------------------------------------------------------------
IF (BUILD_SHARED_LIBS)
diff --git a/hl/c++/src/Makefile.in b/hl/c++/src/Makefile.in
index 9f5cad5..93e3942 100644
--- a/hl/c++/src/Makefile.in
+++ b/hl/c++/src/Makefile.in
@@ -458,7 +458,7 @@ CHECK_CLEANFILES = *.chkexe *.chklog *.clog
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
# Include src directory
diff --git a/hl/fortran/src/Makefile.in b/hl/fortran/src/Makefile.in
index 4443e72..d2e60b8 100644
--- a/hl/fortran/src/Makefile.in
+++ b/hl/fortran/src/Makefile.in
@@ -474,7 +474,7 @@ CHECK_CLEANFILES = *.chkexe *.chklog *.clog
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
INCLUDES = -I$(top_srcdir)/src -I$(top_srcdir)/hl/src -I$(top_builddir)/hl/src \
-I$(top_srcdir)/fortran/src -I$(top_builddir)/fortran/src
diff --git a/hl/src/H5LTanalyze.c b/hl/src/H5LTanalyze.c
index 767dfd7..5ec795b 100644
--- a/hl/src/H5LTanalyze.c
+++ b/hl/src/H5LTanalyze.c
@@ -38,7 +38,7 @@
#define yywrap H5LTyywrap
#line 20 "H5LTanalyze.c"
-/* A lexical scanner generated by flex */
+/* A lexical scanner generated by flex*/
/* Scanner skeleton version:
* $Header: /home/daffy/u0/vern/flex/RCS/flex.skl,v 2.91 96/09/10 16:58:48 vern Exp $
@@ -51,7 +51,7 @@
#include <stdio.h>
#ifdef H5_HAVE_UNISTD_H
#include <unistd.h>
-#endif
+#endif
/* cfront 1.2 defines "c_plusplus" instead of "__cplusplus" */
#ifdef c_plusplus
@@ -175,6 +175,15 @@ extern FILE *yyin, *yyout;
#define unput(c) yyunput( c, yytext_ptr )
+/* Some routines like yy_flex_realloc() are emitted as static but are
+ not called by all lexers. This generates warnings in some compilers,
+ notably GCC. Arrange to suppress these. */
+#ifdef __GNUC__
+#define YY_MAY_BE_UNUSED __attribute__((unused))
+#else
+#define YY_MAY_BE_UNUSED
+#endif
+
/* The following is because we cannot portably get our hands on size_t
* (without autoconf's help, which isn't available because we want
* flex-generated scanners to compile on their own).
@@ -281,7 +290,7 @@ YY_BUFFER_STATE yy_scan_string YY_PROTO(( yyconst char *yy_str ));
YY_BUFFER_STATE yy_scan_bytes YY_PROTO(( yyconst char *bytes, int len ));
static void *yy_flex_alloc YY_PROTO(( yy_size_t ));
-static void *yy_flex_realloc YY_PROTO(( void *, yy_size_t ));
+static void *yy_flex_realloc YY_PROTO(( void *, yy_size_t )) YY_MAY_BE_UNUSED;
static void yy_flex_free YY_PROTO(( void * ));
#define yy_new_buffer yy_create_buffer
@@ -849,10 +858,10 @@ hbool_t first_quote = 1;
/* For Lex and Yacc */
/*int input_len;
char *myinput;*/
-
+
#define TAG_STRING 1
-#line 834 "H5LTanalyze.c"
+#line 843 "H5LTanalyze.c"
/* Macros after this point can all be overridden by user definitions in
* section 1.
@@ -1006,7 +1015,7 @@ YY_DECL
#line 76 "H5LTanalyze.l"
-#line 988 "H5LTanalyze.c"
+#line 997 "H5LTanalyze.c"
if ( yy_init )
{
@@ -1288,17 +1297,17 @@ YY_RULE_SETUP
case 40:
YY_RULE_SETUP
#line 121 "H5LTanalyze.l"
-{return token(H5T_STR_NULLTERM_TOKEN);}
+{return token(H5T_STR_NULLTERM_TOKEN);}
YY_BREAK
case 41:
YY_RULE_SETUP
#line 122 "H5LTanalyze.l"
-{return token(H5T_STR_NULLPAD_TOKEN);}
+{return token(H5T_STR_NULLPAD_TOKEN);}
YY_BREAK
case 42:
YY_RULE_SETUP
#line 123 "H5LTanalyze.l"
-{return token(H5T_STR_SPACEPAD_TOKEN);}
+{return token(H5T_STR_SPACEPAD_TOKEN);}
YY_BREAK
case 43:
YY_RULE_SETUP
@@ -1363,12 +1372,12 @@ YY_RULE_SETUP
case 55:
YY_RULE_SETUP
#line 139 "H5LTanalyze.l"
-{
- if( is_str_size || (is_enum && is_enum_memb) ||
+{
+ if( is_str_size || (is_enum && is_enum_memb) ||
is_opq_size || (asindex>-1 && arr_stack[asindex].is_dim) ||
(csindex>-1 && cmpd_stack[csindex].is_field) ) {
H5LTyylval.ival = atoi(yytext);
- return NUMBER;
+ return NUMBER;
} else
REJECT;
}
@@ -1378,7 +1387,7 @@ YY_RULE_SETUP
#line 149 "H5LTanalyze.l"
{
/*if it's first quote, and is a compound field name or an enum symbol*/
- if((is_opq_tag || is_enum || (csindex>-1 && cmpd_stack[csindex].is_field))
+ if((is_opq_tag || is_enum || (csindex>-1 && cmpd_stack[csindex].is_field))
&& first_quote) {
first_quote = 0;
BEGIN TAG_STRING;
@@ -1441,7 +1450,7 @@ YY_RULE_SETUP
#line 174 "H5LTanalyze.l"
ECHO;
YY_BREAK
-#line 1423 "H5LTanalyze.c"
+#line 1432 "H5LTanalyze.c"
case YY_STATE_EOF(INITIAL):
case YY_STATE_EOF(TAG_STRING):
yyterminate();
@@ -2323,8 +2332,8 @@ int main()
int my_yyinput(char *buf, int max_size)
{
int ret;
-
- memcpy(buf, myinput, input_len);
+
+ memcpy(buf, myinput, input_len);
ret = input_len;
return ret;
}
@@ -2332,6 +2341,7 @@ int my_yyinput(char *buf, int max_size)
int H5LTyyerror(char *msg)
{
printf("ERROR: %s before \"%s\".\n", msg, yytext);
+ return 0;
}
int yywrap()
diff --git a/hl/src/H5LTanalyze.l b/hl/src/H5LTanalyze.l
index dbba15a..3f63f50 100644
--- a/hl/src/H5LTanalyze.l
+++ b/hl/src/H5LTanalyze.l
@@ -184,6 +184,7 @@ int my_yyinput(char *buf, int max_size)
int H5LTyyerror(char *msg)
{
printf("ERROR: %s before \"%s\".\n", msg, yytext);
+ return 0;
}
int yywrap()
diff --git a/hl/src/H5TB.c b/hl/src/H5TB.c
index 8a77f13..0b6305b 100644
--- a/hl/src/H5TB.c
+++ b/hl/src/H5TB.c
@@ -15,6 +15,7 @@
#include <stdlib.h>
#include <string.h>
+#include "H5private.h"
#include "H5LTprivate.h"
#include "H5TBprivate.h"
@@ -663,7 +664,7 @@ herr_t H5TBwrite_fields_index( hid_t loc_id,
hid_t m_sid=-1;
hid_t file_space_id=-1;
char *member_name;
- hsize_t i, j;
+ hsize_t i;
hid_t preserve_id;
size_t size_native;
@@ -688,14 +689,19 @@ herr_t H5TBwrite_fields_index( hid_t loc_id,
/* iterate tru the members */
for ( i = 0; i < nfields; i++)
{
+ unsigned j;
+
+ /* Range check value */
+ if(field_index[i] < 0)
+ goto out;
- j = field_index[i];
+ j = (unsigned)field_index[i];
/* get the member name */
- member_name = H5Tget_member_name( tid, (unsigned) j );
+ member_name = H5Tget_member_name( tid, j );
/* get the member type */
- if (( member_type_id = H5Tget_member_type( tid, (unsigned) j )) < 0)
+ if (( member_type_id = H5Tget_member_type( tid, j )) < 0)
goto out;
/* convert to native type */
@@ -1149,7 +1155,7 @@ herr_t H5TBread_fields_index( hid_t loc_id,
hid_t m_sid=-1;
hsize_t mem_size[1];
size_t size_native;
- hsize_t i, j;
+ hsize_t i;
/* open the dataset. */
if ((did = H5Dopen2(loc_id, dset_name, H5P_DEFAULT)) < 0)
@@ -1166,13 +1172,19 @@ herr_t H5TBread_fields_index( hid_t loc_id,
/* iterate tru the members */
for ( i = 0; i < nfields; i++)
{
- j = field_index[i];
+ unsigned j;
+
+ /* Range check */
+ if(field_index[i] < 0)
+ goto out;
+
+ j = (unsigned)field_index[i];
/* get the member name */
- member_name = H5Tget_member_name( tid, (unsigned) j );
+ member_name = H5Tget_member_name( tid, j );
/* get the member type */
- if (( member_type_id = H5Tget_member_type( tid, (unsigned) j )) < 0)
+ if (( member_type_id = H5Tget_member_type( tid, j )) < 0)
goto out;
/* get the member size */
@@ -1289,7 +1301,6 @@ herr_t H5TBdelete_record( hid_t loc_id,
hsize_t start,
hsize_t nrecords )
{
-
hsize_t nfields;
hsize_t ntotal_records;
hsize_t read_start;
@@ -1304,8 +1315,8 @@ herr_t H5TBdelete_record( hid_t loc_id,
hsize_t mem_size[1];
unsigned char *tmp_buf=NULL;
size_t src_size;
- size_t *src_offset;
- size_t *src_sizes;
+ size_t *src_offset = NULL;
+ size_t *src_sizes = NULL;
hsize_t dims[1];
/*-------------------------------------------------------------------------
@@ -1315,23 +1326,20 @@ herr_t H5TBdelete_record( hid_t loc_id,
/* get the number of records and fields */
if (H5TBget_table_info ( loc_id, dset_name, &nfields, &ntotal_records ) < 0)
- return -1;
-
- src_offset = (size_t *)malloc((size_t)nfields * sizeof(size_t));
- src_sizes = (size_t *)malloc((size_t)nfields * sizeof(size_t));
+ goto out;
- if (src_offset == NULL )
- return -1;
- if (src_sizes == NULL )
- return -1;
+ if(NULL == (src_offset = (size_t *)malloc((size_t)nfields * sizeof(size_t))))
+ goto out;
+ if(NULL == (src_sizes = (size_t *)malloc((size_t)nfields * sizeof(size_t))))
+ goto out;
/* get field info */
if (H5TBget_field_info( loc_id, dset_name, NULL, src_sizes, src_offset, &src_size ) < 0)
- return -1;
+ goto out;
/* open the dataset. */
if ((did = H5Dopen2(loc_id, dset_name, H5P_DEFAULT)) < 0)
- return -1;
+ goto out;
/*-------------------------------------------------------------------------
* read the records after the deleted one(s)
@@ -1343,14 +1351,12 @@ herr_t H5TBdelete_record( hid_t loc_id,
if ( read_nrecords )
{
- tmp_buf = (unsigned char *)calloc((size_t) read_nrecords, src_size );
-
- if (tmp_buf == NULL )
- return -1;
+ if(NULL == (tmp_buf = (unsigned char *)calloc((size_t) read_nrecords, src_size )))
+ goto out;
/* read the records after the deleted one(s) */
if (H5TBread_records( loc_id, dset_name, read_start, read_nrecords, src_size, src_offset, src_sizes, tmp_buf ) < 0)
- return -1;
+ goto out;
/*-------------------------------------------------------------------------
* write the records in another position
@@ -1406,31 +1412,34 @@ herr_t H5TBdelete_record( hid_t loc_id,
/* close dataset */
if (H5Dclose( did ) < 0)
- return -1;
-
- if (tmp_buf !=NULL)
- free( tmp_buf );
- free( src_offset );
- free( src_sizes );
+ goto out;
+ if(tmp_buf)
+ free(tmp_buf);
+ if(src_offset)
+ free(src_offset);
+ if(src_sizes)
+ free(src_sizes);
return 0;
/* error zone */
out:
-
- if (tmp_buf !=NULL )
- free( tmp_buf );
+ if(tmp_buf)
+ free(tmp_buf);
+ if(src_offset)
+ free(src_offset);
+ if(src_sizes)
+ free(src_sizes);
H5E_BEGIN_TRY
{
H5Tclose(mem_type_id);
H5Dclose(did);
H5Tclose(tid);
H5Sclose(sid);
+ H5Sclose(m_sid);
} H5E_END_TRY;
return -1;
-
-
}
/*-------------------------------------------------------------------------
@@ -1629,10 +1638,10 @@ herr_t H5TBadd_records_from( hid_t loc_id,
hsize_t mem_size[1];
hsize_t nfields;
hsize_t ntotal_records;
- unsigned char *tmp_buf;
+ unsigned char *tmp_buf = NULL;
size_t src_size;
- size_t *src_offset;
- size_t *src_sizes;
+ size_t *src_offset = NULL;
+ size_t *src_sizes = NULL;
/*-------------------------------------------------------------------------
* first we get information about type size and offsets on disk
@@ -1641,17 +1650,16 @@ herr_t H5TBadd_records_from( hid_t loc_id,
/* get the number of records and fields */
if (H5TBget_table_info ( loc_id, dset_name1, &nfields, &ntotal_records ) < 0)
- return -1;
-
- src_offset = (size_t *)malloc((size_t)nfields * sizeof(size_t));
- src_sizes = (size_t *)malloc((size_t)nfields * sizeof(size_t));
+ goto out;
- if (src_offset == NULL )
- return -1;
+ if(NULL == (src_offset = (size_t *)malloc((size_t)nfields * sizeof(size_t))))
+ goto out;
+ if(NULL == (src_sizes = (size_t *)malloc((size_t)nfields * sizeof(size_t))))
+ goto out;
/* get field info */
if (H5TBget_field_info( loc_id, dset_name1, NULL, src_sizes, src_offset, &src_size ) < 0)
- return -1;
+ goto out;
/*-------------------------------------------------------------------------
* Get information about the first table and read it
@@ -1660,7 +1668,7 @@ herr_t H5TBadd_records_from( hid_t loc_id,
/* open the 1st dataset. */
if ((did_1 = H5Dopen2(loc_id, dset_name1, H5P_DEFAULT)) < 0)
- return -1;
+ goto out;
/* get the datatype */
if ((tid_1 = H5Dget_type( did_1 )) < 0)
@@ -1674,7 +1682,8 @@ herr_t H5TBadd_records_from( hid_t loc_id,
if (( type_size1 = H5Tget_size( tid_1 )) == 0 )
goto out;
- tmp_buf = (unsigned char *)calloc((size_t)nrecords, type_size1 );
+ if(NULL == (tmp_buf = (unsigned char *)calloc((size_t)nrecords, type_size1 )))
+ goto out;
/* define a hyperslab in the dataset of the size of the records */
offset[0] = start1;
@@ -1707,18 +1716,27 @@ herr_t H5TBadd_records_from( hid_t loc_id,
if (H5Sclose( sid_1 ) < 0)
goto out;
if (H5Tclose( tid_1 ) < 0)
- return -1;
+ goto out;
if (H5Dclose( did_1 ) < 0)
- return -1;
+ goto out;
- free( tmp_buf );
- free( src_offset );
- free( src_sizes );
+ if(tmp_buf)
+ free(tmp_buf);
+ if(src_offset)
+ free(src_offset);
+ if(src_sizes)
+ free(src_sizes);
return 0;
/* error zone */
out:
+ if(tmp_buf)
+ free(tmp_buf);
+ if(src_offset)
+ free(src_offset);
+ if(src_sizes)
+ free(src_sizes);
H5E_BEGIN_TRY
{
H5Dclose(did_1);
@@ -1748,50 +1766,50 @@ out:
*
*-------------------------------------------------------------------------
*/
-herr_t H5TBcombine_tables( hid_t loc_id1,
+herr_t H5TBcombine_tables(hid_t loc_id1,
const char *dset_name1,
hid_t loc_id2,
const char *dset_name2,
- const char *dset_name3 )
+ const char *dset_name3)
{
-
/* identifiers for the 1st dataset. */
- hid_t did_1=-1;
- hid_t tid_1=-1;
- hid_t sid_1=-1;
- hid_t pid_1=-1;
+ hid_t did_1 = H5I_BADID;
+ hid_t tid_1 = H5I_BADID;
+ hid_t sid_1 = H5I_BADID;
+ hid_t pid_1 = H5I_BADID;
/* identifiers for the 2nd dataset. */
- hid_t did_2=-1;
- hid_t tid_2=-1;
- hid_t sid_2=-1;
- hid_t pid_2=-1;
+ hid_t did_2 = H5I_BADID;
+ hid_t tid_2 = H5I_BADID;
+ hid_t sid_2 = H5I_BADID;
+ hid_t pid_2 = H5I_BADID;
/* identifiers for the 3rd dataset. */
- hid_t did_3=-1;
- hid_t tid_3=-1;
- hid_t sid_3=-1;
- hid_t pid_3=-1;
+ hid_t did_3 = H5I_BADID;
+ hid_t tid_3 = H5I_BADID;
+ hid_t sid_3 = H5I_BADID;
+ hid_t pid_3 = H5I_BADID;
+ hid_t sid = H5I_BADID;
+ hid_t m_sid = H5I_BADID;
+ hid_t member_type_id = H5I_BADID;
+ hid_t attr_id = H5I_BADID;
hsize_t count[1];
hsize_t offset[1];
- hid_t m_sid;
hsize_t mem_size[1];
hsize_t nfields;
hsize_t nrecords;
hsize_t dims[1];
- hsize_t maxdims[1] = { H5S_UNLIMITED };
+ hsize_t maxdims[1] = {H5S_UNLIMITED};
+ hsize_t i;
size_t type_size;
- hid_t sid;
- hid_t member_type_id;
size_t member_offset;
+ size_t src_size;
+ size_t *src_offset = NULL;
+ size_t *src_sizes = NULL;
char attr_name[255];
- hid_t attr_id;
char aux[255];
- unsigned char *tmp_buf;
- unsigned char *tmp_fill_buf;
- hsize_t i;
- size_t src_size;
- size_t *src_offset;
- size_t *src_sizes;
- int has_fill=0;
+ unsigned char *tmp_buf = NULL;
+ unsigned char *tmp_fill_buf = NULL;
+ htri_t has_fill;
+ int ret_val = -1;
/*-------------------------------------------------------------------------
* first we get information about type size and offsets on disk
@@ -1799,18 +1817,17 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*/
/* get the number of records and fields */
- if (H5TBget_table_info ( loc_id1, dset_name1, &nfields, &nrecords ) < 0)
- return -1;
-
- src_offset = (size_t *)malloc((size_t)nfields * sizeof(size_t));
- src_sizes = (size_t *)malloc((size_t)nfields * sizeof(size_t));
+ if(H5TBget_table_info(loc_id1, dset_name1, &nfields, &nrecords) < 0)
+ goto out;
- if (src_offset == NULL )
- return -1;
+ if(NULL == (src_offset = (size_t *)HDmalloc((size_t)nfields * sizeof(size_t))))
+ goto out;
+ if(NULL == (src_sizes = (size_t *)HDmalloc((size_t)nfields * sizeof(size_t))))
+ goto out;
/* get field info */
- if (H5TBget_field_info( loc_id1, dset_name1, NULL, src_sizes, src_offset, &src_size ) < 0)
- return -1;
+ if(H5TBget_field_info(loc_id1, dset_name1, NULL, src_sizes, src_offset, &src_size) < 0)
+ goto out;
/*-------------------------------------------------------------------------
* get information about the first table
@@ -1818,24 +1835,24 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*/
/* open the 1st dataset. */
- if ((did_1 = H5Dopen2(loc_id1, dset_name1, H5P_DEFAULT)) < 0)
+ if((did_1 = H5Dopen2(loc_id1, dset_name1, H5P_DEFAULT)) < 0)
goto out;
/* get the datatype */
- if ((tid_1 = H5Dget_type( did_1 )) < 0)
+ if((tid_1 = H5Dget_type(did_1)) < 0)
goto out;
/* get the dataspace handle */
- if ((sid_1 = H5Dget_space( did_1 )) < 0)
+ if((sid_1 = H5Dget_space(did_1)) < 0)
goto out;
/* get creation properties list */
- if ((pid_1 = H5Dget_create_plist( did_1 )) < 0)
+ if((pid_1 = H5Dget_create_plist(did_1)) < 0)
goto out;
/* get the dimensions */
- if (H5TBget_table_info ( loc_id1, dset_name1, &nfields, &nrecords ) < 0)
- return -1;
+ if(H5TBget_table_info(loc_id1, dset_name1, &nfields, &nrecords) < 0)
+ goto out;
/*-------------------------------------------------------------------------
* make the merged table with no data originally
@@ -1843,11 +1860,11 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*/
/* clone the property list */
- if ((pid_3 = H5Pcopy(pid_1)) < 0)
+ if((pid_3 = H5Pcopy(pid_1)) < 0)
goto out;
/* clone the type id */
- if ((tid_3 = H5Tcopy(tid_1)) < 0)
+ if((tid_3 = H5Tcopy(tid_1)) < 0)
goto out;
/*-------------------------------------------------------------------------
@@ -1858,96 +1875,97 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
dims[0] = 0;
/* create a simple data space with unlimited size */
- if ((sid_3 = H5Screate_simple(1, dims, maxdims)) < 0)
- return -1;
+ if((sid_3 = H5Screate_simple(1, dims, maxdims)) < 0)
+ goto out;
/* create the dataset */
- if ((did_3 = H5Dcreate2(loc_id1, dset_name3, tid_3, sid_3, H5P_DEFAULT, pid_3, H5P_DEFAULT)) < 0)
+ if((did_3 = H5Dcreate2(loc_id1, dset_name3, tid_3, sid_3, H5P_DEFAULT, pid_3, H5P_DEFAULT)) < 0)
goto out;
/*-------------------------------------------------------------------------
* attach the conforming table attributes
*-------------------------------------------------------------------------
*/
- if (H5TB_attach_attributes("Merge table", loc_id1, dset_name3, nfields, tid_3) < 0)
+ if(H5TB_attach_attributes("Merge table", loc_id1, dset_name3, nfields, tid_3) < 0)
goto out;
/*-------------------------------------------------------------------------
* get attributes
*-------------------------------------------------------------------------
*/
-
type_size = H5Tget_size(tid_3);
/* alloc fill value attribute buffer */
- tmp_fill_buf = (unsigned char *)malloc(type_size);
+ if(NULL == (tmp_fill_buf = (unsigned char *)HDmalloc(type_size)))
+ goto out;
/* get the fill value attributes */
- has_fill = H5TBAget_fill(loc_id1, dset_name1, did_1, tmp_fill_buf);
+ if((has_fill = H5TBAget_fill(loc_id1, dset_name1, did_1, tmp_fill_buf)) < 0)
+ goto out;
/*-------------------------------------------------------------------------
* attach the fill attributes from previous table
*-------------------------------------------------------------------------
*/
- if (has_fill == 1 )
- {
+ if(has_fill) {
- if (( sid = H5Screate(H5S_SCALAR)) < 0)
+ if((sid = H5Screate(H5S_SCALAR)) < 0)
goto out;
- for ( i = 0; i < nfields; i++)
- {
-
+ for(i = 0; i < nfields; i++) {
/* get the member type */
- if (( member_type_id = H5Tget_member_type( tid_3, (unsigned) i )) < 0)
+ if((member_type_id = H5Tget_member_type(tid_3, (unsigned)i)) < 0)
goto out;
/* get the member offset */
member_offset = H5Tget_member_offset(tid_3, (unsigned)i);
- strcpy(attr_name, "FIELD_");
- sprintf(aux, "%d", (int)i);
- strcat(attr_name, aux);
- sprintf(aux, "%s", "_FILL");
- strcat(attr_name, aux);
+ HDstrncpy(attr_name, "FIELD_", 6);
+ HDsnprintf(aux, 12, "%d", (int)i);
+ HDstrncat(attr_name, aux, 12);
+ HDsnprintf(aux, 6, "%s", "_FILL");
+ HDstrncat(attr_name, aux, 7);
- if ((attr_id = H5Acreate2(did_3, attr_name, member_type_id, sid, H5P_DEFAULT, H5P_DEFAULT)) < 0)
+ if((attr_id = H5Acreate2(did_3, attr_name, member_type_id, sid, H5P_DEFAULT, H5P_DEFAULT)) < 0)
goto out;
- if (H5Awrite(attr_id, member_type_id, tmp_fill_buf+member_offset) < 0)
+ if(H5Awrite(attr_id, member_type_id, tmp_fill_buf + member_offset) < 0)
goto out;
- if (H5Aclose(attr_id) < 0)
+ if(H5Aclose(attr_id) < 0)
goto out;
+ attr_id = H5I_BADID;
- if (H5Tclose(member_type_id) < 0)
+ if(H5Tclose(member_type_id) < 0)
goto out;
+ member_type_id = H5I_BADID;
}
/* close data space. */
- if (H5Sclose( sid ) < 0)
+ if(H5Sclose(sid) < 0)
goto out;
+ sid = H5I_BADID;
}
/*-------------------------------------------------------------------------
* read data from 1st table
*-------------------------------------------------------------------------
*/
-
- tmp_buf = (unsigned char *)calloc((size_t) nrecords, type_size );
+ if(NULL == (tmp_buf = (unsigned char *)HDcalloc((size_t)nrecords, type_size)))
+ goto out;
/* define a hyperslab in the dataset of the size of the records */
offset[0] = 0;
count[0] = nrecords;
- if (H5Sselect_hyperslab( sid_1, H5S_SELECT_SET, offset, NULL, count, NULL) < 0)
+ if(H5Sselect_hyperslab(sid_1, H5S_SELECT_SET, offset, NULL, count, NULL) < 0)
goto out;
/* create a memory dataspace handle */
mem_size[0] = count[0];
- if ((m_sid = H5Screate_simple( 1, mem_size, NULL )) < 0)
+ if((m_sid = H5Screate_simple(1, mem_size, NULL)) < 0)
goto out;
- if (H5Dread( did_1, tid_1, m_sid, sid_1, H5P_DEFAULT, tmp_buf ) < 0)
+ if(H5Dread(did_1, tid_1, m_sid, sid_1, H5P_DEFAULT, tmp_buf) < 0)
goto out;
/*-------------------------------------------------------------------------
@@ -1956,27 +1974,32 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*/
/* append the records to the new table */
- if (H5TBappend_records( loc_id1, dset_name3, nrecords, src_size, src_offset, src_sizes, tmp_buf ) < 0)
+ if(H5TBappend_records(loc_id1, dset_name3, nrecords, src_size, src_offset, src_sizes, tmp_buf) < 0)
goto out;
/*-------------------------------------------------------------------------
* release resources from 1st table
*-------------------------------------------------------------------------
*/
-
- if (H5Sclose( m_sid ) < 0)
+ if(H5Sclose(m_sid) < 0)
goto out;
- if(H5Sclose( sid_1 ) < 0)
+ m_sid = H5I_BADID;
+ if(H5Sclose(sid_1) < 0)
goto out;
- if(H5Tclose( tid_1 ) < 0)
+ sid_1 = H5I_BADID;
+ if(H5Tclose(tid_1) < 0)
goto out;
- if(H5Pclose( pid_1 ) < 0)
+ tid_1 = H5I_BADID;
+ if(H5Pclose(pid_1) < 0)
goto out;
- if(H5Dclose( did_1 ) < 0)
+ pid_1 = H5I_BADID;
+ if(H5Dclose(did_1) < 0)
goto out;
+ did_1 = H5I_BADID;
/* Release resources. */
- free( tmp_buf );
+ free(tmp_buf);
+ tmp_buf = NULL;
/*-------------------------------------------------------------------------
* get information about the 2nd table
@@ -1984,44 +2007,45 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*/
/* open the dataset. */
- if ((did_2 = H5Dopen2(loc_id2, dset_name2, H5P_DEFAULT)) < 0)
+ if((did_2 = H5Dopen2(loc_id2, dset_name2, H5P_DEFAULT)) < 0)
goto out;
/* get the datatype */
- if ((tid_2 = H5Dget_type( did_2 )) < 0)
+ if((tid_2 = H5Dget_type(did_2)) < 0)
goto out;
/* get the dataspace handle */
- if ((sid_2 = H5Dget_space( did_2 )) < 0)
+ if((sid_2 = H5Dget_space(did_2)) < 0)
goto out;
/* get the property list handle */
- if ((pid_2 = H5Dget_create_plist( did_2 )) < 0)
+ if((pid_2 = H5Dget_create_plist(did_2)) < 0)
goto out;
/* get the dimensions */
- if (H5TBget_table_info ( loc_id2, dset_name2, &nfields, &nrecords ) < 0)
- return -1;
+ if(H5TBget_table_info(loc_id2, dset_name2, &nfields, &nrecords) < 0)
+ goto out;
/*-------------------------------------------------------------------------
* read data from 2nd table
*-------------------------------------------------------------------------
*/
- tmp_buf = (unsigned char *)calloc((size_t) nrecords, type_size );
+ if(NULL == (tmp_buf = (unsigned char *)HDcalloc((size_t)nrecords, type_size)))
+ goto out;
/* define a hyperslab in the dataset of the size of the records */
offset[0] = 0;
count[0] = nrecords;
- if (H5Sselect_hyperslab( sid_2, H5S_SELECT_SET, offset, NULL, count, NULL) < 0)
+ if(H5Sselect_hyperslab(sid_2, H5S_SELECT_SET, offset, NULL, count, NULL) < 0)
goto out;
/* create a memory dataspace handle */
mem_size[0] = count[0];
- if ((m_sid = H5Screate_simple( 1, mem_size, NULL )) < 0)
+ if((m_sid = H5Screate_simple(1, mem_size, NULL)) < 0)
goto out;
- if (H5Dread( did_2, tid_2, m_sid, sid_2, H5P_DEFAULT, tmp_buf ) < 0)
+ if(H5Dread(did_2, tid_2, m_sid, sid_2, H5P_DEFAULT, tmp_buf) < 0)
goto out;
/*-------------------------------------------------------------------------
@@ -2030,7 +2054,7 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*/
/* append the records to the new table */
- if (H5TBappend_records( loc_id1, dset_name3, nrecords, src_size, src_offset, src_sizes, tmp_buf ) < 0)
+ if(H5TBappend_records(loc_id1, dset_name3, nrecords, src_size, src_offset, src_sizes, tmp_buf) < 0)
goto out;
/*-------------------------------------------------------------------------
@@ -2038,58 +2062,88 @@ herr_t H5TBcombine_tables( hid_t loc_id1,
*-------------------------------------------------------------------------
*/
- if (H5Sclose( m_sid ) < 0)
+ if(H5Sclose(m_sid) < 0)
goto out;
- if (H5Sclose( sid_2 ) < 0)
+ m_sid = H5I_BADID;
+ if(H5Sclose(sid_2) < 0)
goto out;
- if (H5Tclose( tid_2 ) < 0)
- return -1;
- if (H5Pclose( pid_2 ) < 0)
+ sid_2 = H5I_BADID;
+ if(H5Tclose(tid_2) < 0)
goto out;
- if (H5Dclose( did_2 ) < 0)
- return -1;
+ tid_2 = H5I_BADID;
+ if(H5Pclose(pid_2) < 0)
+ goto out;
+ pid_2 = H5I_BADID;
+ if(H5Dclose(did_2) < 0)
+ goto out;
+ did_2 = H5I_BADID;
/*-------------------------------------------------------------------------
* release resources from 3rd table
*-------------------------------------------------------------------------
*/
- if (H5Sclose( sid_3 ) < 0)
- return -1;
- if (H5Tclose( tid_3 ) < 0)
- return -1;
- if (H5Pclose( pid_3 ) < 0)
- return -1;
- if (H5Dclose( did_3 ) < 0)
- return -1;
-
- /* Release resources. */
- free( tmp_buf );
- free( tmp_fill_buf );
- free( src_offset );
- free( src_sizes );
+ if(H5Sclose(sid_3) < 0)
+ goto out;
+ sid_3 = H5I_BADID;
+ if(H5Tclose(tid_3) < 0)
+ goto out;
+ tid_3 = H5I_BADID;
+ if(H5Pclose(pid_3) < 0)
+ goto out;
+ pid_3 = H5I_BADID;
+ if(H5Dclose(did_3) < 0)
+ goto out;
+ did_3 = H5I_BADID;
- return 0;
+ ret_val = 0;
- /* error zone */
out:
- H5E_BEGIN_TRY
- {
- H5Dclose(did_1);
- H5Sclose(sid_1);
- H5Tclose(tid_1);
- H5Pclose(pid_1);
- H5Dclose(did_2);
- H5Sclose(sid_2);
- H5Tclose(tid_2);
- H5Pclose(pid_2);
- H5Dclose(did_3);
- H5Sclose(sid_3);
- H5Tclose(tid_3);
- H5Pclose(pid_3);
+ if(tmp_buf)
+ free(tmp_buf);
+ if(tmp_fill_buf)
+ free(tmp_fill_buf);
+ if(src_offset)
+ free(src_offset);
+ if(src_sizes)
+ free(src_sizes);
+
+ H5E_BEGIN_TRY {
+ if(member_type_id > 0)
+ H5Tclose(member_type_id);
+ if(attr_id > 0)
+ H5Aclose(attr_id);
+ if(sid > 0)
+ H5Sclose(sid);
+ if(m_sid > 0)
+ H5Sclose(m_sid);
+ if(pid_1 > 0)
+ H5Pclose(pid_1);
+ if(tid_1 > 0)
+ H5Tclose(tid_1);
+ if(sid_1 > 0)
+ H5Sclose(sid_1);
+ if(did_1 > 0)
+ H5Dclose(did_1);
+ if(pid_2 > 0)
+ H5Pclose(pid_2);
+ if(tid_2 > 0)
+ H5Tclose(tid_2);
+ if(sid_2 > 0)
+ H5Sclose(sid_2);
+ if(did_2 > 0)
+ H5Dclose(did_2);
+ if(pid_3 > 0)
+ H5Pclose(pid_3);
+ if(tid_3 > 0)
+ H5Tclose(tid_3);
+ if(sid_3 > 0)
+ H5Sclose(sid_3);
+ if(did_3 > 0)
+ H5Dclose(did_3);
} H5E_END_TRY;
- return -1;
+ return ret_val;
}
/*-------------------------------------------------------------------------
@@ -2581,7 +2635,7 @@ herr_t H5TBdelete_field( hid_t loc_id,
size_t member_offset;
hid_t attr_id;
hsize_t i;
- int has_fill=0;
+ htri_t has_fill = 0;
/* get the number of records and fields */
if (H5TBget_table_info ( loc_id, dset_name, &nfields, &nrecords ) < 0)
@@ -3017,7 +3071,7 @@ herr_t H5TBAget_title( hid_t loc_id,
*
* Purpose: Read the table attribute fill values
*
-* Return: Success: 0, Failure: -1
+* Return: Success: TRUE/FALSE, Failure: -1
*
* Programmer: Pedro Vicente, pvn@ncsa.uiuc.edu
*
@@ -3029,12 +3083,10 @@ herr_t H5TBAget_title( hid_t loc_id,
*
*-------------------------------------------------------------------------
*/
-
-
-herr_t H5TBAget_fill( hid_t loc_id,
+htri_t H5TBAget_fill(hid_t loc_id,
const char *dset_name,
hid_t dset_id,
- unsigned char *dst_buf )
+ unsigned char *dst_buf)
{
hsize_t nfields;
@@ -3149,9 +3201,7 @@ herr_t H5TBget_table_info ( hid_t loc_id,
*/
if (nfields)
- {
- *nfields = num_members;
- }
+ *nfields = (hsize_t)num_members;
/*-------------------------------------------------------------------------
@@ -3331,19 +3381,19 @@ int H5TB_find_field( const char *field, const char *field_list )
const char *start = field_list;
const char *end;
- while ( (end = strstr( start, "," )) != 0 )
+ while ( (end = HDstrstr( start, "," )) != 0 )
{
- size_t count = end - start;
- if(strncmp(start, field, count) == 0 && count == strlen(field) )
+ ptrdiff_t count = end - start;
+
+ if(HDstrncmp(start, field, (size_t)count) == 0 && (size_t)count == HDstrlen(field) )
return 1;
start = end + 1;
}
- if(strcmp( start, field ) == 0 )
+ if(HDstrcmp( start, field ) == 0 )
return 1;
return -1;
-
}
diff --git a/hl/src/H5TBpublic.h b/hl/src/H5TBpublic.h
index 4dd17bb..874ef20 100644
--- a/hl/src/H5TBpublic.h
+++ b/hl/src/H5TBpublic.h
@@ -219,10 +219,10 @@ H5_HLDLL herr_t H5TBdelete_field( hid_t loc_id,
H5_HLDLL herr_t H5TBAget_title( hid_t loc_id,
char *table_title );
-H5_HLDLL herr_t H5TBAget_fill( hid_t loc_id,
+H5_HLDLL htri_t H5TBAget_fill(hid_t loc_id,
const char *dset_name,
hid_t dset_id,
- unsigned char *dst_buf );
+ unsigned char *dst_buf);
#ifdef __cplusplus
}
diff --git a/hl/src/Makefile.in b/hl/src/Makefile.in
index 5da7bd2..ba9c2ad 100644
--- a/hl/src/Makefile.in
+++ b/hl/src/Makefile.in
@@ -457,7 +457,7 @@ CHECK_CLEANFILES = *.chkexe *.chklog *.clog
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
# This library is our main target.
diff --git a/hl/test/test_image.c b/hl/test/test_image.c
index 4cef1b4..a4a10e4 100644
--- a/hl/test/test_image.c
+++ b/hl/test/test_image.c
@@ -676,7 +676,7 @@ static int test_generate(void)
goto out;
/* Indicate success */
- retval = 0;
+ return 0;
/* error zone, gracefully close */
out:
diff --git a/hl/test/test_lite.c b/hl/test/test_lite.c
index 3fface8..a611088 100644
--- a/hl/test/test_lite.c
+++ b/hl/test/test_lite.c
@@ -2152,9 +2152,12 @@ int main( void )
/* test attribute functions */
nerrors += test_attr();
- /* test text-dtype functions */
+ /* test valid path functions */
nerrors += test_valid_path();
+ /* test text-dtype functions */
+ nerrors += test_text_dtype();
+
/* check for errors */
if (nerrors)
goto error;
diff --git a/perform/CMakeLists.txt b/perform/CMakeLists.txt
index 421caba..0a34677 100644
--- a/perform/CMakeLists.txt
+++ b/perform/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_PERFORM )
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Setup include Directories
#-----------------------------------------------------------------------------
INCLUDE_DIRECTORIES (${HDF5_TEST_SRC_DIR})
@@ -119,17 +124,6 @@ IF (H5_HAVE_PARALLEL)
TARGET_NAMING (benchpar ${LIB_TYPE})
TARGET_LINK_LIBRARIES (benchpar ${HDF5_LIB_TARGET} ${HDF5_TOOLS_LIB_TARGET} ${HDF5_TEST_LIB_TARGET})
SET_TARGET_PROPERTIES (benchpar PROPERTIES FOLDER perform)
-
- #-- Adding test for mpi-perf
- IF (NOT WIN32)
- SET (mpi-perf_SRCS
- ${HDF5_PERFORM_SOURCE_DIR}/mpi-perf.c
- )
- ADD_EXECUTABLE (mpi-perf ${mpi-perf_SRCS})
- TARGET_NAMING (mpi-perf ${LIB_TYPE})
- TARGET_LINK_LIBRARIES (mpi-perf ${HDF5_LIB_TARGET} ${HDF5_TOOLS_LIB_TARGET} ${HDF5_TEST_LIB_TARGET})
- SET_TARGET_PROPERTIES (mpi-perf PROPERTIES FOLDER perform)
- ENDIF (NOT WIN32)
ENDIF (HDF5_BUILD_PARALLEL_ALL)
ENDIF (H5_HAVE_PARALLEL)
@@ -192,9 +186,5 @@ IF (H5_HAVE_PARALLEL)
IF (HDF5_BUILD_PARALLEL_ALL)
ADD_TEST (NAME PERFORM_benchpar COMMAND ${MPIEXEC} ${MPIEXEC_PREFLAGS} ${MPIEXEC_NUMPROC_FLAG} ${MPIEXEC_MAX_NUMPROCS} ${MPIEXEC_POSTFLAGS} $<TARGET_FILE:benchpar>)
-
- IF (NOT WIN32)
- ADD_TEST (NAME PERFORM_mpi-perf COMMAND ${MPIEXEC} ${MPIEXEC_PREFLAGS} ${MPIEXEC_NUMPROC_FLAG} ${MPIEXEC_MAX_NUMPROCS} ${MPIEXEC_POSTFLAGS} $<TARGET_FILE:mpi-perf>)
- ENDIF (NOT WIN32)
ENDIF (HDF5_BUILD_PARALLEL_ALL)
ENDIF (H5_HAVE_PARALLEL)
diff --git a/perform/Makefile.am b/perform/Makefile.am
index c4720f8..6fe32cf 100644
--- a/perform/Makefile.am
+++ b/perform/Makefile.am
@@ -37,8 +37,9 @@ h5perf_serial_LDFLAGS = $(LT_STATIC_EXEC) $(AM_LDFLAGS)
# Some programs are not built or run by default, but can be built by hand or by
# specifying --enable-build-all at configure time.
# Also, some of these programs should only be built in parallel.
+# Currently there is no such program.
if BUILD_PARALLEL_CONDITIONAL
- PARA_BUILD_ALL=benchpar mpi-perf
+ PARA_BUILD_ALL=
endif
if BUILD_ALL_CONDITIONAL
BUILD_ALL_PROGS=$(PARA_BUILD_ALL)
diff --git a/perform/Makefile.in b/perform/Makefile.in
index 1f9cfd6..a66a5a8 100644
--- a/perform/Makefile.in
+++ b/perform/Makefile.in
@@ -90,22 +90,17 @@ CONFIG_HEADER = $(top_builddir)/src/H5config.h
CONFIG_CLEAN_FILES =
CONFIG_CLEAN_VPATH_FILES =
am__installdirs = "$(DESTDIR)$(bindir)"
-@BUILD_PARALLEL_CONDITIONAL_TRUE@am__EXEEXT_1 = benchpar$(EXEEXT) \
-@BUILD_PARALLEL_CONDITIONAL_TRUE@ mpi-perf$(EXEEXT)
+am__EXEEXT_1 =
@BUILD_ALL_CONDITIONAL_TRUE@am__EXEEXT_2 = $(am__EXEEXT_1)
PROGRAMS = $(bin_PROGRAMS)
-benchpar_SOURCES = benchpar.c
-benchpar_OBJECTS = benchpar.$(OBJEXT)
-benchpar_LDADD = $(LDADD)
-benchpar_DEPENDENCIES = $(LIBHDF5)
-AM_V_lt = $(am__v_lt_@AM_V@)
-am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
-am__v_lt_0 = --silent
-am__v_lt_1 =
chunk_SOURCES = chunk.c
chunk_OBJECTS = chunk.$(OBJEXT)
chunk_LDADD = $(LDADD)
chunk_DEPENDENCIES = $(LIBHDF5)
+AM_V_lt = $(am__v_lt_@AM_V@)
+am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
+am__v_lt_0 = --silent
+am__v_lt_1 =
dectris_perf_SOURCES = dectris_perf.c
dectris_perf_OBJECTS = dectris_perf.$(OBJEXT)
dectris_perf_DEPENDENCIES = $(LIBH5TEST) $(LIBHDF5)
@@ -126,10 +121,6 @@ h5perf_serial_LINK = $(LIBTOOL) $(AM_V_lt) --tag=CC $(AM_LIBTOOLFLAGS) \
iopipe_SOURCES = iopipe.c
iopipe_OBJECTS = iopipe.$(OBJEXT)
iopipe_DEPENDENCIES = $(LIBH5TEST) $(LIBHDF5)
-mpi_perf_SOURCES = mpi-perf.c
-mpi_perf_OBJECTS = mpi-perf.$(OBJEXT)
-mpi_perf_LDADD = $(LDADD)
-mpi_perf_DEPENDENCIES = $(LIBHDF5)
overhead_SOURCES = overhead.c
overhead_OBJECTS = overhead.$(OBJEXT)
overhead_LDADD = $(LDADD)
@@ -177,11 +168,11 @@ AM_V_CCLD = $(am__v_CCLD_@AM_V@)
am__v_CCLD_ = $(am__v_CCLD_@AM_DEFAULT_V@)
am__v_CCLD_0 = @echo " CCLD " $@;
am__v_CCLD_1 =
-SOURCES = benchpar.c chunk.c dectris_perf.c $(h5perf_SOURCES) \
- $(h5perf_serial_SOURCES) iopipe.c mpi-perf.c overhead.c perf.c \
+SOURCES = chunk.c dectris_perf.c $(h5perf_SOURCES) \
+ $(h5perf_serial_SOURCES) iopipe.c overhead.c perf.c \
perf_meta.c zip_perf.c
-DIST_SOURCES = benchpar.c chunk.c dectris_perf.c $(h5perf_SOURCES) \
- $(h5perf_serial_SOURCES) iopipe.c mpi-perf.c overhead.c perf.c \
+DIST_SOURCES = chunk.c dectris_perf.c $(h5perf_SOURCES) \
+ $(h5perf_serial_SOURCES) iopipe.c overhead.c perf.c \
perf_meta.c zip_perf.c
am__can_run_installinfo = \
case $$AM_UPDATE_INFO_DIR in \
@@ -485,7 +476,8 @@ h5perf_serial_LDFLAGS = $(LT_STATIC_EXEC) $(AM_LDFLAGS)
# Some programs are not built or run by default, but can be built by hand or by
# specifying --enable-build-all at configure time.
# Also, some of these programs should only be built in parallel.
-@BUILD_PARALLEL_CONDITIONAL_TRUE@PARA_BUILD_ALL = benchpar mpi-perf
+# Currently there is no such program.
+@BUILD_PARALLEL_CONDITIONAL_TRUE@PARA_BUILD_ALL =
@BUILD_ALL_CONDITIONAL_TRUE@BUILD_ALL_PROGS = $(PARA_BUILD_ALL)
# Define programs that will be run in 'make check'
@@ -621,9 +613,6 @@ clean-checkPROGRAMS:
list=`for p in $$list; do echo "$$p"; done | sed 's/$(EXEEXT)$$//'`; \
echo " rm -f" $$list; \
rm -f $$list
-benchpar$(EXEEXT): $(benchpar_OBJECTS) $(benchpar_DEPENDENCIES) $(EXTRA_benchpar_DEPENDENCIES)
- @rm -f benchpar$(EXEEXT)
- $(AM_V_CCLD)$(LINK) $(benchpar_OBJECTS) $(benchpar_LDADD) $(LIBS)
chunk$(EXEEXT): $(chunk_OBJECTS) $(chunk_DEPENDENCIES) $(EXTRA_chunk_DEPENDENCIES)
@rm -f chunk$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(chunk_OBJECTS) $(chunk_LDADD) $(LIBS)
@@ -639,9 +628,6 @@ h5perf_serial$(EXEEXT): $(h5perf_serial_OBJECTS) $(h5perf_serial_DEPENDENCIES) $
iopipe$(EXEEXT): $(iopipe_OBJECTS) $(iopipe_DEPENDENCIES) $(EXTRA_iopipe_DEPENDENCIES)
@rm -f iopipe$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(iopipe_OBJECTS) $(iopipe_LDADD) $(LIBS)
-mpi-perf$(EXEEXT): $(mpi_perf_OBJECTS) $(mpi_perf_DEPENDENCIES) $(EXTRA_mpi_perf_DEPENDENCIES)
- @rm -f mpi-perf$(EXEEXT)
- $(AM_V_CCLD)$(LINK) $(mpi_perf_OBJECTS) $(mpi_perf_LDADD) $(LIBS)
overhead$(EXEEXT): $(overhead_OBJECTS) $(overhead_DEPENDENCIES) $(EXTRA_overhead_DEPENDENCIES)
@rm -f overhead$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(overhead_OBJECTS) $(overhead_LDADD) $(LIBS)
@@ -661,11 +647,9 @@ mostlyclean-compile:
distclean-compile:
-rm -f *.tab.c
-@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchpar.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/chunk.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/dectris_perf.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/iopipe.Po@am__quote@
-@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mpi-perf.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/overhead.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/perf.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/perf_meta.Po@am__quote@
diff --git a/perform/mpi-perf.c b/perform/mpi-perf.c
deleted file mode 100644
index a09d672..0000000
--- a/perform/mpi-perf.c
+++ /dev/null
@@ -1,373 +0,0 @@
-/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
- * Copyright by The HDF Group. *
- * Copyright by the Board of Trustees of the University of Illinois. *
- * All rights reserved. *
- * *
- * This file is part of HDF5. The full HDF5 copyright notice, including *
- * terms governing use, modification, and redistribution, is contained in *
- * the files COPYING and Copyright.html. COPYING can be found at the root *
- * of the source code distribution tree; Copyright.html can be found at the *
- * root level of an installed copy of the electronic HDF5 document set and *
- * is linked from the top-level documents page. It can also be found at *
- * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
- * access to either file, you may request a copy from help@hdfgroup.org. *
- * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
-
-/*
- * (C) 1995-2001 Clemson University and Argonne National Laboratory.
- *
- * See COPYING in top-level directory.
- *
- * This is contributed by Robert Ross to the HDF5 software.
- * and was called mpi-io-test.c
- */
-
-#include "hdf5.h"
-#include "H5private.h"
-#ifdef H5_HAVE_PARALLEL
-/* mpi-perf.c
- *
- * This is derived from code given to me by Rajeev Thakur. Dunno where
- * it originated.
- *
- * It's purpose is to produce aggregate bandwidth numbers for varying
- * block sizes, number of processors, an number of iterations.
- *
- * This is strictly an mpi program - it is used to test the MPI I/O
- * functionality implemented by Romio.
- *
- * Compiling is usually easiest with something like:
- * mpicc -Wall -Wstrict-prototypes mpi-io-test.c -o mpi-io-test
- *
- * NOTE: This code assumes that all command line arguments make it out to all
- * the processes that make up the parallel job, which isn't always the case.
- * So if it doesn't work on some platform, that might be why.
- */
-/* Modifications:
- * Albert Cheng, Apr 30, 20001
- * Changed MPI_File_open to use MPI_COMM_WORLD (was MPI_COMM_SELF).
- * Albert Cheng, May 5, 20001
- * Changed MPI_File_seek then MPI_File_write or MPI_File_read to just
- * MPI_File_write_at and MPI_File_read_at. Some compiler, e.g., IBM
- * mpcc_r does not support MPI_File_seek and MPI_File_read or MPI_File_write.
- */
-
-#include <stdio.h>
-#include <stdlib.h>
-#include <fcntl.h>
-#ifdef H5_HAVE_UNISTD_H
-#include <unistd.h>
-#endif
-#include <errno.h>
-#include <string.h>
-#if defined(H5_TIME_WITH_SYS_TIME)
-# include <sys/time.h>
-# include <time.h>
-#elif defined(H5_HAVE_SYS_TIME_H)
-# include <sys/time.h>
-#else
-# include <time.h>
-#endif
-#include <mpi.h>
-#ifndef MPI_FILE_NULL /*MPIO may be defined in mpi.h already */
-# include <mpio.h>
-#endif
-
-
-
-/* DEFAULT VALUES FOR OPTIONS */
-int64_t opt_block = 1048576*16;
-int opt_iter = 1;
-int opt_stripe = -1;
-int opt_correct = 0;
-int amode = O_RDWR | O_CREAT;
-char opt_file[256] = "/tmp/test.out\0";
-char opt_pvfstab[256] = "notset\0";
-int opt_pvfstab_set = 0;
-
-/* function prototypes */
-static int parse_args(int argc, char **argv);
-
-extern int errno;
-
-/* globals needed for getopt */
-extern char *optarg;
-
-int main(int argc, char **argv)
-{
- char *buf, *tmp, *buf2, *tmp2, *check;
- int i, j, mynod=0, nprocs=1, err, my_correct = 1, correct, myerrno;
- double stim, etim;
- double write_tim = 0;
- double read_tim = 0;
- double read_bw, write_bw;
- double max_read_tim, max_write_tim;
- double min_read_tim, min_write_tim;
- double ave_read_tim, ave_write_tim;
- int64_t iter_jump = 0;
- int64_t seek_position = 0;
- MPI_File fh;
- MPI_Status status;
- int nchars;
-
- /* startup MPI and determine the rank of this process */
- MPI_Init(&argc,&argv);
- MPI_Comm_size(MPI_COMM_WORLD, &nprocs);
- MPI_Comm_rank(MPI_COMM_WORLD, &mynod);
-
- /* parse the command line arguments */
- parse_args(argc, argv);
-
- if (mynod == 0) printf("# Using mpi-io calls.\n");
-
-
- /* kindof a weird hack- if the location of the pvfstab file was
- * specified on the command line, then spit out this location into
- * the appropriate environment variable: */
-
-#if H5_HAVE_SETENV
-/* no setenv or unsetenv */
- if (opt_pvfstab_set) {
- if((setenv("PVFSTAB_FILE", opt_pvfstab, 1)) < 0){
- perror("setenv");
- goto die_jar_jar_die;
- }
- }
-#endif
-
- /* this is how much of the file data is covered on each iteration of
- * the test. used to help determine the seek offset on each
- * iteration */
- iter_jump = nprocs * opt_block;
-
- /* setup a buffer of data to write */
- if (!(tmp = (char *) malloc(opt_block + 256))) {
- perror("malloc");
- goto die_jar_jar_die;
- }
- buf = tmp + 128 - (((long)tmp) % 128); /* align buffer */
-
- if (opt_correct) {
- /* do the same buffer setup for verifiable data */
- if (!(tmp2 = (char *) malloc(opt_block + 256))) {
- perror("malloc2");
- goto die_jar_jar_die;
- }
- buf2 = tmp + 128 - (((long)tmp) % 128);
- }
-
- /* open the file for writing */
- err = MPI_File_open(MPI_COMM_WORLD, opt_file,
- MPI_MODE_CREATE | MPI_MODE_RDWR, MPI_INFO_NULL, &fh);
- if (err < 0) {
- fprintf(stderr, "node %d, open error: %s\n", mynod, strerror(errno));
- goto die_jar_jar_die;
- }
-
- /* now repeat the write operations the number of times
- * specified on the command line */
- for (j=0; j < opt_iter; j++) {
-
- /* calculate the appropriate position depending on the iteration
- * and rank of the current process */
- seek_position = (j*iter_jump)+(mynod*opt_block);
-
- if (opt_correct) /* fill in buffer for iteration */ {
- for (i=mynod+j, check=buf; i<opt_block; i++,check++) *check=(char)i;
- }
-
- /* discover the starting time of the operation */
- MPI_Barrier(MPI_COMM_WORLD);
- stim = MPI_Wtime();
-
- /* write out the data */
- nchars = opt_block/sizeof(char);
- err = MPI_File_write_at(fh, seek_position, buf, nchars, MPI_CHAR, &status);
- if(err){
- fprintf(stderr, "node %d, write error: %s\n", mynod,
- strerror(errno));
- }
-
- /* discover the ending time of the operation */
- etim = MPI_Wtime();
-
- write_tim += (etim - stim);
-
- /* we are done with this "write" iteration */
- }
-
- err = MPI_File_close(&fh);
- if(err){
- fprintf(stderr, "node %d, close error after write\n", mynod);
- }
-
- /* wait for everyone to synchronize at this point */
- MPI_Barrier(MPI_COMM_WORLD);
-
- /* reopen the file to read the data back out */
- err = MPI_File_open(MPI_COMM_WORLD, opt_file,
- MPI_MODE_CREATE | MPI_MODE_RDWR, MPI_INFO_NULL, &fh);
- if (err < 0) {
- fprintf(stderr, "node %d, open error: %s\n", mynod, strerror(errno));
- goto die_jar_jar_die;
- }
-
-
- /* we are going to repeat the read operation the number of iterations
- * specified */
- for (j=0; j < opt_iter; j++) {
- /* calculate the appropriate spot give the current iteration and
- * rank within the MPI processes */
- seek_position = (j*iter_jump)+(mynod*opt_block);
-
- /* discover the start time */
- MPI_Barrier(MPI_COMM_WORLD);
- stim = MPI_Wtime();
-
- /* read in the file data */
- if (!opt_correct){
- err = MPI_File_read_at(fh, seek_position, buf, nchars, MPI_CHAR, &status);
- }
- else{
- err = MPI_File_read_at(fh, seek_position, buf2, nchars, MPI_CHAR, &status);
- }
- myerrno = errno;
-
- /* discover the end time */
- etim = MPI_Wtime();
- read_tim += (etim - stim);
-
- if (err < 0) fprintf(stderr, "node %d, read error, loc = %Ld: %s\n",
- mynod, mynod*opt_block, strerror(myerrno));
-
- /* if the user wanted to check correctness, compare the write
- * buffer to the read buffer */
- if (opt_correct && memcmp(buf, buf2, opt_block)) {
- fprintf(stderr, "node %d, correctness test failed\n", mynod);
- my_correct = 0;
- MPI_Allreduce(&my_correct, &correct, 1, MPI_INT, MPI_MIN,
- MPI_COMM_WORLD);
- }
-
- /* we are done with this read iteration */
- }
-
- /* close the file */
- err = MPI_File_close(&fh);
- if(err){
- fprintf(stderr, "node %d, close error after write\n", mynod);
- }
-
- /* compute the read and write times */
- MPI_Allreduce(&read_tim, &max_read_tim, 1, MPI_DOUBLE, MPI_MAX,
- MPI_COMM_WORLD);
- MPI_Allreduce(&read_tim, &min_read_tim, 1, MPI_DOUBLE, MPI_MIN,
- MPI_COMM_WORLD);
- MPI_Allreduce(&read_tim, &ave_read_tim, 1, MPI_DOUBLE, MPI_SUM,
- MPI_COMM_WORLD);
-
- /* calculate the average from the sum */
- ave_read_tim = ave_read_tim / nprocs;
-
- MPI_Allreduce(&write_tim, &max_write_tim, 1, MPI_DOUBLE, MPI_MAX,
- MPI_COMM_WORLD);
- MPI_Allreduce(&write_tim, &min_write_tim, 1, MPI_DOUBLE, MPI_MIN,
- MPI_COMM_WORLD);
- MPI_Allreduce(&write_tim, &ave_write_tim, 1, MPI_DOUBLE, MPI_SUM,
- MPI_COMM_WORLD);
-
- /* calculate the average from the sum */
- ave_write_tim = ave_write_tim / nprocs;
-
- /* print out the results on one node */
- if (mynod == 0) {
- read_bw = ((int64_t)(opt_block*nprocs*opt_iter))/(max_read_tim*1000000.0);
- write_bw = ((int64_t)(opt_block*nprocs*opt_iter))/(max_write_tim*1000000.0);
-
- printf("nr_procs = %d, nr_iter = %d, blk_sz = %ld\n", nprocs,
- opt_iter, (long)opt_block);
-
- printf("# total_size = %ld\n", (long)(opt_block*nprocs*opt_iter));
-
- printf("# Write: min_time = %f, max_time = %f, mean_time = %f\n",
- min_write_tim, max_write_tim, ave_write_tim);
- printf("# Read: min_time = %f, max_time = %f, mean_time = %f\n",
- min_read_tim, max_read_tim, ave_read_tim);
-
- printf("Write bandwidth = %f Mbytes/sec\n", write_bw);
- printf("Read bandwidth = %f Mbytes/sec\n", read_bw);
-
- if (opt_correct) {
- printf("Correctness test %s.\n", correct ? "passed" : "failed");
- }
- }
-
-
-die_jar_jar_die:
-
-#if H5_HAVE_SETENV
-/* no setenv or unsetenv */
- /* clear the environment variable if it was set earlier */
- if (opt_pvfstab_set){
- unsetenv("PVFSTAB_FILE");
- }
-#endif
-
- free(tmp);
- if (opt_correct) free(tmp2);
- MPI_Finalize();
- return(0);
-}
-
-static int
-parse_args(int argc, char **argv)
-{
- int c;
-
- while ((c = getopt(argc, argv, "s:b:i:f:p:c")) != EOF) {
- switch (c) {
- case 's': /* stripe */
- opt_stripe = atoi(optarg);
- break;
- case 'b': /* block size */
- opt_block = atoi(optarg);
- break;
- case 'i': /* iterations */
- opt_iter = atoi(optarg);
- break;
- case 'f': /* filename */
- strncpy(opt_file, optarg, 255);
- break;
- case 'p': /* pvfstab file */
- strncpy(opt_pvfstab, optarg, 255);
- opt_pvfstab_set = 1;
- break;
- case 'c': /* correctness */
- opt_correct = 1;
- break;
- case '?': /* unknown */
- default:
- break;
- }
- }
- return(0);
-}
-
-/*
- * Local variables:
- * c-indent-level: 3
- * c-basic-offset: 3
- * tab-width: 3
- * End:
- */
-
-#else /* H5_HAVE_PARALLEL */
-/* dummy program since H5_HAVE_PARALLEL is not configured in */
-int
-main(int UNUSED argc, char UNUSED **argv)
-{
- printf("No parallel performance because parallel is not configured in\n");
- return(0);
-}
-#endif /* H5_HAVE_PARALLEL */
-
diff --git a/release_docs/INSTALL_MinGW.txt b/release_docs/INSTALL_MinGW.txt
new file mode 100644
index 0000000..245e3ff
--- /dev/null
+++ b/release_docs/INSTALL_MinGW.txt
@@ -0,0 +1,269 @@
+************************************************************************
+ HDF5 Build and Install Instructions for MinGW
+************************************************************************
+
+NOTE:
+We are no longer actively supporting MinGW as of 1.8.5.
+------ 1.8.9 notes ------
+Autotools configure failed to correctly generate the *config.h files.
+CMake 2.8.6 can configure and build the library, however fortran programs did
+ not execute correctly. Some tests may fail. Used the "MSYS Makefiles"
+ generator for the "-G" parameter. Follow the CMake.txt document.
+
+Below are the old instructions from the 1.8.4 release.
+
+************************************************************************
+************************************************************************
+************************************************************************
+
+Preconditions:
+--------------
+
+1. Installed MinGW (5.1.6 or higher) and MSYS (1.0.11 or higher)
+
+ To install the MinGW net release, go to http://www.mingw.org and
+ follow the instructions for a manual installation.
+
+2. Compilers Installed
+
+ 2.1 C/C++ Compilers HDF5-1.8.4 Supported
+
+ gcc-4.4.0 is included in MinGW, which includes:
+ gcc : GNU C compiler
+ gcc-g++: GNU C++ compiler
+ gfortran: GNU Fortran compiler
+
+ 2.2 Using Compilers Not Supported
+
+ The compilers in 2.1 are supported and tested by The HDF
+ Group. Any other compilers may still work but they are not
+ guaranteed by HDF group.
+
+ If users want to use other compilers except those in 2.1,
+ try to set the following variables to override the default
+ choices.
+
+ CC : C compiler command
+ CXX : C++ compiler command
+ FC : Fortran compiler command
+
+3. HDF5 Dependencies
+
+ 3.1 Zlib
+
+ zlib-1.2.2 or later is supported and tested on MinGW.
+
+ 3.2 Szip
+ The HDF5 library has a predefined compression filter that uses
+ the extended-Rice lossless compression algorithm for chunked
+ datatsets. For more information about Szip compression and
+ license terms see
+ http://hdfgroup.org/HDF5/doc_resource/SZIP/index.html.
+
+ Szip is currently not supported on MinGW, although we plan to add
+ support in the future.
+
+
+Build HDF5 on MinGW
+----------------------
+
+1. Get HDF5 source code package
+ Users can download HDF5 source code package from HDF website
+ (http://hdfgroup.org).
+
+2. Unpacking the distribution
+
+ The HDF5 source code is distributed in a variety of formats which
+ can be unpacked with the following commands, each of which creates
+ an `hdf5-1.8.4' directory.
+
+ 2.1 Non-compressed tar archive (*.tar)
+
+ $ tar xf hdf5-1.8.4.tar
+
+ 2.2 Gzip'd tar archive (*.tar.gz)
+
+ $ gunzip < hdf5-1.8.4.tar.gz | tar xf -
+
+ 2.3 Bzip'd tar archive (*.tar.bz2)
+
+ $ bunzip2 < hdf5-1.8.4.tar.bz2 | tar xf -
+
+3. Setup Environment
+
+ Building HDF5 1.8.4 requires an explicit link to libws2_32.a
+ to handle Windows Sockets. To do this, issue the command:
+
+ $ export LIBS=-lws2_32
+
+ Also, the default search path can cause trouble using ./configure in HDF5
+ 1.8.4. Check that non-MinGW or non-msys directories are not added to the
+ PATH. You can do this by:
+
+ $ echo $PATH
+
+ If there are spurious entries, specifically those related to other Windows
+ compilers or tools, remove them by setting a new PATH without them. For
+ example,
+
+ $ export PATH=.:/usr/local/bin:/mingw/bin:/bin
+
+
+4. Remove Unsupported Source
+
+ There are some projects which are built by default to test performance on
+ POSIX systems. They are irrelevent on MinGW, and can cause compiler errors.
+
+ To remove these projects from the build script, open ./perform/Makefile.in
+ Find all instances of "h5perf_serial", and remove them (along with their
+ respective extension or targets, if they exist). Then save the file.
+
+
+5. Remove Tests
+
+ When building with MinGW, many tests must be removed from the
+ test suite run with "make check". This is because of the way
+ MinGW and Windows handles certain parsing. For example, MinGW
+ treats any command parameter starting with '/' as a path, and
+ replaces it with it's root directory on Windows, such as
+ 'C:\msys\1.0\'.
+
+ To remove the tests, open the given 'Makefile.in' and edit the
+ line begining with "TEST_SCRIPT = " to remove the test script.
+ For example, to remove the "testerror.sh" from ./test/Makefile.in:
+
+ 1) Open ./test/Makefile.in
+
+ 2) Find the line "TEST_SCRIPT = $(top_srcdir)/test/testerror.sh"
+
+ 3) Change it to simply read "TEST_SCRIPT =", and save.
+
+ Do this for the following Makefiles and tests:
+
+ - ./test/Makefile.in: "testerror.sh testlibinfo.sh testcheckinfo.sh"
+
+ - ./tools/h5diff/Makefile.in: "testh5diff.sh"
+
+ - ./tools/h5ls/Makefile.in: "testh5ls.sh"
+
+ - ./tools/misc/Makefile.in: "testh5mkgrp.sh"
+
+ - ./tools/h5copy/Makefile.in: "testh5copy.sh"
+
+ - ./tools/h5stat/Makefile.in: "testh5stat.sh"
+
+ - ./tools/h5dump/Makefile.in: "testh5dump.sh" and "testh5dumpxml.sh"
+
+
+6. Configuring
+
+ Notes:
+ 1) Note: MinGW is c++ package is missing the libstdc++.dll.a file
+ and c++ linking fails. Do not enable c++ option in configure.
+
+ 2) See detailed information in hdf5/release_docs/INSTALL,
+ part 5. Full installation instructions for source
+ distributions
+
+ In short,
+
+ To configure HDF5 with C Library, use
+
+ $ ./configure
+
+ If you would like to build the C++ library, add the parameter:
+
+ --enable-cxx (12-11-2009 MinGW C++ package is missing a file)
+
+ If you would like to build without the Zlib library, add the parameter:
+
+ --without-zlib
+
+ If you would like to specify the the Zlib library, there are two ways:
+
+ Using
+
+ --with-zlib=INCDIR,LIBDIR
+
+ For example, if the zlib library is installed in
+ /usr, which is the parent directory of directories
+ "include" and "lib",
+
+ --with-zlib=/usr/include,/usr/lib
+
+ Through the CPPFLAGS and LDFLAGS Variables
+
+ For example, if zlib was installed in the directory
+ /c/usr then using the following command to configure
+ HDF5 with zib
+
+ $ export CPPFLAGS=-I/usr/include
+ $ export LDFLAGS=-L/usr/lib
+
+ If you would like to specify the install directory, add the parameter:
+
+ --prefix="path for installation"
+
+ By default, HDF5 library, header files, examples, and
+ support programs will be installed in /usr/local/lib,
+ /usr/local/include, /usr/local/doc/hdf5/examples, and
+ /usr/local/bin. To use a path other than /usr/local specify
+ the path with the `--prefix=PATH' switch as in the above
+ command.
+
+ Combination of Switches
+
+ All of the above switches can be combined together. For
+ example, if users want to configure HDF5 C/Fortran
+ library, with zlib library at /c/usr/, and
+ install HDF5 into directory /c/hdf5 using
+ gcc/gfortran as C/Fortran compiler:
+
+ $ ./configure
+ --with-zlib=/usr/include,/usr/lib
+ --prefix=/c/hdf5
+ --enable-fortran
+ <"If no more switches, then hit Enter">
+
+ Notes: The command format above is for readilibity. In practice,
+ please type in the command above with at least one
+ space between each line, No "Enter" until users finish
+ the switches and want to run the configure.
+
+
+ or do it through CPPFLAGS and LDFLAGS variables:
+
+ $ CPPFLAGS=-I/usr/include \
+ $ LDFLAGS=-L/usr/lib \
+
+ $ ./configure
+ --prefix=/c/hdf5
+ --enable-fortran
+ <"If no more switches, then hit Enter">
+
+7. Make and Make Check
+
+ After configuration is done successfully, run the following series of
+ commands to build, test and install HDF5
+
+ $ make > "output file name"
+ $ make check > "output file name"
+
+ Before run "make install", check output file for "make check", there
+ should be no failures at all.
+
+8. Make Install
+
+ $ make install > "output file name"
+
+
+9. Check installed HDF5 library
+
+ After step 8, go to your installation directory, there should be
+ three subdirectories: "bin" "include" and "lib".
+
+ $ make installcheck > "output file name"
+
+-----------------------------------------------------------------------
+
+Need Further assistance, email help@hdfgroup.org
diff --git a/release_docs/INSTALL_Windows.txt b/release_docs/INSTALL_Windows.txt
index e7184d4..967675f 100644
--- a/release_docs/INSTALL_Windows.txt
+++ b/release_docs/INSTALL_Windows.txt
@@ -1,1754 +1,16 @@
***********************************************************************
-* HDF5 Build and Install Instructions for Windows XP/VISTA *
+* HDF5 Build and Install Instructions for Windows *
* (Full Version) *
***********************************************************************
-The following instructions assume that the HDF5 source code package from
-HDF website (http://hdfgroup.org) is used.
+We now recommend that users build, test and install HDF5 using CMake.
-Warnings:
-Please read CAREFULLY about the following preconditions and notes first.
+Instructions for building and testing HDF5 using CMake can be found in
+the CMake.txt file found in this folder.
-Contents:
+The old solutions and projects found in the windows\ folder will be
+maintained for legacy users until HDF5 1.10.
- Section : Preconditions and Notes
- Section I : What do we build and install
- Section II : How to build and test HDF5 libraries and tools
- Section III : How to build examples (optional)
- Section IV : How to build an application using the HDF5 library or DLL
- Section V : How to disable Gzip(Zlib)/Szip compression
- Section VI : How to build HDF5 with Fortran Support
- Section VII : How to build Multi-threaded version of HDF5 library
- Section VIII : How to build HDF5 with Thread-Safe Feature
- Section IX : How to build HDF5 for 64-bit Windows
- Section X : How to build HDF5 on Windows Vista
- Section XI : How to build HDF5 using Visual Studio 2008
- Section XII : Backwards Compatibility with HDF5 1.6
- Section XIII : Misc.
-
-
-========================================================================
- Preconditions and Notes
-========================================================================
-
-Preconditions:
-
- 1. Installed Microsoft Visual Studio. This document is written for Visual
- Studio 2008. We no longer support building HDF5 using Microsoft Visual
- Studio .NET 2003 or 2005. Express Editions may work with the project files
- but not from the command line. We do not support the Express Editions.
-
- 2. (Optional) Installed Intel Compiler 10.1 or 11.1 if you want to build HDF5
- Fortran libraries. We no longer support Intel Fortran Compiler 9.1.
-
- 3. Install Winzip or 7-zip for extracting source tarball.
-
- Note: 1. 7zip is an open-source alternative to WinZip. Some of the
- advanced functionality is disabled in WinZip unless you buy the
- software. With 7zip, most of this functionality is included for
- free.
-
- 2. By default, WinZip will convert the Unix end of line format when
- extracting .tar file. This conversion will cause "false" failure
- in some HDF5 tools testings.
-
- Please uncheck the "TAR file smart CR/LF conversion" option in your
- WinZip to prevent the conversion when extracting .tar file. To
- uncheck the "TAR file smart CR/LF conversion" option:
-
- Invoke WinZip, go to "Options", select "Configuration..."
-
- Click the "Miscellaneous" tab and uncheck "TAR file smart CR/LF
- conversion" option, then click OK.
-
- 4. CMake is available for this release. CMake 2.8.2 can be downloaded from
- the KitWare website at http://www.kitware.com.
-
- Note: We have attempted to mirror our Autoconf configuration files for
- maintainence reasons. We are still working to synchronize the
- configuration files.
- Also, if you are using a VS Express version or do not want to enable
- the packaging components, set HDF5_NO_PACKAGES to ON (on the command
- line add -DHDF5_NO_PACKAGES:BOOL=ON)
-
- 5. Set up a directory structure to unpack the library. For example:
-
- c:\ (any drive)
- MyHDFstuff\ (any folder name)
-
- 6. Download the hdf5-1.9.x source code package and use 7zip or WinZip to
- extract the HDF5 package into c:\MyHDFstuff. This creates a directory
- called 'hdf5-1.9.x' under MyHDFstuff which contains several files and
- directories. Rename "hdf5-1.9.x" to "hdf5".
-
- 7. HDF5 provide options to do in-memory compression within HDF5 library.
- Currently, two external compression libraries Zlib and Szip can be used
- with HDF5.
-
- 7.1 HDF5 uses Zlib version 1.2.5 for compression and Zlib is NOT
- distributed with HDF5 library in 1.9.x release. To use Zlib library,
- you have to install your own Zlib DLL library or go to
- http://www.zlib.net/ to download the Zlib library.
-
- 7.2 HDF5 uses Szip version 2.1 for compression and Szip compression
- software is provided with HDF5 products in 1.9.x release. To use
- Szip 2.1 library, you can download Szip source codes and binaries from
- ftp://ftp.hdfgroup.org/lib-external/szip/2.1/bin/windows
-
- Please note that Szip is not a totally open-source free software.
- For licensing issue of Szip, please check "Licensing terms" at
- http://hdfgroup.org/doc_resource/SZIP/index.html.
-
- Szip compression feature inside HDF5 is optional.
-
- 8. Define the following environment variables:
-
- HDF5_EXT_ZLIB
- HDF5_EXT_SZIP
-
- In this section, Zlib and Szip compression packages are assumed to be
- used. Please read Section V as well as this section if you do not want
- to use compression feature inside HDF5.
-
- To define these environment variables:
-
- Click "Start", click "Control Panel", and then double-click "System".
- On the "Advanced" tab, click "Environment Variables".
-
- If you are logged on as administrator to the local computer AND want to
- let all other users use these two environment variables, click "New"
- under "System Variables" box; otherwise, click "New" under "User
- Variables" box.
-
- In the New Variable window, set "Variable name" as HDF5_EXT_ZLIB and
- "Variable value" as zlib1.lib, then click OK.
-
- Similarly, you can set:
-
- HDF5_EXT_SZIP environment variable as szip.lib
-
- Notes:
-
- a. You will have to close and reopen running programs for the new
- environment variable settings to take effect.
-
- b. c:\zlib\zlib1.dll and c:\szip\szip.dll should be copied
- into a location that the application can find.
-
- 9. Set up path for external libraries and headers
-
- Skip this part if you don't want to use ANY compression features provided
- by HDF5. Please do read Section V.
-
- You have to read this part even if you want to only use Zlib or Szip.
- You also need to read Section V.
-
- Invoke Microsoft Visual Studio and go to "Tools" and select "Options",
- find "Projects", and then "VC++ Directories".
-
- 9.1 If you are building on 64-bit Windows, find the "Platform" dropdown
- and select "x64".
-
- 9.2 Find the box "Show directories for", choose "Include files", if you
- can not find your Zlib and Szip header path (for example,
- c:\zlib\include, c:\szip\include) from the directory list, add the
- header path (c:\zlib\include, c:\szip\include) to the included
- directories.
-
- 9.3 Find the box "Show directories for", choose "Library files". If you
- cannot find your Zlib and Szip library path (for example,
- c:\zlib\dll, c:\szip\dll) from the directory list, add the library
- path (c:\zlib\dll, c:\szip\dll) to the library directories.
-
- 9.4 If building Fortran libraries, you will also need to setup the path
- for the Intel Fortran compiler. Please see Section VI.
-
-Notes:
-
- 1. Users should go to hdf5/windows directory, run copy_hdf.bat first and then
- open all.sln under hdf5/windows/proj/all to start building process.
-
- 2. Visual Studio 6.0 is no longer supported in HDF5 1.8 or later releases.
- Visual Studio .NET is no longer support in HDF5 1.8.4 or later releases.
- Visual Studio 2005 is no longer support in HDF5 1.8.5 or later releases.
- Intel Fortran 9.1 is no longer support in HDF5 1.8.5 or later releases.
-
- 3. For users who want to quickly build HDF5 library or do not want to know
- HDF5 building and installation details, please read the
- INSTALL_Windows_Short_2008.txt relating to your compiler.
-
- 4. For users who would like to build and test HDF5 package from the command
- line, please read INSTALL_Windows_From_Command_Line.txt.
-
- 5. For users who would like to build and test HDF5 package using CMake,
- please read CMake.txt.
-
- 6. HDF4-related tools are not built and released with HDF5 library packages
- any more. To obtain HDF4 related tools, please check
- http://hdfgroup.org/h4toh5/ and ftp://ftp.hdfgroup.org/HDF5/h4toh5
-
- 7. For Fortran users, Intel Fortran Compiler 10.1 is currently supported
- -- please see Section VI. Intel Compiler verion 7.x, 8.x and 9.x are
- no longer supported. Intel Compiler 11.1 can be used but the project files
- must be upgraded within the Visual Studio IDE.
-
- 8. Visual Studio now only builds muti-threaded versions of HDF5 library,
- please read Section VII.
-
-
-========================================================================
- Section I: What do we build and install?
-========================================================================
-
- 1. Build and Install
-
- HDF5 static library:
- debug and release version
-
- HDF5 Dynamic Link Library(DLL):
- debug and release version as well as export libraries for DLL
-
- HDF5 High-Level Library (Optional):
- HDF5 C++ Library
- HDF5 HL-Fortran Library
-
- HDF5 tools:
- HDF5 tools
-
- 2. Build Only (Not included in the binary distribution)
-
- HDF5 tool library:
- debug and release version
-
- HDF5 tool export library for DLL:
- debug and release version
-
- HDF5 library testing programs:
- HDF5 library comprehensive tests
-
- HDF5 related tools testing programs:
- HDF5 tools comprehensive tests
-
- 3. Examples (Not included in the binary distribution)
-
- HDF5 examples:
- Simple HDF5 C/C++/Fortran and High level C/Fortran examples
-
-========================================================================
- Section II: How to build and test HDF5 libraries and tools
-========================================================================
-
-Note:
- To build and test HDF5 with Fortran support, please read over Section VI.
-
-
-STEP 1: Building HDF5 Libraries and Tools
-
-
- 1. Run batch file copy_hdf.bat
-
- Go to c:\MyHDFstuff\hdf5\windows and run copy_hdf.bat. This process will
- copy all the necessary batch files, Windows-specific source code and
- text files saved under c:\MyHDFstuff\hdf5\windows directory to the
- corresponding directories under hdf5.
-
- 2. Open the HDF5 library project in Visual Studio
-
- Invoke Microsoft Visual Studio. From the main menu, go to "File" and
- select the "Open Solution" option. Then open the
- c:\MyHDFstuff\hdf5\windows\proj\all\all.sln solution.
-
- You should find Windows project files listed as "all", "big", etc. on the
- left.
-
- 3. (Optional) Disable HDF5 C++ and High level C++
-
- In HDF5 1.9, C++ and HL C++ libraries are built by default. To opt-out,
- you must explicitly disable them.
-
- 3.1 Skip this step if you do want to build HDF5 High-Level C++ libraries
-
- Go to "Project" and select "Project Dependencies". Select "all", and
- disable all of the following projects:
-
- hdf5_hl_cpp
- hdf5_hl_cppdll
- hl_test_table_cpp
- hl_test_table_cppdll
-
- 3.2 Skip this step if you do want to build HDF5 High-Level libraries
-
- Go to "Project" and select "Project Dependencies". Select "all", and
- disable all of the project files listed in the previous step, as well
- as the following projects:
-
- hdf5_hl
- hdf5_hldll
- hl_test_image
- hl_test_imagedll
- hl_test_lite
- hl_test_litedll
- hl_test_table
- hl_test_tabledll
- hl_test_ds
- hl_test_dsdll
- hl_test_packet
- hl_test_packetdll
-
- Note: Disabling some projects will likely produce false errors in the
- testing script. Check the output carefully to ensure that the
- errors are related to the disabled projects, and then safely
- ignore them.
-
-
- 4. Select "Build", then Select "Configuration Manager".
-
- 4.1 To build debug static libraries, debug multithreaded DLLs, and tests:
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build debug
- version of project "all".
-
- 4.2 To build release static libraries, multithreaded DLLs and tests:
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build release
- version of project "all".
-
- Release version must be built for testing, debug version is optional.
-
- Warning messages can be ignored.
-
- When the debug or release build is done the directories
- listed below will contain the following files:
-
- c:\MyHDFstuff\hdf5\proj\hdf5\debug -
-
- hdf5d.lib- the hdf5 static library
-
- c:\MyHDFstuff\hdf5\proj\hdf5\release -
-
- hdf5.lib- the hdf5 static library
-
- c:\MyHDFstuff\hdf5\proj\hdf5dll\debug -
-
- hdf5ddll.dll- DLL
- hdf5ddll.lib- the DLL export library
-
- c:\MyHDFstuff\hdf5\proj\hdf5dll\release -
-
- hdf5dll.dll- DLL
- hdf5dll.lib- the DLL export library
-
- c:\MyHDFstuff\hdf5\test\libtest\debug -
- and c:\MyHDFstuff\hdf5\test\libtest\release -
-
- libtest.lib - the internal library for test
-
- c:\MyHDFstuff\hdf5\test\libtestdll\debug -
-
- libtestddll.dll - the internal DLL for test
- libtestddll.lib - the internal DLL export library for test
-
- c:\MyHDFstuff\hdf5\test\libtestdll\release -
-
- libtestdll.dll - the internal DLL for test
- libtestdll.lib - the internal DLL export library for test
-
- c:\MyHDFstuff\hdf5\tools\toolslib\debug -
- and c:\MyHDFstuff\hdf5\tools\toolslib\release -
-
- toolslib.lib- the internal tools library
-
- c:\MyHDFstuff\hdf5\tools\toolslibD\debug -
- and c:\MyHDFstuff\hdf5\tools\toolslibD\release -
-
- toolslibD.dll- DLL
- toolslibD.lib- the internal DLL export library for tools
-
- c:\MyHDFstuff\hdf5\tools\"tools directory"-
- where tools are located
-
- The directories listed below will contain the following files
- ONLY when you choose to build HDF5 C++ libraries:
-
- c:\MyHDFstuff\hdf5\proj\hdf5_cpp\debug -
-
- hdf5_cppd.lib- the HDF5 C++ API static library
-
- and c:\MyHDFstuff\hdf5\proj\hdf5_cpp\release -
-
- hdf5_cpp.lib- the HDF5 C++ API static library
-
- c:\MyHDFstuff\hdf5\proj\hdf5_cppdll\debug -
-
- hdf5_cppddll.dll- the HDF5 C++ API DLL
- hdf5_cppddll.lib - the C++ API export library
-
- and c:\MyHDFstuff\hdf5\proj\hdf5_cppdll\release -
-
- hdf5_cppdll.dll- the HDF5 C++ API DLL
- hdf5_cppdll.lib- the C++ API DLL export library
-
-
- The directories listed below will contain the following files
- ONLY when you choose to build HDF5 High Level libraries:
-
- c:\MyHDFstuff\hdf5\proj\hdf5_hl\Release -
- hdf5_hl.lib - HDF5 High Level static Library
-
- and c:\MyHDFstuff\hdf5\proj\hdf5_hl\Debug -
-
- hdf5_hld.lib - HDF5 High Level Static Library
-
- c:\MyHDFstuff\hdf5\proj\hdf5_hldll\Release -
- hdf5_hldll.dll - HDF5 High Level DLL
- hdf5_hldll.lib - HDF5 High Level export Library
-
- and c:\MyHDFstuff\hdf5\proj\hdf5_hldll\Debug -
-
- hdf5_hlddll.dll - HDF5 High Level DLL
- hdf5_hlddll.lib - HDF5 High Level export Library
-
- The directories listed below will contain the following files
- ONLY when you choose to build HDF5 High Level C++ libraries:
-
- c:\MyHDFstuff\hdf5\proj\hdf5_hl_cpp\Release -
-
- hdf5_hl_cpp.lib - HDF5 High Level C++ Static Library
-
- and c:\MyHDFstuff\hdf5\proj\hdf5_hl_cpp\Debug -
-
- hdf5_hl_cppd.lib - HDF5 High Level C++ Static Library
-
- c:\MyHDFstuff\hdf5\proj\hdf5_hl_cppdll\Release -
- and c:\MyHDFstuff\hdf5\proj\hdf5_hl_cppdll\Debug -
-
- hdf5_hl_cppddll.dll - HDF5 High Level C++ DLL
- hdf5_hl_cppddll.lib - HDF5 High Level C++ export Library
-
-
-STEP 2: Testing HDF5 Libraries and Tools
-
-HDF5 libraries and tools should be tested to make sure that they were built
-correctly.
-
-Note: The complete testing suite can take a long time to run on even fast
- machines. Some of the longer tests can be automatically shortened by
- defining an environment variable HDF5TestExpress. Set HDF5TestExpress
- to 3 for fastest, or 0 for slowest. For example:
-
- set HDF5TestExpress=3
-
- If the variable is unset, it takes on the value 1. Note that when
- HDF5TestExpress is set to 2 or 3, some features may not be thoroughly
- tested. For most users, we recommend not setting this variable.
-
-
-We provide 2 options for users to test HDF5 libraries and tools.
-
- Option 1: Automatic testings
-
- HDF5 comes with various test suites, all of which can be tested with
- hdf5check.bat batch file in c:\MyHDFstuff\hdf5 directory.
-
- hdf5check batch file can be run with one of the following four options:
-
- hdf5check Test HDF5 C library and tools only.
-
- hdf5check enablecpp Test HDF5 C/C++ libraries and tools. To use
- this option, HDF5 C++ libraries must have been
- built in step I.
-
- hdf5check enablefortran Test HDF5 C/Fortran libraries and tools. To
- use this option, HDF5 Fortran libraries must
- have been built in Section VI.
-
- hdf5check enableall Test HDF5 C/C++/Fortran libraries and tools.
- To use this option, HDF5 C++ and Fortran
- libraries must have been built.
-
- nodebug -- can be added to any of the above to
- not test debug versions
-
- Invoke a command prompt window and run hdf5check with appropriate option.
- Users are encouraged to pipe the test output into a file. You should find
- no "*FAILED*" marks.
-
- Option 2: Step-by-step HDF5 libraries and tools testings
-
- You can also test HDF5 libraries and tools one by one. There are possibly
- four versions of HDF5 libraries and tools testings.
-
- They are:
-
- release
- release dll
- debug
- debug dll
-
- We strongly suggest you to redirect your testing results into an output file
- so that you can easily check the testing results.
-
- HDF5 DLLs should be placed into the Windows system directory. A batch file
- named install_dll.bat is included in c:\MyHDFstuff\hdf5 directory. Run this
- batch file and all neccessary HDF5 DLLS will be placed in the system
- directory.
-
-
- 1. HDF5 library testing
-
- Open a command prompt in the hdf5\test directory
-
- (1) Basic tests
-
- a) Release Static, type:
- checktests release >"Your output filename"
-
- b) Release DLL, type:
- checktests release dll >"Your output filename"
-
- c) Debug Static, type:
- checktests debug >"Your output filename"
-
- d) Debug DLL, type:
- checktests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- 2. HDF5 performance testing
-
- Open a command prompt in the hdf5\perform directory
-
- a) Release Static, type:
- checkperformtests release >"Your output filename"
-
- b) Release DLL, type:
- checkperformtests release dll >"Your output filename"
-
- c) Debug Static, type:
- checkperformtests debug >"Your output filename"
-
- d) Debug DLL, type:
- checkperformtests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- 3. HDF5 tools testing
-
- Open a command prompt in the hdf5\tools directory
-
- a) Release Static, type:
- checktools release >"Your output filename"
-
- b) Release DLL, type:
- checktools release dll >"Your output filename"
-
- c) Debug Static, type:
- checktools debug >"Your output filename"
-
- d) Debug DLL, type:
- checktools debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- 4. HDF5 C++ library test
-
- Skip this step UNLESS you have built HDF5 C++ libraries and want to test
- them.
-
- Open a command prompt in the hdf5\c++\test directory
-
- a) Release Static, type:
- checkcpptests release >"Your output filename"
-
- b) Release DLL, type:
- checkcpptests release dll >"Your output filename"
-
- c) Debug Static, type:
- checkcpptests debug >"Your output filename"
-
- d) Debug DLL, type:
- checkcpptests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- 4. HDF5 High-Level library test
-
- Skip this step UNLESS you have built HDF5 High-Level libraries and want to
- test them.
-
- Open a command prompt in the hdf5\hl\test directory
-
- a) Release Static, type:
- checkhltests release >"Your output filename"
-
- b) Release DLL, type:
- checkhltests release dll >"Your output filename"
-
- c) Debug Static, type:
- checkhltests debug >"Your output filename"
-
- d) Debug DLL, type:
- checkhltests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- 5. HDF5 High-Level C++ library test
-
- Skip this step UNLESS you have built HDF5 High-Level C++ libraries and want
- to test them.
-
- Open a command prompt in the hdf5\hl\c++\test directory
-
- a) Release Static, type:
- checkhlcpptests release >"Your output filename"
-
- b) Release DLL, type:
- checkhlcpptests release dll >"Your output filename"
-
- c) Debug Static, type:
- checkhlcpptests debug >"Your output filename"
-
- d) Debug DLL, type:
- checkhlcpptests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- Note: See Section VI for instructions on testing Fortran libraries.
-
- STEP 3: Installing HDF5 Libraries
-
-We provide a batch file for users to relocate all HDF5 libraries in one folder
-(C++ and Fortran libraries will also be copied into this folder if they have
-been built in step I or Section VI, respectively). The file is called
-installhdf5lib.bat under c:\MyHDFstuff\hdf5 directory. Run the batch file, you
-may see a folder called hdf5lib under c:\MyHDFstuff\hdf5.
-
-The <release> layout of <hdf5lib> should be:
-
- release\include -- HDF5 header files
- release\bin -- HDF5 static tool executables
- release\bindll -- HDF5 DLL tool executables
- release\lib -- HDF5 static libraries
- release\dll -- HDF5 DLLs
-
-You may also find the similar layout for the <debug>.
-
-========================================================================
- Section III: How To Build Examples (Optional)
-========================================================================
-
-Simple examples have been provided for users to test HDF5 C/C++/Fortran and
-High level C/Fortran library and tools.
-
-Note:
- 1) To build HDF5 C++ examples, HDF5 C++ library must have been built in
- Step I.
-
- 2) To build HDF5 Fortran or HL Fortran examples, please see Section VI,
- Step 3.
-
- 3) To build HDF5 High Level C examples, HDF5 High level library must have
- been built in step I.
-
- 4) By default, the debug versions of HDF5 C/C++/HL examples are linked
- with the debug versions of HDF5 C/C++/HL libraries and DLLs. The
- debug versions of HDF5 C/C++/HL examples will fail if they are linked
- with HDF5 binary distribution, which only includes the release
- versions of HDF5 C/C++ libraries and DLLs.
-
-To build and test HDF5 C examples:
-----------------------------------
- 1. Invoke Microsoft Visual Studio, go to "File" and select the "Open
- Solution" option.
-
- Then open the solution
- c:\MyHDFstuff\hdf5\windows\examples\allexamples\allexamples.sln.
-
- 2. Select "Build", and "Configuration Manager".
-
- 2.1 To build debug versions of C examples:
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- debug version of project "allexamples".
-
- 2.2 To build release versions of C examples.
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- release version of project "allexamples".
-
- When the debug and release build is done, there should be the following
- subdirectories in c:\MyHDFstuff\hdf5\examples\
-
- attributetest
- attributetestdll
- chunkread
- chunkreaddll
- compoundtest
- compoundtestdll
- extendwritetest
- extendwritetestdll
- grouptest
- grouptestdll
- readtest
- readtestdll
- selectest
- selectestdll
- writetest
- writetestdll
-
- 3. Invoke a command prompt window and run the batch file InstallExamples.bat
- which resides in the top level directory (c:\MyHDFstuff\hdf5). This file
- creates 4 new directories, examplesREL, examplesRELDLL, examplesDBG, and
- examplesDBGDLL, in the c:\MyHDFstuff\hdf5\examples directory and places
- all the executables in it. Both the release and debug versions of the
- examples should be built before this step is done.
-
- 4. We provide a batch file named testExamples.bat and an expected examples
- tests output file named testExamples_exp_output.txt in
- c:\MyHDFstuff\hdf5\examples directory for you to test HDF5 C examples.
-
- testExamples.bat batch file has 4 options:
-
- testExamples release -- for release version
-
- testExamples release dll -- for release DLL version
-
- testExamples debug -- for debug version
-
- testExamples debug dll -- for debug DLL version
-
- Invoke a command prompt and run testExamples.bat with appropriate options.
- You should get "All HDF5 C examples tests passed." when the C examples are
- built successfully. Otherwise, the difference between the expected
- outputs and actual outputs will be given.
-
-To build and test HDF5 C++ examples:
-------------------------------------
-
- 1. Invoke Microsoft Visual Studio, go to "File" and select the "Open
- Solution" option.
-
- Then open the solution
- c:\MyHDFstuff\hdf5\windows\examples\allexamples\allcppexamples.sln.
-
- 2. Select "Build", and "Configuration Manager".
-
- 2.1 To build debug versions of C examples:
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- debug version of project "allcppexamples".
-
- 2.2 To build release versions of C examples.
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- release version of project "allcppexamples".
-
- When the debug build or release build is done, there should be the following
- subdirectories in c:\MyHDFstuff\hdf5\c++\examples\
-
- chunks
- chunksdll
- compound
- compounddll
- create
- createdll
- extend_ds
- extend_dsll
- h5group
- h5groupdll
- readdata
- readdatadll
- writedata
- writedatadll
-
- 3. Invoke a command prompt window and run the batch file
- InstallcppExamples.bat which resides in the top level directory
- (c:\MyHDFstuff\hdf5). This file creates 4 new directories,
- cppexamplesREL, cppexamplesRELDLL, cppexamplesDBG, and cppexamplesDBGDLL,
- in the c:\MyHDFstuff\c++\examples directory and places all the executables
- in it. Both the release and debug versions of the examples should be
- built before this step is done.
-
- 4. We provide a batch file named testcppExamples.bat in
- c:\MyHDFstuff\hdf5\c++\examples directory for you to test HDF5 C++
- examples.
-
- testcppExamples.bat batch file has 4 options:
-
- testcppExamples release -- for release version
-
- testcppExamples release dll -- for release DLL version
-
- testcppExamples debug -- for debug version
-
- testcppExamples debug dll -- for debug DLL version
-
- Invoke a command prompt and run testcppExamples.bat with appropriate
- options. You should get "All HDF5 C++ examples tests passed." when the
- C++ examples are built successfully. Otherwise, the difference between
- the expected outputs and actual outputs will be given.
-
-
-To build and test HDF5 High Level C examples:
----------------------------------------------
-
- 1. Invoke Microsoft Visual Studio, go to "File" and select the "Open
- Solution" option.
-
- Then open the solution
- c:\MyHDFstuff\hdf5\windows\hl\examples\allhlcexamples\allhlcexamples.sln
-
- 2. Select "Build", and "Configuration Manager".
-
- 2.1 To build debug versions of C examples:
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- debug version of project "allhlcexamples".
-
- 2.2 To build release versions of C examples.
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- release version of project "allhlcexamples".
-
- When the debug and release build is done, binaries will be built in the
- following subdirectories of c:\MyHDFstuff\hdf5\examples\
-
- ex_image[1-2](dll)
- ex_lite1(dll)
- ex_table[01-12](dll)
- ex_ds1(dll)
- ptExample[FL+VL](dll)
-
- 3. Invoke a command prompt and run the batch file Install_hlcexamples.bat
- which resides in the top level directory (c:\MyHDFstuff\hdf5). This file
- creates 4 new directories, HLCexamplesRELEASE, HLCexamplesRELEASEDLL,
- HLCexamplesDEBUG, and HLCexamplesDEBUGDLL, in the
- c:\MyHDFstuff\hdf5\hl\examples directory and places all the executables in
- it. Both the release and debug versions of the examples should be built
- before this step is done.
-
- 4. We provide a batch file named test_hl_cexamples.bat in
- c:\MyHDFstuff\hdf5\hl\examples directory for you to test HDF5 high level C
- examples.
-
- test_hl_cexamples.bat batch file has 4 options:
-
- Options purpose
-
- test_hl_cexamples release -- for release version
-
- test_hl_cexamples release dll -- for release DLL version
-
- test_hl_cexamples debug -- for debug version
-
- test_hl_cexamples debug dll -- for debug DLL version
-
- Invoke a command prompt window and run test_hl_cexamples with
- appropriate options.
-
- Invoke a command prompt and run testExamples.bat with appropriate options.
- You should get "All of the HL C Examples Passed!" when the HL C examples
- are built successfully. Otherwise, the difference between the expected
- outputs and actual outputs will be given.
-
-
-========================================================================
- Section IV: Building an application using the HDF5 library or DLL
-========================================================================
-
-Waring: The instructions below will only describe how to build an application
- using the release version of the HDF5 library or DLL. To use the debug
- version of the HDF5 library or DLL, you need to substitute the release
- version of the HDF5 library or DLL with the debug version.
-
-
-To build an application that uses the HDF5 static library the following
-locations will need to be specified for locating header files and linking with
-the HDF static library, for example:
-
-c:\MyHDFstuff\hdf5\hdf5lib\release\include
-c:\MyHDFstuff\hdf5\hdf5lib\release\lib
-
-We assume that you will use Zlib and Szip compression with HDF5 library.
-
-1. Specifying Include Directories
-
-To specify the include directories in the settings for your Visual Studio
-project, you may choose one of the following two methods.
-
- Method One: Project-wide Settings
-
- 1. Open your project in Microsoft Visual Studio and make sure it is the
- active project.
-
- 2. Go to the Project menu and chose the "Properties" option.
-
- 3. Choose the build configuration you would like to modify in the drop
- down menu labeled "Configuration:"
-
- 4. Choose the "C/C++" tab, and select "General".
-
- 5. In a text-area labeled with "Additional Include Directories:", add
- HDF5, Zlib, and Szip header files directories. For example:
-
- c:\MyHDFstuff\hdf5\hdf5lib\release\include
- c:\zlib\include
- c:\szip\include
-
- Then click OK.
-
- 6. (Optional) To use HDF5 Fortran static library, the location of
- Fortran module files should be specified by following Project->
- Settings->Fortran->Preprocessor, and in the text-area labeled
- "Additional Include Directories", add HDF5 Fortran module files
- directories. For example:
-
- c:\MyHDFstuff\hdf5\hdf5lib\release\include
-
- Method Two: Visual Studio Settings
-
- 1. In Visual STudio, go to Tools->Options->Projects->
- VC++ Directories. Under "Show Directories For", select "Include files"
-
- 2. Insert the correct HDF5, Zlib, Szip paths for headers(include). For
- example,
-
- c:\MyHDFstuff\hdf5\hdf5lib\release\include
- c:\zlib\include
- c:\szip\include
-
-
-2. Specifying Library Directories
-
-To specify the library directories in the settings for your Visual Studio
-project, you may choose one of the following two methods.
-
- Method One: Project-wide Settings
-
- 1. Open your project in Microsoft Visual Studio and make sure it is the
- active project.
-
- 2. Go to the Project menu and chose the "Properties" option.
-
- 3. Choose the build configuration you would like to modify in the drop
- down menu labeled "Configuration:"
-
- 4. Choose the "Linker" tab, and select "General".
-
- 5. In a text-area labeled with "Additional Library Directories:", add
- HDF5, Zlib, and Szip library files directories. For example:
-
- c:\MyHDFstuff\hdf5\hdf5lib\release\lib
- c:\zlib\dll
- c:\szip\dll
-
- Note: To link with HDF5 DLLs rathern that static libraries, simply
- specify the "dll" directory rather than "lib", and link with the
- corresponding DLL link library below.
-
- Then click OK.
-
-
- Method Two: Visual Studio Settings
-
- 1. In Visual STudio, go to Tools->Options->Projects->
- VC++ Directories. Under "Show Directories For", select "Library files"
-
- 2. Insert the correct HDF5, Zlib, Szip paths for link libraries. For
- example,
-
- c:\MyHDFstuff\hdf5\hdf5lib\release\lib
- c:\zlib\dll
- c:\szip\dll
-
- Note: To link with HDF5 DLLs rathern that static libraries, simply
- specify the "dll" directory rather than "lib", and link with the
- corresponding DLL link library below.
-
-
-3. Specifying Libraries to Link
-
- To link the HDF5 static library with your application:
-
- 1. In Visual Studio, go to the Project menu and choose "Properties".
-
- 2. Find the "Link" option and "Input" category. In the "Additional
- Dependencies" field, insert "zlib.lib, libszip.lib, hdf5.lib".
-
- 3. (Optional) Also insert "hdf5_cpp.lib" if you want to use HDF5 C++
- static library.
-
- 4. (Optional) Also insert "hdf5_fortran.lib" if you want to use HDF5
- Fortran static library.
-
- 5. (Optional) Also insert "hdf5_hl.lib" if you want to use HDF5 high
- level static library.
-
- 6. (Optional) Also insert "hdf5_hl_cpp.lib" if you want to use HDF5 High
- Level C++ static library.
-
- 7. (Optional) Also insert "hdf5_hl_fortran.lib" if you want to use HDF5
- High Level Fortran static library.
-
-
- To link the HDF5 DLL library with your application:
-
- 1. Follow the steps for linking the HDF5 static library as shown above,
- except now link the export library that is created with the DLL.
-
- The export library is called hdf5dll.lib for HDF5 C libray,
- hdf5_cppdll.lib for HDF5 C++ library, and hdf5_fortrandll.lib
- for HDF5 Fortran library.
-
- 2. In the Project Properties dialog, go to the C/C++ > Preprocessor
- subsection. In the "Preprocessor Definitions" box, add "_HDF5USEDLL_"
- to the list.
-
- 3. (Optional) Also add HDF5CPP_USEDLL to use HDF5 C++ DLL.
-
- 4. (Optional) Also add _HDF5USEHLDLL_ to use HDF5 high level DLL.
-
- 5. (Optional) Also add HDF5USE_HLCPPDLL use HDF5 high level C++ DLL.
-
- 6. (Optional) Follow Project->Settings->Fortran->Category->General->
- Predefined Preprocess or Symbols, and add "HDF5F90_WINDOWS" to use HDF5
- Fortran DLL.
-
- 7. Place the DLLs in a location that Windows will be able to locate. The
- searched path and order for DLL's is
-
- a) The directory where the executable module for the current
- process is located.
- b) The current directory.
- c} The Windows system directory. The GetSystemDirectory function
- retrieves the path of this directory.
- d) The Windows directory. The GetWindowsDirectory function
- retrieves the path of this directory.
- e) The directories listed in the PATH environment variable.
-
-========================================================================
- Section V: How to disable Gzip(Zlib)/Szip compression
-========================================================================
-
-Warning: When you modify the H5pubconf.h file as described below, DO NOT just
- change the values of these macros from 1 to 0. Please DO remove (or
- comment out) appropriate lines.
-
- Notes:
-
- To disable Gzip and Szip at the same time, just make the appropriate
- modifications to H5pubconf.h and the environmental variables all together,
- and then Run-compile.
-
- These instructions assume that copy_hdf.bat has already been run in Section
- II. If you can't find H5pubconf.h file in the specified directory, please
- verify that this script has been run.
-
- 1. Disable Gzip (Zlib) Compression
-
- If you would like to remove Gzip compression from the HDF5 library, follow
- the steps below.
-
- 1.1 Open the H5pubconf.h file from the c:\MyHDFstuff\hdf5\src directory
- and remove (or comment out) the following two lines:
-
- #define H5_HAVE_ZLIB_H 1
- #define H5_HAVE_FILTER_DEFLATE 1
-
- Then save the file.
-
- 1.2 Delete HDF5_EXT_ZLIB environment variable if you have set it in
- preconditions.
-
- 1.3 Run-compile HDF5 library according to Section II.
-
- When you disable Gzip, you may get the following message when building
- HDF5 libraries: "The following environment variables were not found:
- $(HDF5_EXT_ZLIB)". This message can be ignored.
-
- 2. Disable Szip Compression (both encoder and decoder)
-
- If you would like to remove Szip compression from the HDF5 library, follow
- the steps below.
-
- 2.1 Open the H5pubconf.h file from the c:\MyHDFstuff\hdf5\src directory
- and remove (or comment out) the following two lines:
-
- #define H5_HAVE_SZLIB_H 1
- #define H5_HAVE_FILTER_SZIP 1
-
- Then save the file.
-
- 2.2 Delete HDF5_EXT_SZIP environment variable if you have set it in
- preconditions.
-
- 2.3 Run-compile HDF5 library according to Section II.
-
- When you disable Szip, you may get the following message when building
- HDF5 libraries: "The following environment variables were not found:
- $(HDF5_EXT_SZIP)". This message can be ignored.
-
- 3. Disable Szip Encoder
-
- If you would like to just disable Szip encoder from the HDF5
- library while keeping Szip decoder enabled, follow the steps
- below.
-
- 3.1 Download Szip library without encoder
-
- Szip library is different if you want to disable Szip encoder.
- Download szip-noenc binaries from
- ftp://ftp.hdfgroup.org/lib-external/szip/2.1/bin/windows. The Szip
- library and header path should also be set up accordingly (refer to
- precondition 6).
-
- 3.2 Run-compile HDF5 library according to Section II. The encoding
- functionality is detected dynamically.
-
-========================================================================
- Section VI: How to build HDF5 with Fortran Support
-========================================================================
-
-Notes: 1. For Intel Compiler users, Intel fortran Compiler10.1 is
- currently supported. Intel Compiler verion 7.x, 8.x and
- 9.x are no longer supported. Intel Compiler 11.1 can be used, however
- the fortran project files must be upgraded from within the IDE.
-
- 2. The Compaq Fortran Compiler is no longer supported for HDF5 1.8.
-
- 3. Visual Studio 2008 is supported only with Intel Fortran 10.1 and 11.1.
-
- 4. Parallel builds should be disabled. To do so: Go to Tools >
- Options > Projects and Solutions > Build and Run. Set "Maximum Number
- of Parallel Project Builds" to 1.
-
-
- Preconditions:
-
- a. Setup Szip Library for Intel Compiler.
-
- Szip source codes or binaries for Windows compilers can be downloaded
- from the following address:
-
- ftp://ftp.hdfgroup.org/lib-external/szip/2.1/bin/windows.
-
- b. Set up path for external libraries and headers
-
- Skip this part if you don't want to use ANY compression features
- provided by HDF5. Instead, read Section V.
-
- You have to read this part even if you want to use only Zlib
- or Szip. You also need to read Section V.
-
- 1) Invoke Microsoft Visual Studio.
-
- 2) From the main menu, Go to Tools > Options > Intel(R) Fortran. In the
- right panel, make sure your "Selected Compiler" is Intel Fortran.
-
- 3) Select the right-most box for "Libraries", and add Zlib and Szip
- library paths (c:\zlib\dll, c:\szip\dll for example).
-
- 4) Select right-most box for "Includes", and add Zlib and Szip header
- paths (c:\zlib\include c:\szip\include, for example).
-
- 5) Then click "OK".
-
-
-1. Build with Intel Fortran Compiler 11.1 under Visual Studio 2008
-
- Note: This step will build HDF5 Static and DLL C and C++ Library using
- Visual Studio compiler as well as HDF5 Static and High Level
- Fortran Library using Intel Fortran 11.1 Compiler.
-
- 1.1 Open all_fortran.sln
-
- Invoke Microsoft Visual Studio. From the main menu,
- go to "File" and select "Open Solution". Choose "all_fortran.sln"
- under the directory c:\MyHDFstuff\hdf5\windows\proj\all_fortran.
-
-
- 1.2 Build as Normal
-
- Follow steps as in Section II to build all HDF5 library files, including
- Fortran and HL Fortran libraries.
-
-
-2. Test HDF5 Static and High Level Fortran Library
-
- We provide 2 options for users to test HDF5 libraries and tools.
-
- Option 1: Automatic testings
-
- HDF5 comes with various test suites, all of which can be tested with
- hdf5check.bat batch file in c:\MyHDFstuff\hdf5 directory.
-
- hdf5check batch file can used to test HDF libraries with Fortran with
- the following options:
-
- hdf5check enablefortran Test HDF5 C/Fortran libraries and tools
-
-
- hdf5check enableall Test HDF5 C/C++/Fortran libraries and tools
- To use this option, HDF5 C++ and Fortran
- libraries must have been built.
-
- Invoke a command prompt window and run hdf5check with appropriate option.
- Users are encouraged to redirect their ouput into a file. There should
- be no "*FAILED*" marks.
-
- Option 2: Step-by-step HDF5 libraries and tools testings
-
- Note: This section provides step-by-step instructions for testing the
- Fortran librariy and tools only. To test the rest of the HDF5 library
- and tools, please see Section II, Step 2.
-
-
- a. Test HDF5 Static Fortran Library
-
- Open a command prompt in the hdf5\fortran\test directory
-
- a) Release Static, type:
- checkfortrantests release >"Your output filename"
-
- b) Release DLL, type:
- checkfortrantests release dll >"Your output filename"
-
- c) Debug Static, type:
- checkfortrantests debug >"Your output filename"
-
- d) Debug DLL, type:
- checkfortrantests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
- b. Test HDF5 High Level Fortran Library
-
- Open a command prompt in the hdf5\hl\fortran\test directory
-
- a) Release Static, type:
- checkhlfortrantests release >"Your output filename"
-
- b) Release DLL, type:
- checkhlfortrantests release dll >"Your output filename"
-
- c) Debug Static, type:
- checkhlfortrantests debug >"Your output filename"
-
- d) Debug DLL, type:
- checkhlfortrantests debug dll >"Your output filename"
-
- Use a text editor to check results. You should not find any FAILED marks
- in your output files.
-
-
-3. (Optional) Build HDF5 Fortan and HL Fortran Examples
-
- Note: This section only covers building Fortran and HL Fortran examples.
- For other examples, please see Section III.
-
- To build and test HDF5 Fortran example:
- ---------------------------------------
-
- 1. Open allf90examples.sln
-
- Invoke Microsoft Visual Studio. From the main menu,
- go to "File" and select "Open Solution". Choose "allf90examples.sln"
- under the directory
- c:\MyHDFstuff\hdf5\windows\fortran\examples\allf90examples.
-
- 2. Select "Build", then Select "Configuration Manager".
-
- 2.1 To build debug versions of Fortran examples.
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- debug version of project "allf90examples".
-
- 2.2 To build release versions of Fortran examples.
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- release version of project "allf90examples".
-
- When the debug build or release build is done, there should be the
- following subdirectories in c:\MyHDFstuff\hdf5\fortran\examples\
-
- attreexampletest
- attreexampletestdll
- compoundtest
- compoundtestdll
- dsetexampletest
- dsetexampletestdll
- fileexampletest
- fileexampletestdll
- groupexampletest
- groupexampletestdll
- grpdsetexampletest
- grpdsetexampletestdll
- grpittest
- grpittestdll
- grpsexampletest
- grpsexampletestdll
- hyperslabtest
- hyperslabtestdll
- mountexampletest
- mountexampletest
- refobjexampletest
- refobjexampletestdll
- refregexampletest
- refregexampletestdll
- rwdsetexampletest
- rwdsetexampletestdll
- selecteletest
- selecteletestdll
-
- 3. Invoke a command prompt and run the batch file Installf90Examples.bat
- which resides in the top level directory (c:\MyHDFstuff\hdf5). This
- file creates 4 new directories, f90examplesREL, f90examplesRELDLL,
- f90examplesDBG, and f90examplesDBGDLL, in the
- c:\MyHDFstuff\fortran\examples directory and places all the
- executables in it. Both the release and debug versions of the
- examples should be built before this step is done.
-
-
- To build and test HDF5 High Level Fortran examples:
- ---------------------------------------------------
-
- 1. Open allhlf90examples.sln
-
- Invoke Microsoft Visual Studio. From the main menu,
- go to "File" and select "Open Solution". Choose
- "allhlf90examples.sln" under the directory
- c:\MyHDFstuff\hdf5\windows\hl\fortran\examples\allhlf90examples.
-
- 2. Select "Build", then Select "Configuration Manager".
-
- 2.1 To build debug versions of Fortran examples.
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- debug version of project "allhlf90examples".
-
- 2.2 To build release versions of Fortran examples.
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build
- release version of project "allhlf90examples".
-
- When the debug build or release build is done, there should be the
- following subdirectories in c:\MyHDFstuff\hdf5\hl\fortran\examples
-
- ex_lite
- ex_litedll
-
- 3. Invoke a command prompt and run the batch file
- Install_hlf90examples.bat which resides in the top level directory
- (c:\MyHDFstuff\hdf5). This file creates 4 new directories,
- HLf90examplesRELEASE, HLf90examplesRELEASEDLL, HLf90examplesDEBUG,
- and HLf90examplesDEBUGDLL, in the
- c:\MyHDFstuff\hdf5\hl\fortran\examples directory and places all
- the executables in it. Both the release and debug versions of the
- examples should be built before this step is done.
-
- 4. We provide a batch file named test_hl_f90examples.bat in
- c:\MyHDFstuff\hdf5\hl\fortran\examples directory for you to test
- HDF5 high level fortran examples.
-
- test_hl_f90examples.bat batch file has 4 options:
-
- Options purpose
-
- test_hl_f90examples release -- for release version
-
- test_hl_f90examples release dll -- for release DLL version
-
- test_hl_f90examples debug -- for debug version
-
- test_hl_f90examples debug dll -- for debug DLL version
-
- Invoke a command prompt and run test_hl_f90examples with
- appropriate options.
-
- When you run "test_hl_f90examples release", the output will look
- like:
-
- release version of High Level Fortran examples PASSED
-
- Similar messages should be generated with another three options
- If the high level Fortran examples are built successfully.
-
-========================================================================
- Section VII : How to build Multi-threaded version of HDF5 library
-========================================================================
-
-Notes: In Visual Studio 2008, the Single-threaded runtime libraries have been
- depreciated, and Multi-threaded is built by default. Therefore, no extra
- work needs to be done to build Multi-threaded libraries in Visual Studio
- 2008.
-
-
-========================================================================
- Section VIII: How To Build And Test HDF5 With Thread-Safe Feature
-========================================================================
-
- All of the preconditions in "Preconditions" Section at the beginning of this
- document also apply to this section. There are some extra preconditions for
- this section only as following.
-
- Pre1. Pthread-Win32 Installed
-
- Posix Threads for Windows is a open source free software. Users can download
- it from http://sources.redhat.com/pthreads-win32/.
-
- HDF5 release 1.8 supports Pthread-Win32 2.7.0 (2005-06-04) or later. Since
- pthreadVC2.dll used by HDF5 1.8 is the release version dll of
- pthread-win32, ONLY HDF5 1.8 release dll are supported and tested on
- Windows XP.
-
- Pre2. Set Path for Pthread-Win32 header and library
-
- Invoke Microsoft Visual Studio, go to Tools->Options->Projects->VC++
- Directories.
-
- From the drop-down box under "Show directories for:",
-
- Choose "Include files", add in the path to Pthread-Win32 header file (For
- example: C:\PTHREADS_WIN32\INCLUDE).
-
- Choose "Library files", add in the path to Pthread-Winew library (For
- example: C:\PTHREADS_WIN32\LIB).
-
- Pre3. Enable HDF5 Thread-safe Feature on Windows
-
- Go to directory c:\MYHDFstuff\hdf5\windows\src, open H5pubconf.h and find the
- following messages and remove those comment signs referred to by those two
- arrows and save H5pubconf.h
-
-
- /*Users want to build and test hdf5 library with thread safe enabled,
- Make the following block active
- */
-
- /* <----
- #if defined _DLL
- #define H5_HAVE_THREADSAFE
- #define H5_HAVE_SYSTEM_SCOPE_THREADS 1
- #if defined TTSAFE_H
- #define sleep Sleep
- #endif
- #endif
- */ <----
-
-
- Pre4. Define Environment Variable(HDF5_EXT_PTHREAD) for PthreadVC2.lib
-
- To define this environment variable:
-
- Click "Start" -> "Control Panel" -> "System" -> "Advanced" ->
- "Environment Variables".
-
- If you are logged on as administrator to the local computer AND want to
- let all other users use these two environment variables, click "New" under
- "System Variables" box; otherwise, click "New" under "User Variables" box.
-
- In the New Variable window, set
- "Variable name" as HDF5_EXT_PTHREAD
- "Variable value" as pthreadVC2.lib
-
- Click OK.
-
- pre5. Copy pthreadVC2.dll to System Directory
-
- pthreadVC2.dll should be copied into the location that applications can
- find. One suggestion is to use the c:\WINDOWS\system.
-
-
-1. Build HDF5 Release DLL with Thread-safe Feature
-
- 1.1 Run batch file copy_hdf.bat.
-
- Go to c:\MyHDFstuff\hdf5\windows and run copy_hdf.bat. This process will
- copy all the necessary batch files, Windows-specific source code and text
- files saved under c:\MyHDFstuff\hdf5\windows directory to the corresponding
- directories under hdf5.
-
-
- 1.2 Invoke Microsoft Visual Studio
-
- Invoke Microsoft Visual Studio. From the main menu, go to "File" and select
- the "Open Solution" option. Then open the
- c:\MyHDFstuff\hdf5\windows\proj\all\all.sln workspace.
-
- 1.3 Add in Thread-safe Source Code for HDF5 Library
-
- Expand project "hdf5dll", right click on "source" and choose "Add Files to
- Folder...", browse to add in file "H5TS.c" under directory
- c:\MYHDFSTUFF\hdf5\src.
-
- 1.4 Link to pthreadVC2.lib
-
- Right click on project "hdf5dll", choose "Set as Active Project".
-
- Go to Project->Properties
-
- On the left pane, choose "Release" to the right of "Configuration:"
-
- Choose "Linker", choose "Input" from the left pane.
-
- Under "Additional Dependencies", add in "$(HDF5_EXT_PTHREAD)" (No
- quotation marks).
-
- Click on "OK".
-
- 1.5 Set Project Active Configurations
-
- Go to Build->Set Active Configuration, choose "Release" under "Project
- Configurations:", Click "OK".
-
- 1.6 Build HDF5 Release DLL with Thread-safe Feature
-
- Right-click on project hdf5dll and click "Build" to build HDF5 Release DLL
- with thread-safe feature.
-
- Warning messages can be ignored. But there should be no failures at all.
-
-2. Test Thread-safe Feature of HDF5 Release DLL
-
- 2.1 Build Release Version of Project libtestdll
-
- Go to Build->Set Active Configuration, choose
- "libtestdll-Win32 Release" under "Project configurations:", Click "OK".
-
- Go to Build->Build libtestdll.dll to build release version of Project
- libtestdll.
-
- 2.2 Build Release Version of Project ttsafedll
-
- Go to Build->Set Active Configuration, choose "Release" under "Project
- Configurations:", Click "OK".
-
- Right-click on project ttsafedll and click "Build" to build release version
- of Project ttsafedll.exe.
-
- 2.3 Install hdf5dll.dll
-
- Invoke a comand prompt, change directory to c:\MYHDFSTUFF\hdf5, run batch
- file install_dll.bat to copy
- c:\MYHDFSTUFF\hdf5\proj\hdf5dll\release\hdf5dll.dll into system directory.
-
- 2.4 Test Thread-safe Feature of HDF5 Release DLL
-
- Set project ttsafedll as the active project file if it is not. Go to
- Build->Execute ttsafedll.exe, the following is the test messages users
- should get:
-
- For help use: ttsafedll.exe -help
- Linked with hdf5 version 1.8 release 0
- Testing -- multi-dataset creation (dcreate)
- Testing -- per-thread error stacks (error)
- Testing -- thread cancellation safety test (cancel)
- Testing -- multi-attribute creation (acreate)
-
-
- All tests were successful.
-
-
- Cleaning Up temp files...
-
- Users who got the same messages as above have successfully built the release
- version of hdf5dll.dll.
-
-3. Build, Test and Install HDF5 Library and Tools
-
- Go back to Section II, Step I(2) to Build, test and install HDF5 libary and
- tools.
-
-========================================================================
- Section IX: How to build HDF5 for 64-bit Windows
-========================================================================
-
-HDF5 can be built for 64-bit Windows in Visual Studio 2008.
-
-Notes:
-
- 1. Building 64-bit HDF5 from a 32-bit machine is also unsupported. Because
- we generate source file H5tinit.c from a generated 64-bit executable,
- this must be done on a 64-bit machine.
-
-
-Prerequisites:
-
- 1. A 64-bit Windows machine.
-
- 2. Microsoft Visual Studio 2008 installed with x64 Extensions.
-
-
-Building:
-
- Building 64-bit Windows binaries is very similar to the process for 32-bit.
- Therefore, you may follow the instructions in Section II with the following
- modifications.
-
- 1. The x64 platform must be selected in the build configuration for
- debug and release versions. Before building, go to "Build",
- "Configuration Manager". In the "Active solution platform" box,
- select "x64", and press "Close".
-
- 2. 64-bit HDF5 must be built with 64-bit external libraries, unless
- external library support is disabled. You must add the include and
- library paths for x64 configurations as you have in the
- "Prerequisites" section. This is also true for Intel Fortran if
- Fortran libraries are to be built. If you do not wish to use
- external libraries, please read Section V about disabling them.
-
-Testing:
-
- We provide a test suite to verify all libraries and tools were built
- successfully. This test suite should work identically on 32- and 64-bit
- builds. Therefore, you may follow the instructions in Section II about
- testing. Note that because 64-bit binaries were built, these tests must
- run on a 64-bit machine.
-
-Installing:
-
- We provide a script that will install all headers, libraries, and tools
- into one folder, hdf5lib. This script should work identically on 32- and
- 64-bit builds. Therefore, you may follow the instructions in Section II
- about installing.
-
-========================================================================
- Section X: How to build HDF5 on Windows Vista
-========================================================================
-Building on Windows Vista is very similar to building on Windows XP, with
-some minor changes. Therefore, follow the build instructions above, with the
-following considerations:
-
- 1. Only Visual Studio 2008 is currently supported on Windows Vista.
-
- 2. Elevated security permissions are required to test the HDF5 libraries.
- This is because DLLs are installed in the system directory. To enable
- elevated security:
-
- 1. In the Start menu, search for "Command Prompt". Right click on
- the "Command Prompt" program, and select "Run as administrator."
-
- 2. A security dialog will pop up. Make sure you select "Continue."
-
- 3. Test HDF5 libraries and tools as usual using "hdf5check.bat"
- script.
-
-
-========================================================================
- Section XI: How to build HDF5 using Visual Studio 2010
-========================================================================
-Building with Visual Studio 2010 is very similar to building with Visual Studio
-2008, with some minor changes. Therefore, follow the build instructions above,
-with the following considerations:
-
- 1. Visual Studio 2010 uses a new format for project files, but Visual Studio
- 2008 project files can be easily converted. The HDF5 project files
- will need to be converted on first use. To do so:
-
- 1.1. Open the HDF5 Visual Studio 2008 solution file as in Section II
- (either all.sln or all_fortran.sln if building Fortran.)
-
- 1.2. You will be prompted with an automatic conversion wizard. Click
- through, accepting the default values. You may choose to create
- backups of the project files, although it isn't necessary.
-
- 1.3. When it is finished, it should state that all projects were
- converted successfully with no errors. Warnings can be ignored.
-
- 2. Once the project files have been converted, build and test normally.
- Note that the converted project files aren't backwards compatible with
- previous versions of Visual Studio.
-
-
-========================================================================
- Section XII: Backwards Compatibility with HDF5 1.6
-========================================================================
-
-Several basic HDF5 functions have changed over the years as requirements on
-the library and data format have evolved. To enable existing applications to
-run properly, all versions of these functions have been retained; for
-flexibility and ease-of-use, macros have been created that can be mapped
-either globally to broad sets of function versions or on a
-function-by-function basis to specific versions. For example, an overall
-approach can be determined by means global setting; function-level settings
-can then be used to override the global setting then for specific functions.
-
-To enable 1.6 API symbols in your application:
-
- 1. Build and test HDF5 normally (see Section II).
-
- 2. Open your application in Visual Studio. Right click on the
- project file, and select properties.
-
- 3. Select the C/C++ > Preprocessor pane on the left. In the list of
- "Preprocessor Definitions", add "H5_USE_16_API". (Note: macros in
- the list are separated by a semi-colon.)
-
- 4. Repeat this for each project and project configuration that uses
- HDF5 libraries.
-
- 5. Continue to build and test your application normally.
-
-
-========================================================================
- Section XIII: Misc.
-========================================================================
-
-1. Helpful Pointers
-
-Here are some helpful notes if you are not familiar with
-using the Visual C++ Development Environment.
-
- 1.1 Project name and location issues:
-
- It is recommended that you use the given directory structure for building
- HDF5. However, it is possible to create your own structure. If you must
- install all.sln and all.vcproj in another directory, relative to hdf5
- directory, you will be asked to locate the sub-project files, when you open
- the project all.sln.
-
- If you want to rename "all" (the entire project), you will need to modify
- two files all.sln and all.vcproj as text (contrary to the explicit warnings
- in the files).
-
-
- 1.2 Settings... details:
-
- If you create your own project, the necessary settings can be read
- from the all.vcproj file (as text), or from the Project Settings in the
- Visual Studio project settings dialog.
-
- 1.3 FAQ
-
- Many other common questions and hints are located online and being updated
- in the HDF5 FAQ. For Windows-specific questions, please see:
-
- http://www.hdfgroup.uiuc.edu/windows/faq.html
-
- For all other general questions, you can look in the general FAQ:
-
- http://hdfgroup.org/HDF5-FAQ.html
-
-
-************************************************************************
- Please send email to help@hdfgroup.org for further assistance.
+The old INSTALL_Windows documentation can be found in the
+obsolete_windows_docs\ folder located with this document.
diff --git a/release_docs/INSTALL_Windows_From_Command_Line.txt b/release_docs/INSTALL_Windows_From_Command_Line.txt
deleted file mode 100644
index 7557441..0000000
--- a/release_docs/INSTALL_Windows_From_Command_Line.txt
+++ /dev/null
@@ -1,168 +0,0 @@
-************************************************************************
-* Instructions for Building and Testing HDF5 on Windows XP *
-* (From Command Line) *
-************************************************************************
-Note: This instruction is written for users who would like to build HDF5
- libraries and tools from the HDF5 source code package on command
- line. We no longer support building HDF5 using Microsoft Visual
- Studio .NET 2003 or Visual Studio VS2005 or Intel Fortran 91.
-
- Currently, we support:
-
- 1. Building and testing HDF5 C/C++/Fortran libraries on command line with
- Microsoft Visual Studio 2008 for 32- or 64-bit Windows.
-
- 2. Building and testing HDF5 C/C++/Fortran libraries and utilities using
- CMake tools. Refer to the CMAKE.txt file for detailed information.
-
- For all other Windows development tools, HDF5 should be built in
- the development environment. Please refer to INSTALL_Windows.txt
- for detailed HDF5 building and installation information, or
- INSTALL_Windows_Short.txt for quick HDF5 building and installation
- instructions.
-
-WARNINGS:
-
-Please read CAREFULLY about HDF5 build and install preconditions and
-notes in INSTALL_Windows.txt before starting below procedures.
-
-
-========================================================================
- Section I: Building and testing HDF5 on command line with Microsoft
- Visual Studio
-========================================================================
-
-1. Preconditions:
-
- 1.1 Verify environment for Visual Studio
-
- Building from the command line requires environment variables for Visual
- Studio. These are generally setup when Visual Studio is installed, but you
- can verify by running the command:
-
- echo %vs90comntools%
-
- This should output a path similar to:
-
- C:\Program Files\Microsoft Visual Studio 9\Common7\Tools\
-
- 1.2 Run batch file copy_hdf.bat.
-
- Go to c:\MyHDFstuff\hdf5\windows and run copy_hdf.bat.
-
- 1.3 Setup evironment for external libraries
-
- Similarly to building from within Visual Studio, HDF5 requires environment
- variables for szip and zlib library names. To define these environment
- variables:
-
- From the command prompt that you will be building HDF5 from, issue the
- following command:
-
- set HDF5_EXT_ZLIB=zlib1.lib
-
- replacing "zlib1.lib" with the name of the zlib library on your system.
- Similarly, set HDF5_EXT_SZIP to the name of the szip library on your system.
-
- (Optional) If you will be building using the /useenv switch, you must also
- define variables INCLUDE and LIB with a semi-colon deliminated list of
- paths for szip and zlib include files and libraries, respectively. Set
- these variables in the same way you set HDF5_EXT_ZLIB and HDF5_EXT_SZIP.
-
-
-2. Building and testing HDF5 libraries and tools
-
- We provide 2 options for users to build and test HDF5 libraries
- and tools.
-
- 2.1 Options A: Build and test in one step
-
- A batch file named hdf5bt.bat in c:\MyHDFstuff\hdf5 directory is
- provided for users to build and test HDF5 library and tools together
- from command line.
-
- hdf5bt file takes the following options:
- /vs9 Build HDF5 using Visual Studio 2008
- /fort Build and test HDF5 with Fortran libraries
- /ivf101 Build HDF5 Fortran using Intel Visual Fortran 10.1
- /ivf111 Build HDF5 Fortran using Intel Visual Fortran 11.1
- /useenv Build HDF5 using compiler settings defined
- in the environment, rather than the IDE.
- /? Help information
-
- If you specify the "/useenv" option, then include and library
- directories for szip and zlib must have been set in the
- Preconditions above.
-
- Invoke a command prompt window and run hdf5bt. Users are
- encouraged to pipe the test output into a file. You should find no
- compilation errors or "*FAILED*" marks.
-
- 2.2 Options B: Build and test in two steps
-
- We also provide users with the option to build and test HDF5 libraries
- and tools seperately.
-
- Step 1) Build HDF5 Libraries and Tools
-
- A batch file named hdf5build.bat in c:\MyHDFstuff\hdf5
- directory is provided for users to build HDF5 library and
- tools from command line.
-
- hdf5build takes the following options:
- /vs9 Build HDF5 using Visual Studio 2008
- /fort Build HDF5 with Fortran libraries
- /ivf101 Build HDF5 Fortran using Intel Visual Fortran 10.1
- /ivf111 Build HDF5 Fortran using Intel Visual Fortran 11.1
- /nodebug Build HDF5 release versions only
- Note: Default is to build debug and release versions
- /useenv Build HDF5 using compiler settings defined
- in the environment, rather than the IDE.
- /? Help information
-
- If you specify the "/useenv" option, then include and library
- directories for szip and zlib must have been set in the
- Preconditions above.
-
- Invoke a command prompt window and run hdf5build. Users are
- encouraged to pipe the test output into a file. You can check
- the file to find out whether there are any compilation errors.
-
- Step 2) Test HDF5 Libraries and Tools
-
- HDF5 comes with various test suites, all of which can be tested with
- hdf5check.bat batch file in c:\MyHDFstuff\hdf5 directory.
-
- hdf5check batch file can be run with one of the following four options:
-
- hdf5check Test HDF5 C library and tools only.
-
- hdf5check enablecpp Test HDF5 C/C++ libraries and tools.
-
- hdf5check enablefortran Test HDF5 C/Fortran libraries and
- tools. To use this option, HDF5
- Fortran libraries must have been built.
-
- hdf5check enableall Test HDF5 C/Fortran libraries and
- tools. To use this option, HDF5
- Fortran libraries must have been built.
-
- nodebug option can be added to any of the above options to only
- test the release versions.
-
- Invoke a command prompt window and run hdf5check with appropriate
- option. Users are encouraged to pipe the test output into a file.
- You should find no "*FAILED*" marks.
-
-3. Installing HDF5 Libraries
-
-Run the batch file c:\MyHDFstuff\hdf5\installhdf5lib.bat to install all
-HDF5 libraries and tools into c:\MyHDFstuff\hdf5\hdf5lib directory.
-
-For further information, please refer to INSTALL_WINDOWS.txt.
-
-
-***********************************************************************
-For further information, please refer to INSTALL_WINDOWS.txt.
-
-Please send email to help@hdfgroup.org for further assistance.
diff --git a/release_docs/INSTALL_Windows_Short_NET.TXT b/release_docs/INSTALL_Windows_Short_NET.TXT
deleted file mode 100644
index db144d1..0000000
--- a/release_docs/INSTALL_Windows_Short_NET.TXT
+++ /dev/null
@@ -1,10 +0,0 @@
-************************************************************************
-* Build and Install HDF5 C/C++ Library with VS .NET 2003 *
-* with Windows XP (Short Version) *
-************************************************************************
-
-Notes: We no longer support building HDF5 using Microsoft Visual Studio .NET 2003.
-************************************************************************
-
-Need further assistance, send email to help@hdfgroup.org
-
diff --git a/release_docs/INSTALL_Windows_Short_VS2005.TXT b/release_docs/INSTALL_Windows_Short_VS2005.TXT
deleted file mode 100644
index 9ed15b4..0000000
--- a/release_docs/INSTALL_Windows_Short_VS2005.TXT
+++ /dev/null
@@ -1,10 +0,0 @@
-************************************************************************
-* Build and Install HDF5 C/C++ Library with Visual Studio 2005 *
-* with Windows XP (Short Version) *
-************************************************************************
-
-Notes: We no longer support building HDF5 using Microsoft Visual Studio 2005.
-************************************************************************
-
-Need further assistance, send email to help@hdfgroup.org
-
diff --git a/release_docs/INSTALL_Windows_Short_VS2008.TXT b/release_docs/INSTALL_Windows_Short_VS2008.TXT
deleted file mode 100644
index 8ff8866..0000000
--- a/release_docs/INSTALL_Windows_Short_VS2008.TXT
+++ /dev/null
@@ -1,192 +0,0 @@
-************************************************************************
-* Build and Install HDF5 C/C++ Library with Visual Studio 2008 *
-* with Windows XP (Short Version) *
-************************************************************************
-
-Notes: This short instruction is written for users who want to quickly build
- HDF5 library and tools from the HDF5 source code package with Microsoft
- Visual Studio 2008 but do not want to know HDF5 building and installation
- details on Windows XP.
-
- For detailed HDF5 build and install information, or if you have trouble
- following any steps in the instructions, please refer to
- INSTALL_Windows.txt for further information.
-
- For users who would like to build and test HDF5 package from the
- command line, please refer to INSTALL_Windows_From_Command_Line.txt.
-
- Notes:
-
- 1. HDF5 1.8 can also be built using Visual Studio 2008 on Windows
- Vista. For details, please see Section X of INSTALL_Windows.txt.
-
- 2. 64-bit builds are also supported in Visual Studio 2008. For details
- please see Section IX of INSTALL_Windows.txt.
-
- 3. Fortran libraries can be built with Visual Studio 2008 and Intel
- Fortran 10.1. For details, see Section VI of INSTALL_Windows.txt.
-
-WARNINGS:
-
-Please read CAREFULLY about HDF5 build and install preconditions and
-notes in INSTALL_Windows.txt before starting below procedures.
-
-
-========================================================================
- Preconditions
-========================================================================
-
- 1. Set up path for external libraries and headers
-
- Skip this part if you don't want to use ANY compression features provided
- by HDF5. Please do read Section V in INSTALL_Windows.txt.
-
- You have to read this part even if you want to only use Zlib or Szip. You
- also need to read Section V in INSTALL_Windows.txt.
-
- Invoke Microsoft Visual Studio and go to "Tools" and select "Options". In
- the left pane of "Option" window poped up, choose and expand "Projects",
- Click on "VC++ Directories". In the right pane, Find the box "Show
- directories for", choose "Include files", if you can not find your Zlib
- and Szip header path (for example, c:\zlib125\include, c:\szip\include)
- from the directory list, add the header path (c:\zlib125\include,
- c:\szip\include) to the included directories.
-
- Find the box "Show directories for", choose "Library files", If you cannot
- find your Zlib and Szip library path (for example, c:\zlib125\dll,
- c:\szip\dll) from the directory list, add the library path
- (c:\zlib125\dll, c:\szip\dll) to the library directories.
-
-========================================================================
- Building HDF5 C/C++ Libraries with Visual Studio 2005
-========================================================================
-
- 1. Run batch file copy_hdf.bat
-
- Go to c:\MyHDFstuff\hdf5\windows and run copy_hdf.bat. This process will
- copy all the necessary batch files, windows specific source codes and
- text files saved under c:\MyHDFstuff\hdf5\windows directory to the
- corresponding directories under hdf5.
-
- 2. Invoke Microsoft Visual Studio compiler
-
- Invoke Microsoft Visual Studio. From the main menu, go to "File" and
- select the "Open Solution" option. Then open the
- c:\MyHDFstuff\hdf5\windows\proj\all\all.sln solution if you are building
- without Fortran libraries, or
- c:\MyHDFstuff\hdf5\windows\proj\all_fortran\all_fortran.sln if you would
- like to use Fortran.
-
- You should find Windows project files listed as "all", "big", etc. on the
- left.
-
-
- 3. (Optional) Disable HDF5 C++ and High level C++
-
- In HDF5 1.8, C++ and HL C++ libraries are built by default. To opt-out,
- you must explicitly disable them.
-
- 3.1 Skip this step if you do want to build HDF5 High-Level C++ libraries
-
- Go to "Project" and select "Project Dependencies". Select "all", and
- disable all of the following projects:
-
- hdf5_hl_cpp
- hdf5_hl_cppdll
- hl_test_table_cpp
- hl_test_table_cppdll
-
- 3.2 Skip this step if you do want to build HDF5 High-Level libraries
-
- Go to "Project" and select "Project Dependencies". Select "all", and
- disable all of the project files listed in the previous step, as well
- as the following projects:
-
- hdf5_hl
- hdf5_hldll
- hl_test_image
- hl_test_imagedll
- hl_test_lite
- hl_test_litedll
- hl_test_table
- hl_test_tabledll
- hl_test_ds
- hl_test_dsdll
- hl_test_packet
- hl_test_packetdll
-
- Click on "OK", From the main menu, choose "Build"-> "Build" or
- "Rebuild ALL" to build both release and debug version of HDF5
- Libraries.
-
-
- 4. Select "Build", then Select "Configuration Manager".
-
- 4.1 To build debug static libraries, debug multithreaded DLLs, and tests:
-
- In "Active Solution Configuration", select "Debug". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build debug
- version of project "all".
-
- 4.2 To build release static libraries, multithreaded DLLs and tests:
-
- In "Active Solution Configuration", select "Release". Select "Close".
- Select "Build" -> "Build Solution" or "Rebuild Solution" to build release
- version of project "all".
-
- Both debug and release versions must be built.
-
- Warning messages can be ignored.
-
-========================================================================
- Testing HDF5 C/C++ Libraries
-========================================================================
-
-HDF5 libraries and tools should be tested to make sure that they were
-built correctly. c:\MyHDFstuff\hdf5\hdf5check.bat was provided to test
-HDF5 libraries and tools.
-
-hdf5check.bat has four options:
-
- hdf5check test HDF5 C library and tools only
-
- hdf5check enablecpp test HDF5 C/C++ libraries and tools
-
- hdf5check enablefortran test HDF5 C/Fortran libraries and tools
-
- hdf5check enableall test HDF5 C/C++/Fortran libraries and tools
-
- nodebug -- can be added to any of the above to not
- test debug versions
-
-Notes: Users who only build HDF5 C/C++ libraries ONLY have the first
- two options.
-
-Invoke a command prompt window and run hdf5check with appropriate option.
-Users are encouraged to pipe the test output into a file. You should find
-no "*FAILED*" marks.
-
-If you want to test HDF5 libraries and tools one by one, please refer to
-Section II, step 2 in INSTALL_Windows.txt.
-
-
-========================================================================
- Installing HDF5 C/C++ Libraries
-========================================================================
-
-Run the batch file c:\MyHDFstuff\hdf5\installhdf5lib.bat to install
-all HDF5 libraries and tools into c:\MyHDFstuff\hdf5\hdf5lib directory.
-
-========================================================================
- Building HDF5 Examples and Applications
-========================================================================
-
-Building HDF5 Examples is Optional. Please read Section II, step 4 and
-the following part in INSTALL_Windows.txt for detailed information.
-
-
-
-************************************************************************
-
-Need further assistance, send email to help@hdfgroup.org
-
diff --git a/release_docs/INSTALL_parallel b/release_docs/INSTALL_parallel
index d771c0b..b2e1eec 100644
--- a/release_docs/INSTALL_parallel
+++ b/release_docs/INSTALL_parallel
@@ -6,7 +6,7 @@
-----------
This file contains instructions for the installation of parallel HDF5 (PHDF5).
It is assumed that you are familiar with the general installation steps as
-described in the INSATLL file. Get familiar with that file before trying
+described in the INSTALL file. Get familiar with that file before trying
the parallel HDF5 installation.
The remaining of this section explains the requirements to run PHDF5.
diff --git a/release_docs/RELEASE.txt b/release_docs/RELEASE.txt
index 328bba6..13889de 100644
--- a/release_docs/RELEASE.txt
+++ b/release_docs/RELEASE.txt
@@ -1,4 +1,4 @@
-HDF5 version 1.9.128 currently under development
+HDF5 version 1.9.132 currently under development
================================================================================
@@ -39,6 +39,9 @@ New Features
Configuration:
-------------
+ - Fixed AIX Fortran compiler flags to use appropriate settings for
+ debugging, profiling, optimization situations. HDFFV-8069. (AKC
+ 2012/09/27)
- Updated to latest autotools and changed all hard *.sh scripts to
configure managed *.sh.in files. Removed overloading of autotools
TESTS variable by examples and tests. Renamed configure.in to
@@ -97,6 +100,9 @@ New Features
Library:
--------
+ - The library now supports the data conversion from enumeration to numeric
+ (integer and floating-point number) datatypes. See Issue 8221.
+ (SLU - 2012/10/23)
- The data sieve buffer size was for all the datasets in the file. It
could waste memory if any dataset size is smaller than the sieve buffer
size. Now the library picks the smaller one between the dataset size
@@ -185,6 +191,8 @@ New Features
Fortran Library:
----------------
+ - Added parallel routine H5Pget_mpio_actual_io_mode_f (MSB - 2012/09/27)
+
- Added for the C API the Fortran wrapper:
h5ocopy_f (MSB - 2012/03/22)
@@ -230,6 +238,7 @@ New Features
(MSB - 2009/04/17)
+
C++ Library:
------------
- New member functions
@@ -698,6 +707,11 @@ Bug Fixes since HDF5-1.8.0 release
Performance
-------------
+ - Removed program perform/benchpar from the enable-build-all list. The
+ program will be retired or moved to another location. HDFFV-8156
+ (AKC 2012/10/01)
+ - Retired program perform/mpi-perf. Its purpose has been incorporated
+ into h5perf before. (AKC 2012/09/20)
- ifdefs added to tests around include unistd.h and function to simulate
getlogin() on Windows.
(ADB - 2011/08/15)
@@ -710,11 +724,37 @@ Bug Fixes since HDF5-1.8.0 release
with other Fortran functions; cleaned the code from debug statements.
(EIP - 2012/06/23)
+ - Fixed problem writing/reading control characters to a dataset; writing
+ a string containing: alerts, backspace, carriage_return, form_feed,
+ horizontal_tab, vertical_tab, new_line is now tested and working.
+ (MSB - 2012/09/01)
+
+ - Corrected the integer type of H5S_UNLIMITED_F to HSIZE_T (MSB - 2012/09/01)
+
+ - Corrected the number of continuation lines in the src files
+ to be less then 32 lines for F95 compliance. (MSB - 2012/10/01)
+
Tools
-----
+ - h5diff: Improved speed when comparing HDF5 files with lots of
+ attributes. Much slower performance was identified with release version
+ from 1.8.7 to 1.8.10 compared to 1.8.6. (JKM 2012/10/19)
+ - h5repack: "h5repack -f NONE file1.h5 out.h5" command failed if
+ source file contains chunked dataset and a chunk dim is bigger than
+ the dataset dim. Another issue is that the command changed max dims
+ if chunk dim is smaller than the dataset dim.
+ These issue occurred when dataset size is smaller than 64k (compact
+ size limit) Fixed both.
+ HDFFV-8012 (JKM 2012/09/24)
+ - h5diff: Fixed not to accumulate attribute difference to dataset
+ difference in verbose mode (-v, -r), which caused incorrect
+ difference between dataset and group/datatype object if attribute
+ exist with any differences. This also lead to fix inconsistent
+ format indicating difference between dataset and group/datatype
+ object. HDFFV-5919 (JKM 2012/09/05)
- h5diff: Fixed the incorrect result when comparing attribute data
values and the data type has same class but different size.
- HDFFV-7942 (JKM 08/15/2012)
+ HDFFV-7942 (JKM 2012/08/15)
- ph5diff: Fixed intermittent hang issue on a certain operation in
parallel mode. It was detected by daily test for comparing
non-comparable objects, but it could have occurred in other
@@ -926,6 +966,13 @@ Bug Fixes since HDF5-1.8.0 release
High-Level APIs:
------
+
+ - Fixed problem with H5TBdelete_record destroying all data following the deletion
+ of a row. (MSB- 2012/7/26)
+
+ - Fixed H5LTget_attribute_string not closing an object identifier when an
+ error occurs. (MSB- 2012/7/21)
+
- Fixed the H5LTdtype_to_text function. It had some memory problems when
dealing with some complicated data types. HDFFVI-7701 (SLU - 2011/10/19)
@@ -1013,14 +1060,12 @@ Platforms Tested
xlf90 12.1.0.6
FreeBSD 8.2-STABLE i386 gcc 4.2.1 [FreeBSD] 20070719
- (loyalty) g++ 4.2.1 [FreeBSD] 20070719
- gcc 4.6.1 20110422
+ (loyalty) gcc 4.6.1 20110422
g++ 4.6.1 20110422
gfortran 4.6.1 20110422
FreeBSD 8.2-STABLE amd64 gcc 4.2.1 [FreeBSD] 20070719
- (freedom) g++ 4.2.1 [FreeBSD] 20070719
- gcc 4.6.1 20110422
+ (freedom) gcc 4.6.1 20110422
g++ 4.6.1 20110422
gfortran 4.6.1 20110422
@@ -1097,7 +1142,7 @@ SunOS5.10 32-bit n y n y y y
Windows 7 y y n y y y
Windows 7 x64 y y n y y y
Mac OS X 10.5 Intel n y n y y y
-FreeBSD 8.2 32- and 64-bit n x n x y y
+FreeBSD 8.2 32- and 64-bit n y n y y y
RedHat EL4 2.6.9 i686 GNU W y(2) y(4) y(2) y y y
RedHat EL4 2.6.9 i686 Intel W n y n y y n
RedHat EL4 2.6.9 i686 PGI W n y n y y n
@@ -1113,7 +1158,6 @@ SuSe Linux 2.6.5
Alpha OpenVMS 7.3.2 n y n y n n
-
Platform Shared Shared Shared static- Thread-
C libs F90 libs C++ libs exec safe
SunOS 5.10 32-bit y y y x y
@@ -1123,7 +1167,7 @@ Windows XP x64 y y(3) y y n
Windows Vista y y(3) y y y
Windows Vista x64 y y(3) y y y
Mac OS X 10.5 Intel y y y x n
-FreeBSD 8.2 32- and 64-bit y x x y y
+FreeBSD 8.2 32- and 64-bit y y y y y
RHEL4 2.6.9 i686 GNU W y y(4) y x y
RHEL4 2.6.9 i686 Intel W y y y x n
RHEL4 2.6.9 i686 PGI W y y y x n
@@ -1149,6 +1193,11 @@ SuSe Linux 2.6.5
Known Problems
==============
+* The C++ and FORTRAN bindings are not currently working on FreeBSD with the
+ native release 8.2 compilers (4.2.1), but are working with gcc 4.6 from the
+ ports (and probably gcc releases after that).
+ (QAK - 2012/10/19)
+
* The data conversion test dt_arith.c has failures (segmentation fault) from
"long double" to other datatypes during hard conversion when the library
is built with the default GCC 4.2.1 on Mac Lion system. It only happens
diff --git a/release_docs/Using_CMake.txt b/release_docs/USING_CMake.txt
index 71f2fcf..71f2fcf 100644
--- a/release_docs/Using_CMake.txt
+++ b/release_docs/USING_CMake.txt
diff --git a/release_docs/USING_Windows.txt b/release_docs/USING_Windows.txt
index 410a759..9afbeb1 100644
--- a/release_docs/USING_Windows.txt
+++ b/release_docs/USING_Windows.txt
@@ -1,6 +1,6 @@
***********************************************************************
-* HDF5 Build and Install Instructions for Windows XP/VISTA *
+* HDF5 Build and Install Instructions for Windows *
* (Full Version) *
***********************************************************************
@@ -659,7 +659,7 @@ using the Visual C++ Development Environment.
Many other common questions and hints are located online and being updated
in the HDF5 FAQ. For Windows-specific questions, please see:
- http://www.hdfgroup.uiuc.edu/windows/faq.html
+ http://www.hdfgroup.org/windows/faq.html
For all other general questions, you can look in the general FAQ:
diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
index c67431b..f398af5 100644
--- a/src/CMakeLists.txt
+++ b/src/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_SRC C CXX)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# List Source Files
#-----------------------------------------------------------------------------
SET (H5_SRCS
@@ -12,6 +17,7 @@ SET (H5_SRCS
${HDF5_SRC_DIR}/H5timer.c
${HDF5_SRC_DIR}/H5trace.c
)
+
SET (H5_HDRS
${HDF5_SRC_DIR}/hdf5.h
${HDF5_SRC_DIR}/H5api_adpt.h
@@ -29,6 +35,7 @@ SET (H5A_SRCS
${HDF5_SRC_DIR}/H5Aint.c
${HDF5_SRC_DIR}/H5Atest.c
)
+
SET (H5A_HDRS
${HDF5_SRC_DIR}/H5Apkg.h
${HDF5_SRC_DIR}/H5Apublic.h
@@ -38,6 +45,7 @@ IDE_GENERATED_PROPERTIES ("H5A" "${H5A_HDRS}" "${H5A_SRCS}" )
SET (H5AC_SRCS
${HDF5_SRC_DIR}/H5AC.c
)
+
SET (H5AC_HDRS
${HDF5_SRC_DIR}/H5ACpkg.h
${HDF5_SRC_DIR}/H5ACpublic.h
@@ -109,6 +117,7 @@ SET (H5D_SRCS
${HDF5_SRC_DIR}/H5Dselect.c
${HDF5_SRC_DIR}/H5Dtest.c
)
+
SET (H5D_HDRS
${HDF5_SRC_DIR}/H5Dpkg.h
${HDF5_SRC_DIR}/H5Dpublic.h
@@ -120,6 +129,7 @@ SET (H5E_SRCS
${HDF5_SRC_DIR}/H5Edeprec.c
${HDF5_SRC_DIR}/H5Eint.c
)
+
SET (H5E_HDRS
${HDF5_SRC_DIR}/H5Edefin.h
${HDF5_SRC_DIR}/H5Einit.h
@@ -167,6 +177,7 @@ SET (H5F_SRCS
${HDF5_SRC_DIR}/H5Fsuper_cache.c
${HDF5_SRC_DIR}/H5Ftest.c
)
+
SET (H5F_HDRS
${HDF5_SRC_DIR}/H5Fpkg.h
${HDF5_SRC_DIR}/H5Fpublic.h
@@ -206,6 +217,7 @@ SET (H5FD_SRCS
${HDF5_SRC_DIR}/H5FDstdio.c
${HDF5_SRC_DIR}/H5FDwindows.c
)
+
SET (H5FD_HDRS
${HDF5_SRC_DIR}/H5FDcore.h
${HDF5_SRC_DIR}/H5FDdirect.h
@@ -248,6 +260,7 @@ SET (H5FS_SRCS
${HDF5_SRC_DIR}/H5FSstat.c
${HDF5_SRC_DIR}/H5FStest.c
)
+
SET (H5FS_HDRS
${HDF5_SRC_DIR}/H5FSpkg.h
${HDF5_SRC_DIR}/H5FSpublic.h
@@ -274,6 +287,7 @@ SET (H5G_SRCS
${HDF5_SRC_DIR}/H5Gtest.c
${HDF5_SRC_DIR}/H5Gtraverse.c
)
+
SET (H5G_HDRS
${HDF5_SRC_DIR}/H5Gpkg.h
${HDF5_SRC_DIR}/H5Gpublic.h
@@ -298,6 +312,7 @@ SET (H5HF_SRCS
${HDF5_SRC_DIR}/H5HFtest.c
${HDF5_SRC_DIR}/H5HFtiny.c
)
+
SET (H5HF_HDRS
${HDF5_SRC_DIR}/H5HFpkg.h
${HDF5_SRC_DIR}/H5HFpublic.h
@@ -310,6 +325,7 @@ SET (H5HG_SRCS
${HDF5_SRC_DIR}/H5HGdbg.c
${HDF5_SRC_DIR}/H5HGquery.c
)
+
SET (H5HG_HDRS
${HDF5_SRC_DIR}/H5HGpkg.h
${HDF5_SRC_DIR}/H5HGpublic.h
@@ -322,6 +338,7 @@ SET (H5HL_SRCS
${HDF5_SRC_DIR}/H5HLdbg.c
${HDF5_SRC_DIR}/H5HLint.c
)
+
SET (H5HL_HDRS
${HDF5_SRC_DIR}/H5HLpkg.h
${HDF5_SRC_DIR}/H5HLpublic.h
@@ -365,6 +382,7 @@ SET (H5MF_SRCS
${HDF5_SRC_DIR}/H5MFdbg.c
${HDF5_SRC_DIR}/H5MFsection.c
)
+
SET (H5MF_HDRS
)
IDE_GENERATED_PROPERTIES ("H5MF" "${H5MF_HDRS}" "${H5MF_SRCS}" )
@@ -383,6 +401,7 @@ SET (H5MP_SRCS
${HDF5_SRC_DIR}/H5MP.c
${HDF5_SRC_DIR}/H5MPtest.c
)
+
SET (H5MP_HDRS
${HDF5_SRC_DIR}/H5MPpkg.h
)
@@ -423,6 +442,7 @@ SET (H5O_SRCS
${HDF5_SRC_DIR}/H5Otest.c
${HDF5_SRC_DIR}/H5Ounknown.c
)
+
SET (H5O_HDRS
${HDF5_SRC_DIR}/H5Opkg.h
${HDF5_SRC_DIR}/H5Opublic.h
@@ -437,6 +457,7 @@ SET (H5P_SRCS
${HDF5_SRC_DIR}/H5Pdcpl.c
${HDF5_SRC_DIR}/H5Pdeprec.c
${HDF5_SRC_DIR}/H5Pdxpl.c
+ ${HDF5_SRC_DIR}/H5Pencdec.c
${HDF5_SRC_DIR}/H5Pfapl.c
${HDF5_SRC_DIR}/H5Pfcpl.c
${HDF5_SRC_DIR}/H5Pfmpl.c
@@ -449,6 +470,7 @@ SET (H5P_SRCS
${HDF5_SRC_DIR}/H5Pstrcpl.c
${HDF5_SRC_DIR}/H5Ptest.c
)
+
SET (H5P_HDRS
${HDF5_SRC_DIR}/H5Ppkg.h
${HDF5_SRC_DIR}/H5Ppublic.h
@@ -494,6 +516,7 @@ SET (H5S_SRCS
${HDF5_SRC_DIR}/H5Sselect.c
${HDF5_SRC_DIR}/H5Stest.c
)
+
SET (H5S_HDRS
${HDF5_SRC_DIR}/H5Spkg.h
${HDF5_SRC_DIR}/H5Spublic.h
@@ -516,6 +539,7 @@ SET (H5SM_SRCS
${HDF5_SRC_DIR}/H5SMmessage.c
${HDF5_SRC_DIR}/H5SMtest.c
)
+
SET (H5SM_HDRS
${HDF5_SRC_DIR}/H5SMpkg.h
)
@@ -555,6 +579,7 @@ SET (H5T_SRCS
${HDF5_SRC_DIR}/H5Tvisit.c
${HDF5_SRC_DIR}/H5Tvlen.c
)
+
SET (H5T_HDRS
${HDF5_SRC_DIR}/H5Tpkg.h
${HDF5_SRC_DIR}/H5Tpublic.h
@@ -600,6 +625,8 @@ IF (H5_ZLIB_HEADER)
SET_PROPERTY(SOURCE ${HDF5_SRC_DIR}/H5Zdeflate.c PROPERTY
COMPILE_DEFINITIONS H5_ZLIB_HEADER="${H5_ZLIB_HEADER}")
ENDIF (H5_ZLIB_HEADER)
+
+
SET (H5Z_HDRS
${HDF5_SRC_DIR}/H5Zpkg.h
${HDF5_SRC_DIR}/H5Zpublic.h
diff --git a/src/H5AC.c b/src/H5AC.c
index 99caf28..ed79813 100644
--- a/src/H5AC.c
+++ b/src/H5AC.c
@@ -282,11 +282,13 @@ H5AC_init_interface(void)
/* Insert 'block before metadata write' property */
block_before_meta_write=1;
- if(H5P_insert(xfer_plist,H5AC_BLOCK_BEFORE_META_WRITE_NAME,H5AC_BLOCK_BEFORE_META_WRITE_SIZE,&block_before_meta_write,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_insert(xfer_plist,H5AC_BLOCK_BEFORE_META_WRITE_NAME,H5AC_BLOCK_BEFORE_META_WRITE_SIZE,&block_before_meta_write,
+ NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't insert metadata cache dxpl property")
/* Insert 'library internal' property */
- if(H5P_insert(xfer_plist,H5AC_LIBRARY_INTERNAL_NAME,H5AC_LIBRARY_INTERNAL_SIZE,&library_internal,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_insert(xfer_plist,H5AC_LIBRARY_INTERNAL_NAME,H5AC_LIBRARY_INTERNAL_SIZE,&library_internal,
+ NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't insert metadata cache dxpl property")
/* Set the transfer mode */
@@ -304,11 +306,13 @@ H5AC_init_interface(void)
/* Insert 'block before metadata write' property */
block_before_meta_write=0;
- if(H5P_insert(xfer_plist,H5AC_BLOCK_BEFORE_META_WRITE_NAME,H5AC_BLOCK_BEFORE_META_WRITE_SIZE,&block_before_meta_write,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_insert(xfer_plist,H5AC_BLOCK_BEFORE_META_WRITE_NAME,H5AC_BLOCK_BEFORE_META_WRITE_SIZE,&block_before_meta_write,
+ NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't insert metadata cache dxpl property")
/* Insert 'library internal' property */
- if(H5P_insert(xfer_plist,H5AC_LIBRARY_INTERNAL_NAME,H5AC_LIBRARY_INTERNAL_SIZE,&library_internal,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_insert(xfer_plist,H5AC_LIBRARY_INTERNAL_NAME,H5AC_LIBRARY_INTERNAL_SIZE,&library_internal,
+ NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't insert metadata cache dxpl property")
/* Set the transfer mode */
@@ -326,11 +330,13 @@ H5AC_init_interface(void)
/* Insert 'block before metadata write' property */
block_before_meta_write=0;
- if(H5P_insert(xfer_plist,H5AC_BLOCK_BEFORE_META_WRITE_NAME,H5AC_BLOCK_BEFORE_META_WRITE_SIZE,&block_before_meta_write,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_insert(xfer_plist,H5AC_BLOCK_BEFORE_META_WRITE_NAME,H5AC_BLOCK_BEFORE_META_WRITE_SIZE,&block_before_meta_write,
+ NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't insert metadata cache dxpl property")
/* Insert 'library internal' property */
- if(H5P_insert(xfer_plist,H5AC_LIBRARY_INTERNAL_NAME,H5AC_LIBRARY_INTERNAL_SIZE,&library_internal,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_insert(xfer_plist,H5AC_LIBRARY_INTERNAL_NAME,H5AC_LIBRARY_INTERNAL_SIZE,&library_internal,
+ NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't insert metadata cache dxpl property")
/* Set the transfer mode */
diff --git a/src/H5ACprivate.h b/src/H5ACprivate.h
index 26fa051..17ce310 100644
--- a/src/H5ACprivate.h
+++ b/src/H5ACprivate.h
@@ -236,27 +236,27 @@ H5_DLLVAR hid_t H5AC_ind_dxpl_id;
/* hbool_t evictions_enabled = */ TRUE, \
/* hbool_t set_initial_size = */ TRUE, \
/* size_t initial_size = */ ( 2 * 1024 * 1024), \
- /* double min_clean_fraction = */ 0.3, \
+ /* double min_clean_fraction = */ 0.3f, \
/* size_t max_size = */ (32 * 1024 * 1024), \
/* size_t min_size = */ (1 * 1024 * 1024), \
/* long int epoch_length = */ 50000, \
/* enum H5C_cache_incr_mode incr_mode = */ H5C_incr__threshold, \
- /* double lower_hr_threshold = */ 0.9, \
- /* double increment = */ 2.0, \
+ /* double lower_hr_threshold = */ 0.9f, \
+ /* double increment = */ 2.0f, \
/* hbool_t apply_max_increment = */ TRUE, \
/* size_t max_increment = */ (4 * 1024 * 1024), \
/* enum H5C_cache_flash_incr_mode */ \
/* flash_incr_mode = */ H5C_flash_incr__add_space, \
- /* double flash_multiple = */ 1.0, \
- /* double flash_threshold = */ 0.25, \
+ /* double flash_multiple = */ 1.0f, \
+ /* double flash_threshold = */ 0.25f, \
/* enum H5C_cache_decr_mode decr_mode = */ H5C_decr__age_out_with_threshold, \
- /* double upper_hr_threshold = */ 0.999, \
- /* double decrement = */ 0.9, \
+ /* double upper_hr_threshold = */ 0.999f, \
+ /* double decrement = */ 0.9f, \
/* hbool_t apply_max_decrement = */ TRUE, \
/* size_t max_decrement = */ (1 * 1024 * 1024), \
/* int epochs_before_eviction = */ 3, \
/* hbool_t apply_empty_reserve = */ TRUE, \
- /* double empty_reserve = */ 0.1, \
+ /* double empty_reserve = */ 0.1f, \
/* int dirty_bytes_threshold = */ (256 * 1024), \
/* int metadata_write_strategy = */ \
H5AC__DEFAULT_METADATA_WRITE_STRATEGY \
@@ -272,27 +272,27 @@ H5_DLLVAR hid_t H5AC_ind_dxpl_id;
/* hbool_t evictions_enabled = */ TRUE, \
/* hbool_t set_initial_size = */ TRUE, \
/* size_t initial_size = */ ( 2 * 1024 * 1024), \
- /* double min_clean_fraction = */ 0.01, \
+ /* double min_clean_fraction = */ 0.01f, \
/* size_t max_size = */ (32 * 1024 * 1024), \
/* size_t min_size = */ ( 1 * 1024 * 1024), \
/* long int epoch_length = */ 50000, \
/* enum H5C_cache_incr_mode incr_mode = */ H5C_incr__threshold, \
- /* double lower_hr_threshold = */ 0.9, \
- /* double increment = */ 2.0, \
+ /* double lower_hr_threshold = */ 0.9f, \
+ /* double increment = */ 2.0f, \
/* hbool_t apply_max_increment = */ TRUE, \
/* size_t max_increment = */ (4 * 1024 * 1024), \
/* enum H5C_cache_flash_incr_mode */ \
/* flash_incr_mode = */ H5C_flash_incr__add_space, \
- /* double flash_multiple = */ 1.4, \
- /* double flash_threshold = */ 0.25, \
+ /* double flash_multiple = */ 1.4f, \
+ /* double flash_threshold = */ 0.25f, \
/* enum H5C_cache_decr_mode decr_mode = */ H5C_decr__age_out_with_threshold,\
- /* double upper_hr_threshold = */ 0.999, \
- /* double decrement = */ 0.9, \
+ /* double upper_hr_threshold = */ 0.999f, \
+ /* double decrement = */ 0.9f, \
/* hbool_t apply_max_decrement = */ TRUE, \
/* size_t max_decrement = */ (1 * 1024 * 1024), \
/* int epochs_before_eviction = */ 3, \
/* hbool_t apply_empty_reserve = */ TRUE, \
- /* double empty_reserve = */ 0.1, \
+ /* double empty_reserve = */ 0.1f, \
/* int dirty_bytes_threshold = */ (256 * 1024), \
/* int metadata_write_strategy = */ \
H5AC__DEFAULT_METADATA_WRITE_STRATEGY \
diff --git a/src/H5Dprivate.h b/src/H5Dprivate.h
index 48c6ddd..af9931f 100644
--- a/src/H5Dprivate.h
+++ b/src/H5Dprivate.h
@@ -66,7 +66,6 @@
#define H5D_XFER_VFL_ID_NAME "vfl_id" /* File driver ID */
#define H5D_XFER_VFL_INFO_NAME "vfl_info" /* File driver info */
#define H5D_XFER_HYPER_VECTOR_SIZE_NAME "vec_size" /* Hyperslab vector size */
-#ifdef H5_HAVE_PARALLEL
#define H5D_XFER_IO_XFER_MODE_NAME "io_xfer_mode" /* I/O transfer mode */
#define H5D_XFER_MPIO_COLLECTIVE_OPT_NAME "mpio_collective_opt" /* Optimization of MPI-IO transfer mode */
#define H5D_XFER_MPIO_CHUNK_OPT_HARD_NAME "mpio_chunk_opt_hard"
@@ -76,7 +75,6 @@
#define H5D_MPIO_ACTUAL_IO_MODE_NAME "actual_io_mode"
#define H5D_MPIO_LOCAL_NO_COLLECTIVE_CAUSE_NAME "local_no_collective_cause" /* cause of broken collective I/O in each process */
#define H5D_MPIO_GLOBAL_NO_COLLECTIVE_CAUSE_NAME "global_no_collective_cause" /* cause of broken collective I/O in all processes */
-#endif /* H5_HAVE_PARALLEL */
#define H5D_XFER_EDC_NAME "err_detect" /* EDC */
#define H5D_XFER_FILTER_CB_NAME "filter_cb" /* Filter callback function */
#define H5D_XFER_CONV_CB_NAME "type_conv_cb" /* Type conversion callback function */
diff --git a/src/H5Edefin.h b/src/H5Edefin.h
index ee284c4..2ae79e5 100644
--- a/src/H5Edefin.h
+++ b/src/H5Edefin.h
@@ -22,7 +22,7 @@
/* Major error IDs */
hid_t H5E_FUNC_g = FAIL; /* Function entry/exit */
-hid_t H5E_FILE_g = FAIL; /* File accessability */
+hid_t H5E_FILE_g = FAIL; /* File accessibilty */
hid_t H5E_SOHM_g = FAIL; /* Shared Object Header Messages */
hid_t H5E_SYM_g = FAIL; /* Symbol table */
hid_t H5E_VFL_g = FAIL; /* Virtual File Layer */
@@ -131,7 +131,7 @@ hid_t H5E_PATH_g = FAIL; /* Problem with path to object */
/* No error */
hid_t H5E_NONE_MINOR_g = FAIL; /* No error */
-/* File accessability errors */
+/* File accessibilty errors */
hid_t H5E_FILEEXISTS_g = FAIL; /* File already exists */
hid_t H5E_FILEOPEN_g = FAIL; /* File already open */
hid_t H5E_CANTCREATE_g = FAIL; /* Unable to create file */
diff --git a/src/H5Einit.h b/src/H5Einit.h
index 6881e48..a2eb02f 100644
--- a/src/H5Einit.h
+++ b/src/H5Einit.h
@@ -30,7 +30,7 @@ if((msg = H5E_create_msg(cls, H5E_MAJOR, "Function entry/exit"))==NULL)
if((H5E_FUNC_g = H5I_register(H5I_ERROR_MSG, msg, FALSE))<0)
HGOTO_ERROR(H5E_ERROR, H5E_CANTREGISTER, FAIL, "can't register error message")
assert(H5E_FILE_g==(-1));
-if((msg = H5E_create_msg(cls, H5E_MAJOR, "File accessability"))==NULL)
+if((msg = H5E_create_msg(cls, H5E_MAJOR, "File accessibilty"))==NULL)
HGOTO_ERROR(H5E_ERROR, H5E_CANTINIT, FAIL, "error message initialization failed")
if((H5E_FILE_g = H5I_register(H5I_ERROR_MSG, msg, FALSE))<0)
HGOTO_ERROR(H5E_ERROR, H5E_CANTREGISTER, FAIL, "can't register error message")
@@ -477,7 +477,7 @@ if((msg = H5E_create_msg(cls, H5E_MINOR, "No error"))==NULL)
if((H5E_NONE_MINOR_g = H5I_register(H5I_ERROR_MSG, msg, FALSE))<0)
HGOTO_ERROR(H5E_ERROR, H5E_CANTREGISTER, FAIL, "can't register error message")
-/* File accessability errors */
+/* File accessibilty errors */
assert(H5E_FILEEXISTS_g==(-1));
if((msg = H5E_create_msg(cls, H5E_MINOR, "File already exists"))==NULL)
HGOTO_ERROR(H5E_ERROR, H5E_CANTINIT, FAIL, "error message initialization failed")
diff --git a/src/H5Eint.c b/src/H5Eint.c
index 76eaaf5..88dfdee 100644
--- a/src/H5Eint.c
+++ b/src/H5Eint.c
@@ -380,6 +380,10 @@ H5E_walk2_cb(unsigned n, const H5E_error2_t *err_desc, void *client_data)
* they might be different. */
cls_ptr = (H5E_cls_t *)H5I_object_verify(err_desc->cls_id, H5I_ERROR_CLASS);
+ /* Check for bad pointer(s), but can't issue error, just leave */
+ if(!cls_ptr)
+ HGOTO_DONE(FAIL)
+
/* Print error class header if new class */
if(eprint->cls.lib_name == NULL || HDstrcmp(cls_ptr->lib_name, eprint->cls.lib_name)) {
/* update to the new class information */
diff --git a/src/H5Epubgen.h b/src/H5Epubgen.h
index 967b248..ddfb1d3 100644
--- a/src/H5Epubgen.h
+++ b/src/H5Epubgen.h
@@ -57,7 +57,7 @@
#define H5E_ERROR (H5OPEN H5E_ERROR_g)
#define H5E_CACHE (H5OPEN H5E_CACHE_g)
H5_DLLVAR hid_t H5E_FUNC_g; /* Function entry/exit */
-H5_DLLVAR hid_t H5E_FILE_g; /* File accessability */
+H5_DLLVAR hid_t H5E_FILE_g; /* File accessibilty */
H5_DLLVAR hid_t H5E_SOHM_g; /* Shared Object Header Messages */
H5_DLLVAR hid_t H5E_SYM_g; /* Symbol table */
H5_DLLVAR hid_t H5E_VFL_g; /* Virtual File Layer */
@@ -221,7 +221,7 @@ H5_DLLVAR hid_t H5E_PATH_g; /* Problem with path to object */
#define H5E_NONE_MINOR (H5OPEN H5E_NONE_MINOR_g)
H5_DLLVAR hid_t H5E_NONE_MINOR_g; /* No error */
-/* File accessability errors */
+/* File accessibilty errors */
#define H5E_FILEEXISTS (H5OPEN H5E_FILEEXISTS_g)
#define H5E_FILEOPEN (H5OPEN H5E_FILEOPEN_g)
#define H5E_CANTCREATE (H5OPEN H5E_CANTCREATE_g)
diff --git a/src/H5Eterm.h b/src/H5Eterm.h
index 5edcd34..6c621bc 100644
--- a/src/H5Eterm.h
+++ b/src/H5Eterm.h
@@ -133,7 +133,7 @@ H5E_PATH_g=
/* No error */
H5E_NONE_MINOR_g=
-/* File accessability errors */
+/* File accessibilty errors */
H5E_FILEEXISTS_g=
H5E_FILEOPEN_g=
H5E_CANTCREATE_g=
diff --git a/src/H5FDmpiposix.c b/src/H5FDmpiposix.c
index 261f427..0d0b839 100644
--- a/src/H5FDmpiposix.c
+++ b/src/H5FDmpiposix.c
@@ -14,10 +14,10 @@
* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
/*
- * Programmer: Quincey Koziol <koziol@ncsa.uiuc.ed>
+ * Programmer: Quincey Koziol <koziol@hdfgroup.org>
* Thursday, July 11, 2002
*
- * Purpose: This is a "combination" MPI-2 and posix I/O driver.
+ * Purpose: This is a "combination" MPI-2 and posix I/O driver.
* It uses MPI for coordinating the actions of several processes
* and posix I/O calls to do the actual I/O to the disk.
*
@@ -230,7 +230,7 @@ static const H5FD_class_mpi_t H5FD_mpiposix_g = {
H5FD_mpiposix_truncate, /* truncate */
NULL, /* lock */
NULL, /* unlock */
- H5FD_FLMAP_SINGLE /* fl_map */
+ H5FD_FLMAP_DICHOTOMY /* fl_map */
}, /* End of superclass information */
H5FD_mpiposix_mpi_rank, /* get_rank */
H5FD_mpiposix_mpi_size, /* get_size */
diff --git a/src/H5Fprivate.h b/src/H5Fprivate.h
index 1ef40b0..5f83cd2 100644
--- a/src/H5Fprivate.h
+++ b/src/H5Fprivate.h
@@ -27,6 +27,7 @@
#include "H5FDpublic.h" /* File drivers */
/* Private headers needed by this file */
+#include "H5Vprivate.h" /* Vectors and arrays */
/****************************/
@@ -114,6 +115,35 @@ typedef struct H5F_blk_aggr_t H5F_blk_aggr_t;
/* (Assumes that the high bits of the integer are zero) */
# define UINT64ENCODE_VAR(p, n, l) ENCODE_VAR(p, uint64_t, n, l)
+/* Encode a 64-bit unsigned integer and its length into a variable-sized buffer */
+/* (Assumes that the high bits of the integer are zero) */
+# define UINT64ENCODE_VARLEN(p, n) { \
+ uint64_t __n = (uint64_t)(n); \
+ unsigned _s = H5V_limit_enc_size(__n); \
+ \
+ *(p)++ = (uint8_t)_s; \
+ UINT64ENCODE_VAR(p, __n, _s); \
+}
+
+# define H5_ENCODE_UNSIGNED(p, n) { \
+ HDcompile_assert(sizeof(unsigned) == sizeof(uint32_t)); \
+ UINT32ENCODE(p, n) \
+}
+
+/* Assumes the endianness of uint64_t is the same as double */
+# define H5_ENCODE_DOUBLE(p, n) { \
+ uint64_t _n; \
+ size_t _u; \
+ uint8_t *_p = (uint8_t*)(p); \
+ \
+ HDcompile_assert(sizeof(double) == 8); \
+ HDcompile_assert(sizeof(double) == sizeof(uint64_t)); \
+ HDmemcpy(&_n, &n, sizeof(double)); \
+ for(_u = 0; _u < sizeof(uint64_t); _u++, _n >>= 8) \
+ *_p++ = (uint8_t)(_n & 0xff); \
+ (p) = (uint8_t *)(p) + 8; \
+}
+
/* DECODE converts little endian bytes pointed by p to integer values and store
* it in i. For signed values, need to do sign-extension when converting
* the last byte which carries the sign bit.
@@ -134,11 +164,11 @@ typedef struct H5F_blk_aggr_t H5F_blk_aggr_t;
}
# define INT32DECODE(p, i) { \
- (i) = ( *(p) & 0xff); (p)++; \
- (i) |= ((int32_t)(*(p) & 0xff) << 8); (p)++; \
- (i) |= ((int32_t)(*(p) & 0xff) << 16); (p)++; \
- (i) |= ((int32_t)(((*(p) & 0xff) << 24) | \
- ((*(p) & 0x80) ? ~0xffffffff : 0x0))); (p)++; \
+ (i) = ((int32_t)(*(p) & (unsigned)0xff)); (p)++; \
+ (i) |= ((int32_t)(*(p) & (unsigned)0xff) << 8); (p)++; \
+ (i) |= ((int32_t)(*(p) & (unsigned)0xff) << 16); (p)++; \
+ (i) |= ((int32_t)(((*(p) & (unsigned)0xff) << 24) | \
+ ((*(p) & (unsigned)0x80) ? (unsigned)(~0xffffffff) : (unsigned)0x0))); (p)++; \
}
# define UINT32DECODE(p, i) { \
@@ -190,6 +220,34 @@ typedef struct H5F_blk_aggr_t H5F_blk_aggr_t;
/* (Assumes that the high bits of the integer will be zero) */
# define UINT64DECODE_VAR(p, n, l) DECODE_VAR(p, n, l)
+/* Decode a 64-bit unsigned integer and its length from a variable-sized buffer */
+/* (Assumes that the high bits of the integer will be zero) */
+# define UINT64DECODE_VARLEN(p, n) { \
+ unsigned _s = *(p)++; \
+ \
+ UINT64DECODE_VAR(p, n, _s); \
+}
+
+# define H5_DECODE_UNSIGNED(p, n) { \
+ HDcompile_assert(sizeof(unsigned) == sizeof(uint32_t)); \
+ UINT32DECODE(p, n) \
+}
+
+/* Assumes the endianness of uint64_t is the same as double */
+# define H5_DECODE_DOUBLE(p, n) { \
+ uint64_t _n; \
+ size_t _u; \
+ \
+ HDcompile_assert(sizeof(double) == 8); \
+ HDcompile_assert(sizeof(double) == sizeof(uint64_t)); \
+ _n = 0; \
+ (p) += 8; \
+ for(_u = 0; _u < sizeof(uint64_t); _u++) \
+ _n = (_n << 8) | *(--p); \
+ HDmemcpy(&(n), &_n, sizeof(double)); \
+ (p) += 8; \
+}
+
/* Address-related macros */
#define H5F_addr_overflow(X,Z) (HADDR_UNDEF==(X) || \
HADDR_UNDEF==(X)+(haddr_t)(Z) || \
@@ -576,7 +634,7 @@ H5_DLL void H5F_addr_decode_len(size_t addr_len, const uint8_t **pp, haddr_t *ad
H5_DLL herr_t H5P_facc_close(hid_t dxpl_id, void *close_data);
/* Shared file list related routines */
-H5_DLL herr_t H5F_sfile_assert_num(unsigned n);
+H5_DLL void H5F_sfile_assert_num(unsigned n);
/* Routines for creating & destroying "fake" file structures */
H5_DLL H5F_t *H5F_fake_alloc(uint8_t sizeof_size);
diff --git a/src/H5Fsfile.c b/src/H5Fsfile.c
index 95e5ad2..a1c6976 100644
--- a/src/H5Fsfile.c
+++ b/src/H5Fsfile.c
@@ -46,16 +46,14 @@ H5F_sfile_node_t *H5F_sfile_head_g = NULL;
*
* Purpose: Sanity checking that shared file list is empty
*
- * Return: SUCCEED/FAIL
+ * Return: none (void)
*
* Programmer: Quincey Koziol
* Monday, July 25, 2005
*
- * Modifications:
- *
*-------------------------------------------------------------------------
*/
-herr_t
+void
H5F_sfile_assert_num(unsigned n)
{
FUNC_ENTER_NOAPI_NOINIT_NOERR
@@ -83,7 +81,7 @@ H5F_sfile_assert_num(unsigned n)
HDassert(count == n);
} /* end else */
- FUNC_LEAVE_NOAPI(SUCCEED)
+ FUNC_LEAVE_NOAPI_VOID
} /* H5F_sfile_assert_num() */
diff --git a/src/H5P.c b/src/H5P.c
index ba286fb..9489e49 100644
--- a/src/H5P.c
+++ b/src/H5P.c
@@ -462,7 +462,7 @@ H5Pregister2(hid_t cls_id, const char *name, size_t size, void *def_value,
/* Create the new property list class */
orig_pclass = pclass;
- if((ret_value = H5P_register(&pclass, name, size, def_value, prp_create, prp_set, prp_get, prp_delete, prp_copy, prp_cmp, prp_close)) < 0)
+ if((ret_value = H5P_register(&pclass, name, size, def_value, prp_create, prp_set, prp_get, NULL, NULL, prp_delete, prp_copy, prp_cmp, prp_close)) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTREGISTER, FAIL, "unable to register property in class")
/* Check if the property class changed and needs to be substituted in the ID */
@@ -645,7 +645,8 @@ H5Pinsert2(hid_t plist_id, const char *name, size_t size, void *value,
HGOTO_ERROR(H5E_ARGS, H5E_BADVALUE, FAIL, "properties >0 size must have default")
/* Create the new property list class */
- if((ret_value = H5P_insert(plist, name, size, value, prp_set, prp_get, prp_delete, prp_copy, prp_cmp, prp_close)) < 0)
+ if((ret_value = H5P_insert(plist, name, size, value, prp_set, prp_get,
+ NULL, NULL, prp_delete, prp_copy, prp_cmp, prp_close)) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTREGISTER, FAIL, "unable to register property in plist")
done:
@@ -838,6 +839,89 @@ done:
/*--------------------------------------------------------------------------
NAME
+ H5Pencode
+ PURPOSE
+ Routine to convert the property values in a property list into a binary buffer
+ USAGE
+ herr_t H5Pencode(plist_id, buf, nalloc)
+ hid_t plist_id; IN: Identifier to property list to encode
+ void *buf: OUT: buffer to gold the encoded plist
+ size_t *nalloc; IN/OUT: size of buffer needed to encode plist
+ RETURNS
+ Returns non-negative on success, negative on failure.
+ DESCRIPTION
+ Encodes a property list into a binary buffer. If the buffer is NULL, then
+ the call will set the size needed to encode the plist in nalloc. Otherwise
+ the routine will encode the plist in buf.
+ GLOBAL VARIABLES
+ COMMENTS, BUGS, ASSUMPTIONS
+ EXAMPLES
+ REVISION LOG
+--------------------------------------------------------------------------*/
+herr_t
+H5Pencode(hid_t plist_id, void *buf, size_t *nalloc)
+{
+ H5P_genplist_t *plist; /* Property list to query */
+ hid_t ret_value = SUCCEED; /* return value */
+
+ FUNC_ENTER_API(FAIL)
+ H5TRACE3("e", "i*x*z", plist_id, buf, nalloc);
+
+ /* Check arguments. */
+ if(NULL == (plist = (H5P_genplist_t *)H5I_object_verify(plist_id, H5I_GENPROP_LST)))
+ HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not a property list");
+
+ /* Call the internal encode routine */
+ if((ret_value = H5P__encode(plist, TRUE, buf, nalloc)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTENCODE, FAIL, "unable to encode property list");
+
+done:
+ FUNC_LEAVE_API(ret_value)
+} /* H5Pencode() */
+
+
+/*--------------------------------------------------------------------------
+ NAME
+ H5Pdecode
+ PURPOSE
+ API routine to decode a property list from a binary buffer.
+ USAGE
+ hid_t H5Pdecode(buf)
+ void *buf; IN: buffer that holds the encoded plist
+ RETURNS
+ Returns non-negative ID of new property list object on success, negative
+ on failure.
+ DESCRIPTION
+ Decodes a property list from a binary buffer. The contents of the buffer
+ contain the values for the correponding properties of the plist. The decode
+ callback of a certain property decodes its value from the buffer and sets it
+ in the property list.
+ GLOBAL VARIABLES
+ COMMENTS, BUGS, ASSUMPTIONS
+ Properties in the property list that are not encoded in the serialized
+ form retain their default value.
+ EXAMPLES
+ REVISION LOG
+--------------------------------------------------------------------------*/
+hid_t
+H5Pdecode(const void *buf)
+{
+ hid_t ret_value = SUCCEED; /* return value */
+
+ FUNC_ENTER_API(FAIL)
+ H5TRACE1("i", "*x", buf);
+
+ /* Call the internal decode routine */
+ if((ret_value = H5P__decode(buf)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTDECODE, FAIL, "unable to decode property list");
+
+done:
+ FUNC_LEAVE_API(ret_value)
+} /* H5Pdecode() */
+
+
+/*--------------------------------------------------------------------------
+ NAME
H5Pget_class
PURPOSE
Routine to query the class of a generic property list
@@ -990,8 +1074,13 @@ H5Pequal(hid_t id1, hid_t id2)
/* Compare property lists */
if(H5I_GENPROP_LST == H5I_get_type(id1)) {
- if(H5P_cmp_plist((const H5P_genplist_t *)obj1, (const H5P_genplist_t *)obj2) == 0)
- ret_value = TRUE;
+ int cmp_ret = 0;
+
+ if(H5P_cmp_plist((const H5P_genplist_t *)obj1, (const H5P_genplist_t *)obj2, &cmp_ret) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTCOMPARE, FAIL, "can't compare property lists")
+
+ /* Set return value */
+ ret_value = cmp_ret == 0 ? TRUE : FALSE;
} /* end if */
/* Must be property classes */
else {
@@ -1062,6 +1151,7 @@ done:
void *udata; IN/OUT: Pointer to iteration data from user
RETURNS
Success: Returns the return value of the last call to ITER_FUNC
+ Failure: negative value
DESCRIPTION
This routine calls the actual callback routine for the property in the
property list or class.
diff --git a/src/H5Pdapl.c b/src/H5Pdapl.c
index d21cdbf..5239fba 100644
--- a/src/H5Pdapl.c
+++ b/src/H5Pdapl.c
@@ -49,13 +49,18 @@
/* Definitions for size of raw data chunk cache(slots) */
#define H5D_ACS_DATA_CACHE_NUM_SLOTS_SIZE sizeof(size_t)
#define H5D_ACS_DATA_CACHE_NUM_SLOTS_DEF H5D_CHUNK_CACHE_NSLOTS_DEFAULT
+#define H5D_ACS_DATA_CACHE_NUM_SLOTS_ENC H5P__encode_size_t
+#define H5D_ACS_DATA_CACHE_NUM_SLOTS_DEC H5P__decode_size_t
/* Definition for size of raw data chunk cache(bytes) */
#define H5D_ACS_DATA_CACHE_BYTE_SIZE_SIZE sizeof(size_t)
#define H5D_ACS_DATA_CACHE_BYTE_SIZE_DEF H5D_CHUNK_CACHE_NBYTES_DEFAULT
+#define H5D_ACS_DATA_CACHE_BYTE_SIZE_ENC H5P__encode_size_t
+#define H5D_ACS_DATA_CACHE_BYTE_SIZE_DEC H5P__decode_size_t
/* Definition for preemption read chunks first */
#define H5D_ACS_PREEMPT_READ_CHUNKS_SIZE sizeof(double)
#define H5D_ACS_PREEMPT_READ_CHUNKS_DEF H5D_CHUNK_CACHE_W0_DEFAULT
-
+#define H5D_ACS_PREEMPT_READ_CHUNKS_ENC H5P__encode_double
+#define H5D_ACS_PREEMPT_READ_CHUNKS_DEC H5P__decode_double
/******************/
/* Local Typedefs */
@@ -130,15 +135,18 @@ H5P__dacc_reg_prop(H5P_genclass_t *pclass)
FUNC_ENTER_STATIC
/* Register the size of raw data chunk cache (elements) */
- if(H5P_register_real(pclass, H5D_ACS_DATA_CACHE_NUM_SLOTS_NAME, H5D_ACS_DATA_CACHE_NUM_SLOTS_SIZE, &rdcc_nslots, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_ACS_DATA_CACHE_NUM_SLOTS_NAME, H5D_ACS_DATA_CACHE_NUM_SLOTS_SIZE, &rdcc_nslots,
+ NULL, NULL, NULL, H5D_ACS_DATA_CACHE_NUM_SLOTS_ENC, H5D_ACS_DATA_CACHE_NUM_SLOTS_DEC, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the size of raw data chunk cache(bytes) */
- if(H5P_register_real(pclass, H5D_ACS_DATA_CACHE_BYTE_SIZE_NAME, H5D_ACS_DATA_CACHE_BYTE_SIZE_SIZE, &rdcc_nbytes, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_ACS_DATA_CACHE_BYTE_SIZE_NAME, H5D_ACS_DATA_CACHE_BYTE_SIZE_SIZE, &rdcc_nbytes,
+ NULL, NULL, NULL, H5D_ACS_DATA_CACHE_BYTE_SIZE_ENC, H5D_ACS_DATA_CACHE_BYTE_SIZE_DEC, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the preemption for reading chunks */
- if(H5P_register_real(pclass, H5D_ACS_PREEMPT_READ_CHUNKS_NAME, H5D_ACS_PREEMPT_READ_CHUNKS_SIZE, &rdcc_w0, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_ACS_PREEMPT_READ_CHUNKS_NAME, H5D_ACS_PREEMPT_READ_CHUNKS_SIZE, &rdcc_w0,
+ NULL, NULL, NULL, H5D_ACS_PREEMPT_READ_CHUNKS_ENC, H5D_ACS_PREEMPT_READ_CHUNKS_DEC, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
diff --git a/src/H5Pdcpl.c b/src/H5Pdcpl.c
index 6e7e820..b3090ab 100644
--- a/src/H5Pdcpl.c
+++ b/src/H5Pdcpl.c
@@ -42,6 +42,7 @@
#include "H5Iprivate.h" /* IDs */
#include "H5MMprivate.h" /* Memory management */
#include "H5Ppkg.h" /* Property lists */
+#include "H5Tprivate.h" /* Datatypes */
#include "H5Zpkg.h" /* Data filters */
@@ -76,18 +77,26 @@
/* Definitions for storage layout property */
#define H5D_CRT_LAYOUT_SIZE sizeof(H5O_layout_t)
#define H5D_CRT_LAYOUT_DEF H5D_DEF_LAYOUT_CONTIG
+#define H5D_CRT_LAYOUT_ENC H5P__dcrt_layout_enc
+#define H5D_CRT_LAYOUT_DEC H5P__dcrt_layout_dec
#define H5D_CRT_LAYOUT_CMP H5P__dcrt_layout_cmp
/* Definitions for fill value. size=0 means fill value will be 0 as
* library default; size=-1 means fill value is undefined. */
#define H5D_CRT_FILL_VALUE_SIZE sizeof(H5O_fill_t)
#define H5D_CRT_FILL_VALUE_DEF {{0, NULL, H5O_NULL_ID, {{0, HADDR_UNDEF}}}, H5O_FILL_VERSION_2, NULL, 0, NULL, H5D_ALLOC_TIME_LATE, H5D_FILL_TIME_IFSET, FALSE}
+#define H5D_CRT_FILL_VALUE_ENC H5P__fill_value_enc
+#define H5D_CRT_FILL_VALUE_DEC H5P__fill_value_dec
#define H5D_CRT_FILL_VALUE_CMP H5P_fill_value_cmp
/* Definitions for space allocation time state */
#define H5D_CRT_ALLOC_TIME_STATE_SIZE sizeof(unsigned)
#define H5D_CRT_ALLOC_TIME_STATE_DEF 1
+#define H5D_CRT_ALLOC_TIME_STATE_ENC H5P__encode_unsigned
+#define H5D_CRT_ALLOC_TIME_STATE_DEC H5P__decode_unsigned
/* Definitions for external file list */
#define H5D_CRT_EXT_FILE_LIST_SIZE sizeof(H5O_efl_t)
#define H5D_CRT_EXT_FILE_LIST_DEF {HADDR_UNDEF, 0, 0, NULL}
+#define H5D_CRT_EXT_FILE_LIST_ENC H5P__dcrt_ext_file_list_enc
+#define H5D_CRT_EXT_FILE_LIST_DEC H5P__dcrt_ext_file_list_dec
#define H5D_CRT_EXT_FILE_LIST_CMP H5P__dcrt_ext_file_list_cmp
@@ -117,7 +126,13 @@ static herr_t H5P__dcrt_copy(hid_t new_plist_t, hid_t old_plist_t, void *copy_da
static herr_t H5P__dcrt_close(hid_t dxpl_id, void *close_data);
/* Property callbacks */
+static herr_t H5P__dcrt_layout_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dcrt_layout_dec(const void **pp, void *value);
static int H5P__dcrt_layout_cmp(const void *value1, const void *value2, size_t size);
+static herr_t H5P__fill_value_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__fill_value_dec(const void **pp, void *value);
+static herr_t H5P__dcrt_ext_file_list_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dcrt_ext_file_list_dec(const void **pp, void *value);
static int H5P__dcrt_ext_file_list_cmp(const void *value1, const void *value2, size_t size);
@@ -149,6 +164,17 @@ const H5P_libclass_t H5P_CLS_DCRT[1] = {{
/* Declare extern the free list to manage blocks of type conversion data */
H5FL_BLK_EXTERN(type_conv);
+
+/***************************/
+/* Local Private Variables */
+/***************************/
+
+/* Property value defaults */
+static const H5O_layout_t H5D_def_layout_g = H5D_CRT_LAYOUT_DEF; /* Default storage layout */
+static const H5O_fill_t H5D_def_fill_g = H5D_CRT_FILL_VALUE_DEF; /* Default fill value */
+static const unsigned H5D_def_alloc_time_state_g = H5D_CRT_ALLOC_TIME_STATE_DEF; /* Default allocation time state */
+static const H5O_efl_t H5D_def_efl_g = H5D_CRT_EXT_FILE_LIST_DEF; /* Default external file list */
+
/* Defaults for each type of layout */
#ifdef H5_HAVE_C99_DESIGNATED_INITIALIZER
static const H5O_layout_t H5D_def_layout_compact_g = H5D_DEF_LAYOUT_COMPACT;
@@ -177,28 +203,32 @@ static hbool_t H5P_dcrt_def_layout_init_g = FALSE;
static herr_t
H5P__dcrt_reg_prop(H5P_genclass_t *pclass)
{
- H5O_layout_t layout = H5D_CRT_LAYOUT_DEF; /* Default storage layout */
- H5O_fill_t fill = H5D_CRT_FILL_VALUE_DEF; /* Default fill value */
- unsigned alloc_time_state = H5D_CRT_ALLOC_TIME_STATE_DEF; /* Default allocation time state */
- H5O_efl_t efl = H5D_CRT_EXT_FILE_LIST_DEF; /* Default external file list */
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_STATIC
/* Register the storage layout property */
- if(H5P_register_real(pclass, H5D_CRT_LAYOUT_NAME, H5D_CRT_LAYOUT_SIZE, &layout, NULL, NULL, NULL, NULL, NULL, H5D_CRT_LAYOUT_CMP, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_CRT_LAYOUT_NAME, H5D_CRT_LAYOUT_SIZE, &H5D_def_layout_g,
+ NULL, NULL, NULL, H5D_CRT_LAYOUT_ENC, H5D_CRT_LAYOUT_DEC,
+ NULL, NULL, H5D_CRT_LAYOUT_CMP, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the fill value property */
- if(H5P_register_real(pclass, H5D_CRT_FILL_VALUE_NAME, H5D_CRT_FILL_VALUE_SIZE, &fill, NULL, NULL, NULL, NULL, NULL, H5D_CRT_FILL_VALUE_CMP, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_CRT_FILL_VALUE_NAME, H5D_CRT_FILL_VALUE_SIZE, &H5D_def_fill_g,
+ NULL, NULL, NULL, H5D_CRT_FILL_VALUE_ENC, H5D_CRT_FILL_VALUE_DEC,
+ NULL, NULL, H5D_CRT_FILL_VALUE_CMP, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the space allocation time state property */
- if(H5P_register_real(pclass, H5D_CRT_ALLOC_TIME_STATE_NAME, H5D_CRT_ALLOC_TIME_STATE_SIZE, &alloc_time_state, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_CRT_ALLOC_TIME_STATE_NAME, H5D_CRT_ALLOC_TIME_STATE_SIZE, &H5D_def_alloc_time_state_g,
+ NULL, NULL, NULL, H5D_CRT_ALLOC_TIME_STATE_ENC, H5D_CRT_ALLOC_TIME_STATE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the external file list property */
- if(H5P_register_real(pclass, H5D_CRT_EXT_FILE_LIST_NAME, H5D_CRT_EXT_FILE_LIST_SIZE, &efl, NULL, NULL, NULL, NULL, NULL, H5D_CRT_EXT_FILE_LIST_CMP, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_CRT_EXT_FILE_LIST_NAME, H5D_CRT_EXT_FILE_LIST_SIZE, &H5D_def_efl_g,
+ NULL, NULL, NULL, H5D_CRT_EXT_FILE_LIST_ENC, H5D_CRT_EXT_FILE_LIST_DEC,
+ NULL, NULL, H5D_CRT_EXT_FILE_LIST_CMP, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
@@ -369,6 +399,150 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5P__dcrt_layout_enc
+ *
+ * Purpose: Callback routine which is called whenever the layout
+ * property in the dataset creation property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dcrt_layout_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5O_layout_t *layout = (const H5O_layout_t *)value; /* Create local aliases for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(layout);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode layout type */
+ *(*pp)++ = (uint8_t)layout->type;
+
+ /* If layout is chunked, encode chunking structure */
+ if(H5D_CHUNKED == layout->type) {
+ unsigned u; /* Local index variable */
+
+ /* Encode rank */
+ *(*pp)++ = (uint8_t)layout->u.chunk.ndims;
+
+ /* Encode chunk dims */
+ HDcompile_assert(sizeof(uint32_t) == sizeof(layout->u.chunk.dim[0]));
+ for(u = 0; u < layout->u.chunk.ndims; u++)
+ UINT32ENCODE(*pp, layout->u.chunk.dim[u])
+ } /* end if */
+ } /* end if */
+
+ /* Size of layout type */
+ *size += sizeof(uint8_t);
+
+ /* Size of chunk info encoding */
+ if(H5D_CHUNKED == layout->type) {
+ *size += sizeof(uint8_t);
+ *size += layout->u.chunk.ndims * sizeof(uint32_t);
+ } /* end if */
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dcrt_layout_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dcrt_layout_dec
+ *
+ * Purpose: Callback routine which is called whenever the layout
+ * property in the dataset creation property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dcrt_layout_dec(const void **_pp, void *value)
+{
+ const H5O_layout_t *layout; /* Storage layout */
+ H5O_layout_t chunk_layout; /* Layout structure for chunk info */
+ H5D_layout_t type; /* Layout type */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode layout type */
+ type = (H5D_layout_t)*(*pp)++;
+
+ /* set default layout in case the type is compact or contiguous, otherwise
+ * decode the chunked structure and set chunked layout */
+ switch(type) {
+ case H5D_COMPACT:
+ layout = &H5D_def_layout_compact_g;
+ break;
+
+ case H5D_CONTIGUOUS:
+ layout = &H5D_def_layout_contig_g;
+ break;
+
+ case H5D_CHUNKED:
+ {
+ unsigned ndims; /* Number of chunk dimensions */
+
+ /* Decode the number of chunk dimensions */
+ ndims = *(*pp)++;
+
+ /* default chunk layout */
+ if(0 == ndims)
+ layout = &H5D_def_layout_chunk_g;
+ else { /* chunk layout structure is encoded*/
+ unsigned u; /* Local index variable */
+
+ /* Initialize to default values */
+ chunk_layout = H5D_def_layout_chunk_g;
+
+ /* Set rank & dimensions */
+ chunk_layout.u.chunk.ndims = (unsigned)ndims;
+ for(u = 0; u < ndims; u++)
+ UINT32DECODE(*pp, chunk_layout.u.chunk.dim[u])
+
+ /* Point at the newly set up struct */
+ layout = &chunk_layout;
+ } /* end else */
+ }
+ break;
+
+ case H5D_LAYOUT_ERROR:
+ case H5D_NLAYOUTS:
+ default:
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "bad layout type")
+ } /* end switch */
+
+ /* Set the value */
+ HDmemcpy(value, layout, sizeof(H5O_layout_t));
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__dcrt_layout_dec() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5P__dcrt_layout_cmp
*
* Purpose: Callback routine which is called whenever the layout
@@ -440,6 +614,168 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5P__fill_value_enc
+ *
+ * Purpose: Callback routine which is called whenever the fill value
+ * property in the dataset creation property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fill_value_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5O_fill_t *fill = (const H5O_fill_t *)value; /* Create local aliases for values */
+ size_t dt_size = 0; /* Size of encoded datatype */
+ herr_t ret_value = SUCCEED; /* Return value */
+ uint8_t **pp = (uint8_t **)_pp;
+ uint64_t enc_value;
+ unsigned enc_size;
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity check */
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDcompile_assert(sizeof(ssize_t) <= sizeof(int64_t));
+ HDassert(fill);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode alloc and fill time */
+ *(*pp)++ = (uint8_t)fill->alloc_time;
+ *(*pp)++ = (uint8_t)fill->fill_time;
+
+ /* Encode size of fill value */
+ INT64ENCODE(*pp, fill->size)
+
+ /* Encode the fill value & datatype */
+ if(fill->size > 0) {
+ /* Encode the fill value itself */
+ HDmemcpy(*pp, (uint8_t *)fill->buf, (size_t)fill->size);
+ *pp += fill->size;
+
+ /* Encode fill value datatype */
+ HDassert(fill->type);
+
+ if(H5T_encode(fill->type, NULL, &dt_size) < 0)
+ HGOTO_ERROR(H5E_DATATYPE, H5E_CANTENCODE, FAIL, "can't encode datatype")
+
+ /* Encode the size of a size_t */
+ enc_value = (uint64_t)dt_size;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+
+ /* Encode the size */
+ *(*pp)++ = (uint8_t)enc_size;
+
+ /* Encode the size of the encoded datatype */
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ if(H5T_encode(fill->type, *pp, &dt_size) < 0)
+ HGOTO_ERROR(H5E_DATATYPE, H5E_CANTENCODE, FAIL, "can't encode datatype")
+ *pp += dt_size;
+ } /* end if */
+ } /* end if */
+
+ /* Calculate size needed for encoding */
+ *size += 2;
+ *size += sizeof(int64_t);
+ if(fill->size > 0) {
+ /* The size of the fill value buffer */
+ *size += (size_t)fill->size;
+
+ /* calculate those if they were not calculated earlier */
+ if(NULL == *pp) {
+ /* Get the size of the encoded datatype */
+ HDassert(fill->type);
+ if(H5T_encode(fill->type, NULL, &dt_size) < 0)
+ HGOTO_ERROR(H5E_DATATYPE, H5E_CANTENCODE, FAIL, "can't encode datatype")
+ enc_value = (uint64_t)dt_size;
+ enc_size = H5V_limit_enc_size(enc_value);
+ }
+ *size += (1 + enc_size);
+ *size += dt_size;
+ } /* end if */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__fill_value_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__fill_value_dec
+ *
+ * Purpose: Callback routine which is called whenever the fill value
+ * property in the dataset creation property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fill_value_dec(const void **_pp, void *_value)
+{
+ H5O_fill_t *fill = (H5O_fill_t *)_value; /* Fill value */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDcompile_assert(sizeof(ssize_t) <= sizeof(int64_t));
+
+ /* Set property to default value */
+ *fill = H5D_def_fill_g;
+
+ /* Decode alloc and fill time */
+ fill->alloc_time = (H5D_alloc_time_t)*(*pp)++;
+ fill->fill_time = (H5D_fill_time_t)*(*pp)++;
+
+ /* Decode fill size */
+ INT64DECODE(*pp, fill->size)
+
+ /* Check if there's a fill value */
+ if(fill->size > 0) {
+ size_t dt_size = 0;
+ uint64_t enc_value;
+ unsigned enc_size;
+
+ /* Allocate fill buffer and copy the contents in it */
+ if(NULL == (fill->buf = H5MM_malloc((size_t)fill->size)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTALLOC, FAIL, "memory allocation failed for fill value buffer")
+ HDmemcpy((uint8_t *)fill->buf, *pp, (size_t)fill->size);
+ *pp += fill->size;
+
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+
+ /* Decode the size of encoded datatype */
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ dt_size = (size_t)enc_value;
+
+ /* Decode type */
+ if(NULL == (fill->type = H5T_decode(*pp)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTDECODE, FAIL, "can't decode fill value datatype")
+ *pp += dt_size;
+ } /* end if */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__fill_value_dec() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5P_fill_value_cmp
*
* Purpose: Callback routine which is called whenever the fill value
@@ -501,6 +837,181 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5P__dcrt_ext_file_list_enc
+ *
+ * Purpose: Callback routine which is called whenever the efl
+ * property in the dataset creation property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dcrt_ext_file_list_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5O_efl_t *efl = (const H5O_efl_t *)value; /* Create local aliases for values */
+ size_t len = 0; /* String length of slot name */
+ size_t u; /* Local index variable */
+ uint8_t **pp = (uint8_t **)_pp;
+ unsigned enc_size;
+ uint64_t enc_value;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(efl);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDcompile_assert(sizeof(off_t) <= sizeof(uint64_t));
+ HDcompile_assert(sizeof(hsize_t) <= sizeof(uint64_t));
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode number of slots used */
+ enc_value = (uint64_t)efl->nused;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* Encode file list */
+ for(u = 0; u < efl->nused; u++) {
+ /* Calculate length of slot name and encode it */
+ len = HDstrlen(efl->slot[u].name) + 1;
+ enc_value = (uint64_t)len;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* Encode name */
+ HDmemcpy(*pp, (uint8_t *)(efl->slot[u].name), len);
+ *pp += len;
+
+ /* Encode offset */
+ enc_value = (uint64_t)efl->slot[u].offset;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* encode size */
+ enc_value = (uint64_t)efl->slot[u].size;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+ } /* end for */
+ } /* end if */
+
+ /* Calculate size needed for encoding */
+ *size += (1 + H5V_limit_enc_size((uint64_t)efl->nused));
+ for(u = 0; u < efl->nused; u++) {
+ len = HDstrlen(efl->slot[u].name) + 1;
+ *size += (1 + H5V_limit_enc_size((uint64_t)len));
+ *size += len;
+ *size += (1 + H5V_limit_enc_size((uint64_t)efl->slot[u].offset));
+ *size += (1 + H5V_limit_enc_size((uint64_t)efl->slot[u].size));
+ } /* end for */
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dcrt_ext_file_list_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dcrt_ext_file_list_dec
+ *
+ * Purpose: Callback routine which is called whenever the efl
+ * property in the dataset creation property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dcrt_ext_file_list_dec(const void **_pp, void *_value)
+{
+ H5O_efl_t *efl = (H5O_efl_t *)_value; /* External file list */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ size_t u, nused;
+ unsigned enc_size;
+ uint64_t enc_value;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity check */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(efl);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDcompile_assert(sizeof(off_t) <= sizeof(uint64_t));
+ HDcompile_assert(sizeof(hsize_t) <= sizeof(uint64_t));
+
+ /* Set property to default value */
+ *efl = H5D_def_efl_g;
+
+ /* Decode number of slots used */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ nused = (size_t)enc_value;
+
+ /* Decode information for each slot */
+ for(u = 0; u < nused; u++) {
+ size_t len;
+ if(efl->nused >= efl->nalloc) {
+ size_t na = efl->nalloc + H5O_EFL_ALLOC;
+ H5O_efl_entry_t *x = (H5O_efl_entry_t *)H5MM_realloc(efl->slot,
+ na * sizeof(H5O_efl_entry_t));
+ if(!x)
+ HGOTO_ERROR(H5E_RESOURCE, H5E_CANTALLOC, FAIL, "memory allocation failed")
+
+ efl->nalloc = na;
+ efl->slot = x;
+ } /* end if */
+
+ /* Decode length of slot name */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ len = (size_t)enc_value;
+
+ /* Allocate name buffer and decode the name into it */
+ efl->slot[u].name = H5MM_xstrdup((const char *)(*pp));
+ *pp += len;
+
+ /* decode offset */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ efl->slot[u].offset = (off_t)enc_value;
+
+ /* decode size */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ efl->slot[u].size = (hsize_t)enc_value;
+
+ efl->slot[u].name_offset = 0; /*not entered into heap yet*/
+ efl->nused++;
+ } /* end for */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__dcrt_ext_file_list_dec() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5P__dcrt_ext_file_list_cmp
*
* Purpose: Callback routine which is called whenever the external file
@@ -1399,7 +1910,7 @@ done:
* Function: H5Pset_fill_value
*
* Purpose: Set the fill value for a dataset creation property list. The
- * VALUE is interpretted as being of type TYPE, which need not
+ * VALUE is interpreted as being of type TYPE, which need not
* be the same type as the dataset but the library must be able
* to convert VALUE to the dataset type when the dataset is
* created. If VALUE is NULL, it will be interpreted as
@@ -1410,13 +1921,6 @@ done:
* Programmer: Robb Matzke
* Thursday, October 1, 1998
*
- * Modifications:
- *
- * Raymond Lu
- * Tuesday, October 2, 2001
- * Changed the way to check parameter and set property for
- * generic property list.
- *
*-------------------------------------------------------------------------
*/
herr_t
diff --git a/src/H5Pdeprec.c b/src/H5Pdeprec.c
index 8d1d75f..cb5e10f 100644
--- a/src/H5Pdeprec.c
+++ b/src/H5Pdeprec.c
@@ -267,7 +267,7 @@ H5Pregister1(hid_t cls_id, const char *name, size_t size, void *def_value,
/* Create the new property list class */
orig_pclass = pclass;
- if((ret_value = H5P_register(&pclass, name, size, def_value, prp_create, prp_set, prp_get, prp_delete, prp_copy, NULL, prp_close)) < 0)
+ if((ret_value = H5P_register(&pclass, name, size, def_value, prp_create, prp_set, prp_get, NULL, NULL, prp_delete, prp_copy, NULL, prp_close)) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTREGISTER, FAIL, "unable to register property in class");
/* Check if the property class changed and needs to be substituted in the ID */
@@ -450,7 +450,8 @@ H5Pinsert1(hid_t plist_id, const char *name, size_t size, void *value,
HGOTO_ERROR(H5E_ARGS, H5E_BADVALUE, FAIL, "properties >0 size must have default")
/* Create the new property list class */
- if((ret_value = H5P_insert(plist, name, size, value, prp_set, prp_get, prp_delete, prp_copy, NULL, prp_close)) < 0)
+ if((ret_value = H5P_insert(plist, name, size, value, prp_set, prp_get,
+ NULL, NULL, prp_delete, prp_copy, NULL, prp_close)) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTREGISTER, FAIL, "unable to register property in plist")
done:
diff --git a/src/H5Pdxpl.c b/src/H5Pdxpl.c
index 2596d35..04ff54a 100644
--- a/src/H5Pdxpl.c
+++ b/src/H5Pdxpl.c
@@ -38,6 +38,7 @@
#include "H5Dprivate.h" /* Datasets */
#include "H5Eprivate.h" /* Error handling */
#include "H5Iprivate.h" /* IDs */
+#include "H5MMprivate.h" /* Memory management */
#include "H5Ppkg.h" /* Property lists */
@@ -49,6 +50,8 @@
/* Definitions for maximum temp buffer size property */
#define H5D_XFER_MAX_TEMP_BUF_SIZE sizeof(size_t)
#define H5D_XFER_MAX_TEMP_BUF_DEF H5D_TEMP_BUF_SIZE
+#define H5D_XFER_MAX_TEMP_BUF_ENC H5P__encode_size_t
+#define H5D_XFER_MAX_TEMP_BUF_DEC H5P__decode_size_t
/* Definitions for type conversion buffer property */
#define H5D_XFER_TCONV_BUF_SIZE sizeof(void *)
#define H5D_XFER_TCONV_BUF_DEF NULL
@@ -58,12 +61,16 @@
/* Definitions for background buffer type property */
#define H5D_XFER_BKGR_BUF_TYPE_SIZE sizeof(H5T_bkg_t)
#define H5D_XFER_BKGR_BUF_TYPE_DEF H5T_BKG_NO
+#define H5D_XFER_BKGR_BUF_TYPE_ENC H5P__dxfr_bkgr_buf_type_enc
+#define H5D_XFER_BKGR_BUF_TYPE_DEC H5P__dxfr_bkgr_buf_type_dec
/* Definitions for B-tree node splitting ratio property */
/* (These default B-tree node splitting ratios are also used for splitting
* group's B-trees as well as chunked dataset's B-trees - QAK)
*/
#define H5D_XFER_BTREE_SPLIT_RATIO_SIZE sizeof(double[3])
-#define H5D_XFER_BTREE_SPLIT_RATIO_DEF {0.1, 0.5, 0.9}
+#define H5D_XFER_BTREE_SPLIT_RATIO_DEF {0.1f, 0.5f, 0.9f}
+#define H5D_XFER_BTREE_SPLIT_RATIO_ENC H5P__dxfr_btree_split_ratio_enc
+#define H5D_XFER_BTREE_SPLIT_RATIO_DEC H5P__dxfr_btree_split_ratio_dec
/* Definitions for vlen allocation function property */
#define H5D_XFER_VLEN_ALLOC_SIZE sizeof(H5MM_allocate_t)
#define H5D_XFER_VLEN_ALLOC_DEF H5D_VLEN_ALLOC
@@ -82,20 +89,37 @@
*/
#define H5D_XFER_HYPER_VECTOR_SIZE_SIZE sizeof(size_t)
#define H5D_XFER_HYPER_VECTOR_SIZE_DEF H5D_IO_VECTOR_SIZE
+#define H5D_XFER_HYPER_VECTOR_SIZE_ENC H5P__encode_size_t
+#define H5D_XFER_HYPER_VECTOR_SIZE_DEC H5P__decode_size_t
+
+/* Parallel I/O properties */
+/* Note: Some of these are registered with the DXPL class even when parallel
+ * is disabled, so that property list comparisons of encoded property
+ * lists (between parallel & non-parallel builds) work properly. -QAK
+ */
-#ifdef H5_HAVE_PARALLEL
/* Definitions for I/O transfer mode property */
#define H5D_XFER_IO_XFER_MODE_SIZE sizeof(H5FD_mpio_xfer_t)
#define H5D_XFER_IO_XFER_MODE_DEF H5FD_MPIO_INDEPENDENT
+#define H5D_XFER_IO_XFER_MODE_ENC H5P__dxfr_io_xfer_mode_enc
+#define H5D_XFER_IO_XFER_MODE_DEC H5P__dxfr_io_xfer_mode_dec
/* Definitions for optimization of MPI-IO transfer mode property */
#define H5D_XFER_MPIO_COLLECTIVE_OPT_SIZE sizeof(H5FD_mpio_collective_opt_t)
#define H5D_XFER_MPIO_COLLECTIVE_OPT_DEF H5FD_MPIO_COLLECTIVE_IO
+#define H5D_XFER_MPIO_COLLECTIVE_OPT_ENC H5P__dxfr_mpio_collective_opt_enc
+#define H5D_XFER_MPIO_COLLECTIVE_OPT_DEC H5P__dxfr_mpio_collective_opt_dec
#define H5D_XFER_MPIO_CHUNK_OPT_HARD_SIZE sizeof(H5FD_mpio_chunk_opt_t)
#define H5D_XFER_MPIO_CHUNK_OPT_HARD_DEF H5FD_MPIO_CHUNK_DEFAULT
+#define H5D_XFER_MPIO_CHUNK_OPT_HARD_ENC H5P__dxfr_mpio_chunk_opt_hard_enc
+#define H5D_XFER_MPIO_CHUNK_OPT_HARD_DEC H5P__dxfr_mpio_chunk_opt_hard_dec
#define H5D_XFER_MPIO_CHUNK_OPT_NUM_SIZE sizeof(unsigned)
#define H5D_XFER_MPIO_CHUNK_OPT_NUM_DEF H5D_ONE_LINK_CHUNK_IO_THRESHOLD
+#define H5D_XFER_MPIO_CHUNK_OPT_NUM_ENC H5P__encode_unsigned
+#define H5D_XFER_MPIO_CHUNK_OPT_NUM_DEC H5P__decode_unsigned
#define H5D_XFER_MPIO_CHUNK_OPT_RATIO_SIZE sizeof(unsigned)
#define H5D_XFER_MPIO_CHUNK_OPT_RATIO_DEF H5D_MULTI_CHUNK_IO_COL_THRESHOLD
+#define H5D_XFER_MPIO_CHUNK_OPT_RATIO_ENC H5P__encode_unsigned
+#define H5D_XFER_MPIO_CHUNK_OPT_RATIO_DEC H5P__decode_unsigned
/* Definitions for chunk opt mode property. */
#define H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_SIZE sizeof(H5D_mpio_actual_chunk_opt_mode_t)
#define H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_DEF H5D_MPIO_NO_CHUNK_OPTIMIZATION
@@ -103,8 +127,9 @@
#define H5D_MPIO_ACTUAL_IO_MODE_SIZE sizeof(H5D_mpio_actual_io_mode_t)
#define H5D_MPIO_ACTUAL_IO_MODE_DEF H5D_MPIO_NO_COLLECTIVE
/* Definitions for cause of broken collective io property */
-#define H5D_MPIO_NO_COLLECTIVE_CAUSE_SIZE sizeof(H5D_mpio_no_collective_cause_t)
+#define H5D_MPIO_NO_COLLECTIVE_CAUSE_SIZE sizeof(uint32_t)
#define H5D_MPIO_NO_COLLECTIVE_CAUSE_DEF H5D_MPIO_COLLECTIVE
+#ifdef H5_HAVE_PARALLEL
/* Definitions for memory MPI type property */
#define H5FD_MPI_XFER_MEM_MPI_TYPE_SIZE sizeof(MPI_Datatype)
#define H5FD_MPI_XFER_MEM_MPI_TYPE_DEF MPI_DATATYPE_NULL
@@ -116,6 +141,8 @@
/* Definitions for EDC property */
#define H5D_XFER_EDC_SIZE sizeof(H5Z_EDC_t)
#define H5D_XFER_EDC_DEF H5Z_ENABLE_EDC
+#define H5D_XFER_EDC_ENC H5P__dxfr_edc_enc
+#define H5D_XFER_EDC_DEC H5P__dxfr_edc_dec
/* Definitions for filter callback function property */
#define H5D_XFER_FILTER_CB_SIZE sizeof(H5Z_cb_t)
#define H5D_XFER_FILTER_CB_DEF {NULL,NULL}
@@ -125,6 +152,8 @@
/* Definitions for data transform property */
#define H5D_XFER_XFORM_SIZE sizeof(void *)
#define H5D_XFER_XFORM_DEF NULL
+#define H5D_XFER_XFORM_ENC H5P__dxfr_xform_enc
+#define H5D_XFER_XFORM_DEC H5P__dxfr_xform_dec
#define H5D_XFER_XFORM_DEL H5P__dxfr_xform_del
#define H5D_XFER_XFORM_COPY H5P__dxfr_xform_copy
#define H5D_XFER_XFORM_CMP H5P__dxfr_xform_cmp
@@ -148,6 +177,20 @@
static herr_t H5P__dxfr_reg_prop(H5P_genclass_t *pclass);
/* Property list callbacks */
+static herr_t H5P__dxfr_bkgr_buf_type_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_bkgr_buf_type_dec(const void **pp, void *value);
+static herr_t H5P__dxfr_btree_split_ratio_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_btree_split_ratio_dec(const void **pp, void *value);
+static herr_t H5P__dxfr_io_xfer_mode_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_io_xfer_mode_dec(const void **pp, void *value);
+static herr_t H5P__dxfr_mpio_collective_opt_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_mpio_collective_opt_dec(const void **pp, void *value);
+static herr_t H5P__dxfr_mpio_chunk_opt_hard_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_mpio_chunk_opt_hard_dec(const void **pp, void *value);
+static herr_t H5P__dxfr_edc_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_edc_dec(const void **pp, void *value);
+static herr_t H5P__dxfr_xform_enc(const void *value, void **pp, size_t *size);
+static herr_t H5P__dxfr_xform_dec(const void **pp, void *value);
static herr_t H5P__dxfr_xform_del(hid_t prop_id, const char* name, size_t size, void* value);
static herr_t H5P__dxfr_xform_copy(const char* name, size_t size, void* value);
static int H5P__dxfr_xform_cmp(const void *value1, const void *value2, size_t size);
@@ -180,6 +223,40 @@ const H5P_libclass_t H5P_CLS_DXFR[1] = {{
/*****************************/
+/***************************/
+/* Local Private Variables */
+/***************************/
+
+/* Property value defaults */
+static const size_t H5D_def_max_temp_buf_g = H5D_XFER_MAX_TEMP_BUF_DEF; /* Default value for maximum temp buffer size */
+static const void *H5D_def_tconv_buf_g = H5D_XFER_TCONV_BUF_DEF; /* Default value for type conversion buffer */
+static const void *H5D_def_bkgr_buf_g = H5D_XFER_BKGR_BUF_DEF; /* Default value for background buffer */
+static const H5T_bkg_t H5D_def_bkgr_buf_type_g = H5D_XFER_BKGR_BUF_TYPE_DEF;
+static const double H5D_def_btree_split_ratio_g[3] = H5D_XFER_BTREE_SPLIT_RATIO_DEF; /* Default value for B-tree node split ratios */
+static const H5MM_allocate_t H5D_def_vlen_alloc_g = H5D_XFER_VLEN_ALLOC_DEF; /* Default value for vlen allocation function */
+static const void *H5D_def_vlen_alloc_info_g = H5D_XFER_VLEN_ALLOC_INFO_DEF; /* Default value for vlen allocation information */
+static const H5MM_free_t H5D_def_vlen_free_g = H5D_XFER_VLEN_FREE_DEF; /* Default value for vlen free function */
+static const void *H5D_def_vlen_free_info_g = H5D_XFER_VLEN_FREE_INFO_DEF; /* Default value for vlen free information */
+static const size_t H5D_def_hyp_vec_size_g = H5D_XFER_HYPER_VECTOR_SIZE_DEF; /* Default value for vector size */
+static const haddr_t H5D_def_metadata_tag_g = H5AC_METADATA_TAG_DEF; /* Default value for metadata tag */
+static const H5FD_mpio_xfer_t H5D_def_io_xfer_mode_g = H5D_XFER_IO_XFER_MODE_DEF; /* Default value for I/O transfer mode */
+static const H5FD_mpio_chunk_opt_t H5D_def_mpio_chunk_opt_mode_g = H5D_XFER_MPIO_CHUNK_OPT_HARD_DEF;
+static const H5FD_mpio_collective_opt_t H5D_def_mpio_collective_opt_mode_g = H5D_XFER_MPIO_COLLECTIVE_OPT_DEF;
+static const unsigned H5D_def_mpio_chunk_opt_num_g = H5D_XFER_MPIO_CHUNK_OPT_NUM_DEF;
+static const unsigned H5D_def_mpio_chunk_opt_ratio_g = H5D_XFER_MPIO_CHUNK_OPT_RATIO_DEF;
+static const H5D_mpio_actual_chunk_opt_mode_t H5D_def_mpio_actual_chunk_opt_mode_g = H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_DEF;
+static const H5D_mpio_actual_io_mode_t H5D_def_mpio_actual_io_mode_g = H5D_MPIO_ACTUAL_IO_MODE_DEF;
+static const H5D_mpio_no_collective_cause_t H5D_def_mpio_no_collective_cause_g = H5D_MPIO_NO_COLLECTIVE_CAUSE_DEF;
+#ifdef H5_HAVE_PARALLEL
+static const MPI_Datatype H5D_def_btype_g = H5FD_MPI_XFER_MEM_MPI_TYPE_DEF; /* Default value for MPI buffer type */
+static const MPI_Datatype H5D_def_ftype_g = H5FD_MPI_XFER_FILE_MPI_TYPE_DEF; /* Default value for MPI file type */
+#endif /* H5_HAVE_PARALLEL */
+static const H5Z_EDC_t H5D_def_enable_edc_g = H5D_XFER_EDC_DEF; /* Default value for EDC property */
+static const H5Z_cb_t H5D_def_filter_cb_g = H5D_XFER_FILTER_CB_DEF; /* Default value for filter callback */
+static const H5T_conv_cb_t H5D_def_conv_cb_g = H5D_XFER_CONV_CB_DEF; /* Default value for datatype conversion callback */
+static const void *H5D_def_xfer_xform_g = H5D_XFER_XFORM_DEF; /* Default value for data transform */
+
+
/*-------------------------------------------------------------------------
* Function: H5P__dxfr_reg_prop
@@ -195,135 +272,158 @@ const H5P_libclass_t H5P_CLS_DXFR[1] = {{
static herr_t
H5P__dxfr_reg_prop(H5P_genclass_t *pclass)
{
- size_t def_max_temp_buf = H5D_XFER_MAX_TEMP_BUF_DEF; /* Default value for maximum temp buffer size */
- void *def_tconv_buf = H5D_XFER_TCONV_BUF_DEF; /* Default value for type conversion buffer */
- void *def_bkgr_buf = H5D_XFER_BKGR_BUF_DEF; /* Default value for background buffer */
- H5T_bkg_t def_bkgr_buf_type = H5D_XFER_BKGR_BUF_TYPE_DEF;
- double def_btree_split_ratio[3] = H5D_XFER_BTREE_SPLIT_RATIO_DEF; /* Default value for B-tree node split ratios */
- H5MM_allocate_t def_vlen_alloc = H5D_XFER_VLEN_ALLOC_DEF; /* Default value for vlen allocation function */
- void *def_vlen_alloc_info = H5D_XFER_VLEN_ALLOC_INFO_DEF; /* Default value for vlen allocation information */
- H5MM_free_t def_vlen_free = H5D_XFER_VLEN_FREE_DEF; /* Default value for vlen free function */
- void *def_vlen_free_info = H5D_XFER_VLEN_FREE_INFO_DEF; /* Default value for vlen free information */
- size_t def_hyp_vec_size = H5D_XFER_HYPER_VECTOR_SIZE_DEF; /* Default value for vector size */
- haddr_t metadata_tag = H5AC_METADATA_TAG_DEF; /* Default value for metadata tag */
-#ifdef H5_HAVE_PARALLEL
- H5FD_mpio_xfer_t def_io_xfer_mode = H5D_XFER_IO_XFER_MODE_DEF; /* Default value for I/O transfer mode */
- H5FD_mpio_chunk_opt_t def_mpio_chunk_opt_mode = H5D_XFER_MPIO_CHUNK_OPT_HARD_DEF;
- H5FD_mpio_collective_opt_t def_mpio_collective_opt_mode = H5D_XFER_MPIO_COLLECTIVE_OPT_DEF;
- unsigned def_mpio_chunk_opt_num = H5D_XFER_MPIO_CHUNK_OPT_NUM_DEF;
- unsigned def_mpio_chunk_opt_ratio = H5D_XFER_MPIO_CHUNK_OPT_RATIO_DEF;
- H5D_mpio_actual_chunk_opt_mode_t def_mpio_actual_chunk_opt_mode = H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_DEF;
- H5D_mpio_actual_io_mode_t def_mpio_actual_io_mode = H5D_MPIO_ACTUAL_IO_MODE_DEF;
- H5D_mpio_no_collective_cause_t def_mpio_no_collective_cause = H5D_MPIO_NO_COLLECTIVE_CAUSE_DEF;
- MPI_Datatype btype = H5FD_MPI_XFER_MEM_MPI_TYPE_DEF; /* Default value for MPI buffer type */
- MPI_Datatype ftype = H5FD_MPI_XFER_FILE_MPI_TYPE_DEF; /* Default value for MPI file type */
-#endif /* H5_HAVE_PARALLEL */
- H5Z_EDC_t enable_edc = H5D_XFER_EDC_DEF; /* Default value for EDC property */
- H5Z_cb_t filter_cb = H5D_XFER_FILTER_CB_DEF; /* Default value for filter callback */
- H5T_conv_cb_t conv_cb = H5D_XFER_CONV_CB_DEF; /* Default value for datatype conversion callback */
- void *def_xfer_xform = H5D_XFER_XFORM_DEF; /* Default value for data transform */
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_STATIC
/* Register the max. temp buffer size property */
- if(H5P_register_real(pclass, H5D_XFER_MAX_TEMP_BUF_NAME, H5D_XFER_MAX_TEMP_BUF_SIZE, &def_max_temp_buf, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_MAX_TEMP_BUF_NAME, H5D_XFER_MAX_TEMP_BUF_SIZE, &H5D_def_max_temp_buf_g,
+ NULL, NULL, NULL, H5D_XFER_MAX_TEMP_BUF_ENC, H5D_XFER_MAX_TEMP_BUF_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the metadata tag property */
- if(H5P_register_real(pclass, H5AC_METADATA_TAG_NAME, H5AC_METADATA_TAG_SIZE, &metadata_tag, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5AC_METADATA_TAG_NAME, H5AC_METADATA_TAG_SIZE, &H5D_def_metadata_tag_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the type conversion buffer property */
- if(H5P_register_real(pclass, H5D_XFER_TCONV_BUF_NAME, H5D_XFER_TCONV_BUF_SIZE, &def_tconv_buf, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_TCONV_BUF_NAME, H5D_XFER_TCONV_BUF_SIZE, &H5D_def_tconv_buf_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the background buffer property */
- if(H5P_register_real(pclass, H5D_XFER_BKGR_BUF_NAME, H5D_XFER_BKGR_BUF_SIZE, &def_bkgr_buf, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_BKGR_BUF_NAME, H5D_XFER_BKGR_BUF_SIZE, &H5D_def_bkgr_buf_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the background buffer type property */
- if(H5P_register_real(pclass, H5D_XFER_BKGR_BUF_TYPE_NAME, H5D_XFER_BKGR_BUF_TYPE_SIZE, &def_bkgr_buf_type, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_BKGR_BUF_TYPE_NAME, H5D_XFER_BKGR_BUF_TYPE_SIZE, &H5D_def_bkgr_buf_type_g,
+ NULL, NULL, NULL, H5D_XFER_BKGR_BUF_TYPE_ENC, H5D_XFER_BKGR_BUF_TYPE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the B-Tree node splitting ratios property */
- if(H5P_register_real(pclass, H5D_XFER_BTREE_SPLIT_RATIO_NAME, H5D_XFER_BTREE_SPLIT_RATIO_SIZE, def_btree_split_ratio, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_BTREE_SPLIT_RATIO_NAME, H5D_XFER_BTREE_SPLIT_RATIO_SIZE, H5D_def_btree_split_ratio_g,
+ NULL, NULL, NULL, H5D_XFER_BTREE_SPLIT_RATIO_ENC, H5D_XFER_BTREE_SPLIT_RATIO_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the vlen allocation function property */
- if(H5P_register_real(pclass, H5D_XFER_VLEN_ALLOC_NAME, H5D_XFER_VLEN_ALLOC_SIZE, &def_vlen_alloc, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_VLEN_ALLOC_NAME, H5D_XFER_VLEN_ALLOC_SIZE, &H5D_def_vlen_alloc_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the vlen allocation information property */
- if(H5P_register_real(pclass, H5D_XFER_VLEN_ALLOC_INFO_NAME, H5D_XFER_VLEN_ALLOC_INFO_SIZE, &def_vlen_alloc_info, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_VLEN_ALLOC_INFO_NAME, H5D_XFER_VLEN_ALLOC_INFO_SIZE, &H5D_def_vlen_alloc_info_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the vlen free function property */
- if(H5P_register_real(pclass, H5D_XFER_VLEN_FREE_NAME, H5D_XFER_VLEN_FREE_SIZE, &def_vlen_free, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_VLEN_FREE_NAME, H5D_XFER_VLEN_FREE_SIZE, &H5D_def_vlen_free_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the vlen free information property */
- if(H5P_register_real(pclass, H5D_XFER_VLEN_FREE_INFO_NAME, H5D_XFER_VLEN_FREE_INFO_SIZE, &def_vlen_free_info, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_VLEN_FREE_INFO_NAME, H5D_XFER_VLEN_FREE_INFO_SIZE, &H5D_def_vlen_free_info_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the vector size property */
- if(H5P_register_real(pclass, H5D_XFER_HYPER_VECTOR_SIZE_NAME, H5D_XFER_HYPER_VECTOR_SIZE_SIZE, &def_hyp_vec_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_HYPER_VECTOR_SIZE_NAME, H5D_XFER_HYPER_VECTOR_SIZE_SIZE, &H5D_def_hyp_vec_size_g,
+ NULL, NULL, NULL, H5D_XFER_HYPER_VECTOR_SIZE_ENC, H5D_XFER_HYPER_VECTOR_SIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
-#ifdef H5_HAVE_PARALLEL
/* Register the I/O transfer mode properties */
- if(H5P_register_real(pclass, H5D_XFER_IO_XFER_MODE_NAME, H5D_XFER_IO_XFER_MODE_SIZE, &def_io_xfer_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_IO_XFER_MODE_NAME, H5D_XFER_IO_XFER_MODE_SIZE, &H5D_def_io_xfer_mode_g,
+ NULL, NULL, NULL, H5D_XFER_IO_XFER_MODE_ENC, H5D_XFER_IO_XFER_MODE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass, H5D_XFER_MPIO_COLLECTIVE_OPT_NAME, H5D_XFER_MPIO_COLLECTIVE_OPT_SIZE, &def_mpio_collective_opt_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_MPIO_COLLECTIVE_OPT_NAME, H5D_XFER_MPIO_COLLECTIVE_OPT_SIZE, &H5D_def_mpio_collective_opt_mode_g,
+ NULL, NULL, NULL, H5D_XFER_MPIO_COLLECTIVE_OPT_ENC, H5D_XFER_MPIO_COLLECTIVE_OPT_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass, H5D_XFER_MPIO_CHUNK_OPT_HARD_NAME, H5D_XFER_MPIO_CHUNK_OPT_HARD_SIZE, &def_mpio_chunk_opt_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_MPIO_CHUNK_OPT_HARD_NAME, H5D_XFER_MPIO_CHUNK_OPT_HARD_SIZE, &H5D_def_mpio_chunk_opt_mode_g,
+ NULL, NULL, NULL, H5D_XFER_MPIO_CHUNK_OPT_HARD_ENC, H5D_XFER_MPIO_CHUNK_OPT_HARD_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass, H5D_XFER_MPIO_CHUNK_OPT_NUM_NAME, H5D_XFER_MPIO_CHUNK_OPT_NUM_SIZE, &def_mpio_chunk_opt_num, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_MPIO_CHUNK_OPT_NUM_NAME, H5D_XFER_MPIO_CHUNK_OPT_NUM_SIZE, &H5D_def_mpio_chunk_opt_num_g,
+ NULL, NULL, NULL, H5D_XFER_MPIO_CHUNK_OPT_NUM_ENC, H5D_XFER_MPIO_CHUNK_OPT_NUM_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass, H5D_XFER_MPIO_CHUNK_OPT_RATIO_NAME, H5D_XFER_MPIO_CHUNK_OPT_RATIO_SIZE, &def_mpio_chunk_opt_ratio, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_MPIO_CHUNK_OPT_RATIO_NAME, H5D_XFER_MPIO_CHUNK_OPT_RATIO_SIZE, &H5D_def_mpio_chunk_opt_ratio_g,
+ NULL, NULL, NULL, H5D_XFER_MPIO_CHUNK_OPT_RATIO_ENC, H5D_XFER_MPIO_CHUNK_OPT_RATIO_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the chunk optimization mode property. */
- if(H5P_register_real(pclass, H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_NAME, H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_SIZE, &def_mpio_actual_chunk_opt_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_NAME, H5D_MPIO_ACTUAL_CHUNK_OPT_MODE_SIZE, &H5D_def_mpio_actual_chunk_opt_mode_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the actual I/O mode property. */
- if(H5P_register_real(pclass, H5D_MPIO_ACTUAL_IO_MODE_NAME, H5D_MPIO_ACTUAL_IO_MODE_SIZE, &def_mpio_actual_io_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_MPIO_ACTUAL_IO_MODE_NAME, H5D_MPIO_ACTUAL_IO_MODE_SIZE, &H5D_def_mpio_actual_io_mode_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the local cause of broken collective I/O */
- if(H5P_register_real(pclass, H5D_MPIO_LOCAL_NO_COLLECTIVE_CAUSE_NAME, H5D_MPIO_NO_COLLECTIVE_CAUSE_SIZE, &def_mpio_actual_io_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_MPIO_LOCAL_NO_COLLECTIVE_CAUSE_NAME, H5D_MPIO_NO_COLLECTIVE_CAUSE_SIZE, &H5D_def_mpio_no_collective_cause_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the global cause of broken collective I/O */
- if(H5P_register_real(pclass, H5D_MPIO_GLOBAL_NO_COLLECTIVE_CAUSE_NAME, H5D_MPIO_NO_COLLECTIVE_CAUSE_SIZE, &def_mpio_actual_io_mode, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_MPIO_GLOBAL_NO_COLLECTIVE_CAUSE_NAME, H5D_MPIO_NO_COLLECTIVE_CAUSE_SIZE, &H5D_def_mpio_no_collective_cause_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+#ifdef H5_HAVE_PARALLEL
/* Register the MPI memory type property */
- if(H5P_register_real(pclass, H5FD_MPI_XFER_MEM_MPI_TYPE_NAME, H5FD_MPI_XFER_MEM_MPI_TYPE_SIZE,
- &btype, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5FD_MPI_XFER_MEM_MPI_TYPE_NAME, H5FD_MPI_XFER_MEM_MPI_TYPE_SIZE, &H5D_def_btype_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the MPI file type property */
- if(H5P_register_real(pclass, H5FD_MPI_XFER_FILE_MPI_TYPE_NAME, H5FD_MPI_XFER_FILE_MPI_TYPE_SIZE,
- &ftype, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5FD_MPI_XFER_FILE_MPI_TYPE_NAME, H5FD_MPI_XFER_FILE_MPI_TYPE_SIZE, &H5D_def_ftype_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
#endif /* H5_HAVE_PARALLEL */
/* Register the EDC property */
- if(H5P_register_real(pclass, H5D_XFER_EDC_NAME, H5D_XFER_EDC_SIZE, &enable_edc, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_EDC_NAME, H5D_XFER_EDC_SIZE, &H5D_def_enable_edc_g,
+ NULL, NULL, NULL, H5D_XFER_EDC_ENC, H5D_XFER_EDC_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the filter callback property */
- if(H5P_register_real(pclass, H5D_XFER_FILTER_CB_NAME, H5D_XFER_FILTER_CB_SIZE, &filter_cb, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_FILTER_CB_NAME, H5D_XFER_FILTER_CB_SIZE, &H5D_def_filter_cb_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the type conversion callback property */
- if(H5P_register_real(pclass, H5D_XFER_CONV_CB_NAME, H5D_XFER_CONV_CB_SIZE, &conv_cb, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5D_XFER_CONV_CB_NAME, H5D_XFER_CONV_CB_SIZE, &H5D_def_conv_cb_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the data transform property */
- if(H5P_register_real(pclass, H5D_XFER_XFORM_NAME, H5D_XFER_XFORM_SIZE, &def_xfer_xform, NULL, NULL, NULL, H5D_XFER_XFORM_DEL, H5D_XFER_XFORM_COPY, H5D_XFER_XFORM_CMP, H5D_XFER_XFORM_CLOSE) < 0)
+ if(H5P_register_real(pclass, H5D_XFER_XFORM_NAME, H5D_XFER_XFORM_SIZE, &H5D_def_xfer_xform_g,
+ NULL, NULL, NULL, H5D_XFER_XFORM_ENC, H5D_XFER_XFORM_DEC,
+ H5D_XFER_XFORM_DEL, H5D_XFER_XFORM_COPY, H5D_XFER_XFORM_CMP, H5D_XFER_XFORM_CLOSE) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
@@ -331,10 +431,300 @@ done:
} /* end H5P__dxfr_reg_prop() */
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_bkgr_buf_type_enc
+ *
+ * Purpose: Callback routine which is called whenever the background
+ * buffer type property in the dataset transfer property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_bkgr_buf_type_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5T_bkg_t *bkgr_buf_type = (const H5T_bkg_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(bkgr_buf_type);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode background buffer type */
+ *(*pp)++ = (uint8_t)*bkgr_buf_type;
+
+ /* Size of background buffer type */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_bkgr_buf_type_enc() */
/*-------------------------------------------------------------------------
- * Function: H5P_dxfr_xform_del
+ * Function: H5P__dxfr_bkgr_buf_type_dec
+ *
+ * Purpose: Callback routine which is called whenever the background
+ * buffer type property in the dataset transfer property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_bkgr_buf_type_dec(const void **_pp, void *_value)
+{
+ H5T_bkg_t *bkgr_buf_type = (H5T_bkg_t *)_value; /* Background buffer type */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(bkgr_buf_type);
+
+ /* Decode background buffer type */
+ *bkgr_buf_type = (H5T_bkg_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_bkgr_buf_type_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_btree_split_ratio_enc
+ *
+ * Purpose: Callback routine which is called whenever the B-tree split
+ * ratio property in the dataset transfer property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_btree_split_ratio_enc(const void *value, void **_pp, size_t *size)
+{
+ const double *btree_split_ratio = (const double *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(btree_split_ratio);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode the size of a double*/
+ *(*pp)++ = (uint8_t)sizeof(double);
+
+ /* Encode the left split value */
+ H5_ENCODE_DOUBLE(*pp, *(const double *)btree_split_ratio)
+ btree_split_ratio++;
+
+ /* Encode the middle split value */
+ H5_ENCODE_DOUBLE(*pp, *(const double *)btree_split_ratio)
+ btree_split_ratio++;
+
+ /* Encode the right split value */
+ H5_ENCODE_DOUBLE(*pp, *(const double *)btree_split_ratio)
+ } /* end if */
+
+ /* Size of B-tree split ratio values */
+ *size += 1 + (3 * sizeof(double));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_btree_split_ratio_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_btree_split_ratio_dec
+ *
+ * Purpose: Callback routine which is called whenever the B-tree split
+ * ratio property in the dataset transfer property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_btree_split_ratio_dec(const void **_pp, void *_value)
+{
+ double *btree_split_ratio = (double *)_value; /* B-tree split ratio */
+ unsigned enc_size; /* Size of encoded property */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(btree_split_ratio);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(double))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "double value can't be decoded")
+
+ /* Decode the left, middle & left B-tree split ratios */
+ H5_DECODE_DOUBLE(*pp, btree_split_ratio[0])
+ H5_DECODE_DOUBLE(*pp, btree_split_ratio[1])
+ H5_DECODE_DOUBLE(*pp, btree_split_ratio[2])
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__dxfr_btree_split_ratio_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_xform_enc
+ *
+ * Purpose: Callback routine which is called whenever the data transform
+ * property in the dataset transfer property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Monday, August 6, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_xform_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5Z_data_xform_t *data_xform_prop = *(const H5Z_data_xform_t * const *)value; /* Create local alias for values */
+ const char *pexp = NULL; /* Pointer to transform expression */
+ size_t len = 0; /* Length of transform expression */
+ uint8_t **pp = (uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity check */
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDassert(size);
+
+ /* Check for data transform set */
+ if(NULL != data_xform_prop) {
+ /* Get the transform expression */
+ if(NULL == (pexp = H5Z_xform_extract_xform_str(data_xform_prop)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "failed to retrieve transform expression")
+
+ /* Get the transform string expression size */
+ len = HDstrlen(pexp) + 1;
+ } /* end if */
+
+ if(NULL != *pp) {
+ uint64_t enc_value;
+ unsigned enc_size;
+
+ /* encode the length of the prefix */
+ enc_value = (uint64_t)len;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ if(NULL != data_xform_prop) {
+ /* Sanity check */
+ HDassert(pexp);
+
+ /* Copy the expression into the buffer */
+ HDmemcpy(*pp, (const uint8_t *)pexp, len);
+ *pp += len;
+ *pp[0] = '\0';
+ } /* end if */
+ } /* end if */
+
+ /* Size of encoded data transform */
+ *size += (1 + H5V_limit_enc_size((uint64_t)len));
+ if(NULL != pexp)
+ *size += len;
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__dxfr_xform_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_xform_dec
+ *
+ * Purpose: Callback routine which is called whenever the data transform
+ * property in the dataset transfer property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Monday, August 6, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_xform_dec(const void **_pp, void *_value)
+{
+ H5Z_data_xform_t **data_xform_prop = (H5Z_data_xform_t **)_value; /* New data xform property */
+ size_t len; /* Length of encoded string */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size;
+ uint64_t enc_value;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(data_xform_prop);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ /* Decode the length of xform expression */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ len = (size_t)enc_value;
+
+ if(0 != len) {
+ if(NULL == (*data_xform_prop = H5Z_xform_create((const char *)*pp)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTCREATE, FAIL, "unable to create data transform info")
+ *pp += len;
+ } /* end if */
+ else
+ *data_xform_prop = H5D_XFER_XFORM_DEF;
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__dxfr_xform_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_xform_del
*
* Purpose: Frees memory allocated by H5P_dxfr_xform_set
*
@@ -1301,6 +1691,225 @@ done:
FUNC_LEAVE_API(ret_value)
} /* end H5Pget_hyper_vector_size() */
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_io_xfer_mode_enc
+ *
+ * Purpose: Callback routine which is called whenever the I/O transfer
+ * mode property in the dataset transfer property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_io_xfer_mode_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5FD_mpio_xfer_t *xfer_mode = (const H5FD_mpio_xfer_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(xfer_mode);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode I/O transfer mode */
+ *(*pp)++ = (uint8_t)*xfer_mode;
+
+ /* Size of I/O transfer mode */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_io_xfer_mode_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_io_xfer_mode_dec
+ *
+ * Purpose: Callback routine which is called whenever the I/O transfer
+ * mode property in the dataset transfer property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_io_xfer_mode_dec(const void **_pp, void *_value)
+{
+ H5FD_mpio_xfer_t *xfer_mode = (H5FD_mpio_xfer_t *)_value; /* I/O transfer mode */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(xfer_mode);
+
+ /* Decode I/O transfer mode */
+ *xfer_mode = (H5FD_mpio_xfer_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_io_xfer_mode_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_mpio_collective_opt_enc
+ *
+ * Purpose: Callback routine which is called whenever the MPI-I/O
+ * collective optimization property in the dataset transfer
+ * property list is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_mpio_collective_opt_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5FD_mpio_collective_opt_t *coll_opt = (const H5FD_mpio_collective_opt_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(coll_opt);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode MPI-I/O collective optimization property */
+ *(*pp)++ = (uint8_t)*coll_opt;
+
+ /* Size of MPI-I/O collective optimization property */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_mpio_collective_opt_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_mpio_collective_opt_dec
+ *
+ * Purpose: Callback routine which is called whenever the MPI-I/O
+ * collective optimization property in the dataset transfer
+ * property list is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_mpio_collective_opt_dec(const void **_pp, void *_value)
+{
+ H5FD_mpio_collective_opt_t *coll_opt = (H5FD_mpio_collective_opt_t *)_value; /* MPI-I/O collective optimization mode */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(coll_opt);
+
+ /* Decode MPI-I/O collective optimization mode */
+ *coll_opt = (H5FD_mpio_collective_opt_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_mpio_collective_opt_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_mpio_chunk_opt_hard_enc
+ *
+ * Purpose: Callback routine which is called whenever the MPI-I/O
+ * chunk optimization property in the dataset transfer
+ * property list is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_mpio_chunk_opt_hard_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5FD_mpio_chunk_opt_t *chunk_opt = (const H5FD_mpio_chunk_opt_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(chunk_opt);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode MPI-I/O chunk optimization property */
+ *(*pp)++ = (uint8_t)*chunk_opt;
+
+ /* Size of MPI-I/O chunk optimization property */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_mpio_chunk_opt_hard_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_mpio_chunk_opt_hard_enc
+ *
+ * Purpose: Callback routine which is called whenever the MPI-I/O
+ * chunk collective optimization property in the dataset transfer
+ * property list is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_mpio_chunk_opt_hard_dec(const void **_pp, void *_value)
+{
+ H5FD_mpio_chunk_opt_t *chunk_opt = (H5FD_mpio_chunk_opt_t *)_value; /* MPI-I/O chunk optimization mode */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(chunk_opt);
+
+ /* Decode MPI-I/O chunk optimization mode */
+ *chunk_opt = (H5FD_mpio_chunk_opt_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_mpio_chunk_opt_hard_dec() */
+
#ifdef H5_HAVE_PARALLEL
/*-------------------------------------------------------------------------
@@ -1385,13 +1994,13 @@ done:
*-------------------------------------------------------------------------
*/
herr_t
-H5Pget_mpio_no_collective_cause(hid_t plist_id, H5D_mpio_no_collective_cause_t *local_no_collective_cause, H5D_mpio_no_collective_cause_t *global_no_collective_cause)
+H5Pget_mpio_no_collective_cause(hid_t plist_id, uint32_t *local_no_collective_cause, uint32_t *global_no_collective_cause)
{
H5P_genplist_t *plist;
herr_t ret_value = SUCCEED; /* return value */
FUNC_ENTER_API(FAIL)
- H5TRACE3("e", "i*Dn*Dn", plist_id, local_no_collective_cause,
+ H5TRACE3("e", "i*Iu*Iu", plist_id, local_no_collective_cause,
global_no_collective_cause);
/* Get the plist structure */
@@ -1413,3 +2022,76 @@ done:
#endif /* H5_HAVE_PARALLEL */
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_edc_enc
+ *
+ * Purpose: Callback routine which is called whenever the error detect
+ * property in the dataset transfer property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_edc_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5Z_EDC_t *check = (const H5Z_EDC_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(check);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode EDC property */
+ *(*pp)++ = (uint8_t)*check;
+
+ /* Size of EDC property */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_edc_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__dxfr_edc_dec
+ *
+ * Purpose: Callback routine which is called whenever the error detect
+ * property in the dataset transfer property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 3, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__dxfr_edc_dec(const void **_pp, void *_value)
+{
+ H5Z_EDC_t *check = (H5Z_EDC_t *)_value; /* EDC property */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(check);
+
+ /* Decode EDC property */
+ *check = (H5Z_EDC_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__dxfr_edc_dec() */
+
diff --git a/src/H5Pencdec.c b/src/H5Pencdec.c
new file mode 100644
index 0000000..ff148b0
--- /dev/null
+++ b/src/H5Pencdec.c
@@ -0,0 +1,813 @@
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+/* Programmer: Quincey Koziol <koziol@hdfgroup.org>
+ *
+ * Purpose: Generic Property Functions
+ */
+
+/****************/
+/* Module Setup */
+/****************/
+
+#define H5P_PACKAGE /*suppress error about including H5Ppkg */
+
+/* Interface initialization */
+#define H5_INTERFACE_INIT_FUNC H5P_init_encdec_interface
+
+
+/***********/
+/* Headers */
+/***********/
+#include "H5private.h" /* Generic Functions */
+#include "H5Eprivate.h" /* Error handling */
+#include "H5Iprivate.h" /* IDs */
+#include "H5MMprivate.h" /* Memory management */
+#include "H5Ppkg.h" /* Property lists */
+
+
+/****************/
+/* Local Macros */
+/****************/
+
+/* Version # of encoded property lists */
+#define H5P_ENCODE_VERS 0
+
+
+/******************/
+/* Local Typedefs */
+/******************/
+
+/* Typedef for iterator when encoding a property list */
+typedef struct {
+ hbool_t encode; /* Whether the property list should be encoded */
+ size_t *enc_size_ptr; /* Pointer to size of encoded buffer */
+ void **pp; /* Pointer to encoding buffer pointer */
+} H5P_enc_iter_ud_t;
+
+
+/********************/
+/* Local Prototypes */
+/********************/
+
+
+/*********************/
+/* Package Variables */
+/*********************/
+
+
+/*****************************/
+/* Library Private Variables */
+/*****************************/
+
+
+/*******************/
+/* Local Variables */
+/*******************/
+
+
+
+/*--------------------------------------------------------------------------
+NAME
+ H5P_init_encdec_interface -- Initialize interface-specific information
+USAGE
+ herr_t H5P_init_encdec_interface()
+RETURNS
+ Non-negative on success/Negative on failure
+DESCRIPTION
+ Initializes any interface-specific data or routines. (Just calls
+ H5P_init() currently).
+
+--------------------------------------------------------------------------*/
+static herr_t
+H5P_init_encdec_interface(void)
+{
+ FUNC_ENTER_NOAPI_NOINIT_NOERR
+
+ FUNC_LEAVE_NOAPI(H5P_init())
+} /* H5P_init_encdec_interface() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__encode_size_t
+ *
+ * Purpose: Generic encoding callback routine for 'size_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Sunday, July 29, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__encode_size_t(const void *value, void **_pp, size_t *size)
+{
+ uint64_t enc_value = (uint64_t)*(const size_t *)value; /* Property value to encode */
+ uint8_t **pp = (uint8_t **)_pp;
+ unsigned enc_size = H5V_limit_enc_size(enc_value); /* Size of encoded property */
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDassert(enc_size < 256);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode the size */
+ *(*pp)++ = (uint8_t)enc_size;
+
+ /* Encode the value */
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+ } /* end if */
+
+ /* Set size needed for encoding */
+ *size += (1 + enc_size);
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__encode_size_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__encode_hsize_t
+ *
+ * Purpose: Generic encoding callback routine for 'hsize_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 07, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__encode_hsize_t(const void *value, void **_pp, size_t *size)
+{
+ uint64_t enc_value = (uint64_t)*(const hsize_t *)value; /* Property value to encode */
+ unsigned enc_size = H5V_limit_enc_size(enc_value); /* Size of encoded property */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDcompile_assert(sizeof(hsize_t) <= sizeof(uint64_t));
+ HDassert(enc_size < 256);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ *(*pp)++ = (uint8_t)enc_size;
+
+ /* Encode the value */
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+ } /* end if */
+
+ /* Set size needed for encoding */
+ *size += (1 + enc_size);
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__encode_hsize_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__encode_unsigned
+ *
+ * Purpose: Generic encoding callback routine for 'unsigned' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Sunday, July 29, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__encode_unsigned(const void *value, void **_pp, size_t *size)
+{
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDassert(value);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode the size */
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+
+ /* Encode the value */
+ H5_ENCODE_UNSIGNED(*pp, *(const unsigned *)value)
+ } /* end if */
+
+ /* Set size needed for encoding */
+ *size += (1 + sizeof(unsigned));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__encode_unsigned() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__encode_uint8_t
+ *
+ * Purpose: Generic encoding callback routine for 'uint8_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 07, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__encode_uint8_t(const void *value, void **_pp, size_t *size)
+{
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDassert(value);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode the value */
+ *(*pp)++ = *(const uint8_t *)value;
+ } /* end if */
+
+ /* Set size needed for encoding */
+ *size += 1;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__encode_uint8_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__encode_hbool_t
+ *
+ * Purpose: Generic encoding callback routine for 'hbool_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__encode_hbool_t(const void *value, void **_pp, size_t *size)
+{
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDassert(value);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode the value */
+ *(*pp)++ = (uint8_t)*(const hbool_t *)value;
+
+ /* Set size needed for encoding */
+ *size += 1;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__encode_hbool_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__encode_double
+ *
+ * Purpose: Generic encoding callback routine for 'double' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Sunday, July 29, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__encode_double(const void *value, void **_pp, size_t *size)
+{
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDassert(value);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ /* Encode the size */
+ *(*pp)++ = (uint8_t)sizeof(double);
+
+ /* Encode the value */
+ H5_ENCODE_DOUBLE(*pp, *(const double *)value)
+ } /* end if */
+
+ /* Set size needed for encoding */
+ *size += (1 + sizeof(double));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__encode_double() */
+
+
+/*--------------------------------------------------------------------------
+ NAME
+ H5P__encode_cb
+ PURPOSE
+ Internal callback routine when iterating over properties while encoding
+ a property list.
+ USAGE
+ int H5P__encode_cb(item, key, udata)
+ H5P_genprop_t *prop; IN: Pointer to the property
+ void *udata; IN/OUT: Pointer to iteration data from user
+ RETURNS
+ Success: H5_ITER_CONT
+ Fail: H5_ITER_ERROR
+ DESCRIPTION
+ This routine encodes a property in a property list
+ GLOBAL VARIABLES
+ COMMENTS, BUGS, ASSUMPTIONS
+ EXAMPLES
+ REVISION LOG
+--------------------------------------------------------------------------*/
+static int
+H5P__encode_cb(H5P_genprop_t *prop, void *_udata)
+{
+ H5P_enc_iter_ud_t *udata = (H5P_enc_iter_ud_t *)_udata; /* Pointer to user data */
+ int ret_value = H5_ITER_CONT; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity check */
+ HDassert(prop);
+ HDassert(udata);
+
+ /* Check if this property can be encoded */
+ if(prop->encode) {
+ size_t prop_name_len; /* Length of property's name */
+ size_t prop_value_len; /* Encoded size of property's value */
+
+ /* Encode (or not, if the 'encode' flag is off) the property's name */
+ prop_name_len = HDstrlen(prop->name) + 1;
+ if(udata->encode) {
+ HDstrncpy((char *)*(udata->pp), prop->name, prop_name_len);
+ *(uint8_t **)(udata->pp) += prop_name_len;
+ } /* end if */
+ *(udata->enc_size_ptr) += prop_name_len;
+
+ /* Encode (or not, if *(udata->pp) is NULL) the property value */
+ prop_value_len = 0;
+ if((prop->encode)(prop->value, udata->pp, &prop_value_len) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTENCODE, H5_ITER_ERROR, "property encoding routine failed")
+ *(udata->enc_size_ptr) += prop_value_len;
+ } /* end if */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__encode_cb() */
+
+
+/*-------------------------------------------------------------------------
+ NAME
+ H5P__encode
+ PURPOSE
+ Internal routine to encode a property list into a binary buffer.
+ USAGE
+ herr_t H5P__encode(plist, enc_all_prop, buf, nalloc)
+ const H5P_genplist_t *plist; IN: Property list to encode
+ hbool_t enc_all_prop; IN: Whether to encode all properties (TRUE),
+ or just non-default (i.e. changed) properties (FALSE).
+ uint8_t *buf; OUT: buffer to hold the encoded plist
+ size_t *nalloc; IN/OUT: size of buffer needed to encode plist
+ RETURNS
+ Returns non-negative on success, negative on failure.
+ DESCRIPTION
+ Encodes a property list into a binary buffer. If the buffer is NULL, then
+ the call will set the size needed to encode the plist in nalloc. Otherwise
+ the routine will encode the plist in buf.
+ GLOBAL VARIABLES
+ COMMENTS, BUGS, ASSUMPTIONS
+ EXAMPLES
+ REVISION LOG
+--------------------------------------------------------------------------*/
+herr_t
+H5P__encode(const H5P_genplist_t *plist, hbool_t enc_all_prop, void *buf,
+ size_t *nalloc)
+{
+ H5P_enc_iter_ud_t udata; /* User data for property iteration callback */
+ uint8_t *p = (uint8_t *)buf; /* Temporary pointer to encoding buffer */
+ int idx; /* Index of property to start at */
+ size_t encode_size = 0; /* Size of buffer needed to encode properties */
+ hbool_t encode = TRUE; /* Whether the property list should be encoded */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_PACKAGE
+
+ /* Sanity check */
+ if(NULL == nalloc)
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "bad allocation size pointer")
+
+ /* If the buffer is NULL, then this call to H5P__encode will return how much
+ * space is needed to encode a property.
+ */
+ if(NULL == p)
+ encode = FALSE;
+
+ /* Encode property list description info */
+ if(encode) {
+ /* Version # of property list encoding */
+ *p++ = (uint8_t)H5P_ENCODE_VERS;
+
+ /* Type of property list */
+ *p++ = (uint8_t)plist->pclass->type;
+ } /* end if */
+ encode_size += 2;
+
+ /* Initialize user data for iteration callback */
+ udata.encode = encode;
+ udata.enc_size_ptr = &encode_size;
+ udata.pp = (void **)&p;
+
+ /* Iterate over all properties in property list, encoding them */
+ idx = 0;
+ if(H5P_iterate_plist(plist, enc_all_prop, &idx, H5P__encode_cb, &udata) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_BADITER, FAIL, "can't iterate over properties")
+
+ /* Encode a terminator for list of properties */
+ if(encode)
+ *p++ = 0;
+ encode_size++;
+
+ /* Set the size of the buffer needed/used to encode the property list */
+ *nalloc = encode_size;
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__encode() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__decode_size_t
+ *
+ * Purpose: Generic decoding callback routine for 'size_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Thursday, August 2, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__decode_size_t(const void **_pp, void *_value)
+{
+ size_t *value = (size_t *)_value; /* Property value to return */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ uint64_t enc_value; /* Decoded property value */
+ unsigned enc_size; /* Size of encoded property */
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity check */
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+
+ /* Decode the value */
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ H5_ASSIGN_OVERFLOW(*value, enc_value, uint64_t, size_t);
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__decode_size_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__decode_hsize_t
+ *
+ * Purpose: Generic decoding callback routine for 'hsize_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 07, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__decode_hsize_t(const void **_pp, void *_value)
+{
+ hsize_t *value = (hsize_t *)_value; /* Property value to return */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ uint64_t enc_value; /* Decoded property value */
+ unsigned enc_size; /* Size of encoded property */
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity check */
+ HDcompile_assert(sizeof(hsize_t) <= sizeof(uint64_t));
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+
+ /* Decode the value */
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ H5_ASSIGN_OVERFLOW(*value, enc_value, uint64_t, hsize_t);
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__decode_hsize_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__decode_unsigned
+ *
+ * Purpose: Generic decoding callback routine for 'unsigned' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Thursday, August 2, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__decode_unsigned(const void **_pp, void *_value)
+{
+ unsigned *value = (unsigned *)_value; /* Property value to return */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size; /* Size of encoded property */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_PACKAGE
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+
+ H5_DECODE_UNSIGNED(*pp, *value)
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__decode_unsigned() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__decode_uint8_t
+ *
+ * Purpose: Generic decoding callback routine for 'uint8_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Thursday, August 2, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__decode_uint8_t(const void **_pp, void *_value)
+{
+ uint8_t *value = (uint8_t *)_value; /* Property value to return */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode the value */
+ *value = *(*pp)++;
+
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__decode_uint8_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__decode_hbool_t
+ *
+ * Purpose: Generic decoding callback routine for 'hbool_t' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__decode_hbool_t(const void **_pp, void *_value)
+{
+ hbool_t *value = (hbool_t *)_value; /* Property value to return */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_PACKAGE_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode the value */
+ *value = (hbool_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__decode_hbool_t() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__decode_double
+ *
+ * Purpose: Generic decoding callback routine for 'double' properties.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Thursday, August 2, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5P__decode_double(const void **_pp, void *_value)
+{
+ double *value = (double *)_value; /* Property value to return */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size; /* Size of encoded property */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_PACKAGE
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(value);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(double))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "double value can't be decoded")
+
+ H5_DECODE_DOUBLE(*pp, *value)
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__decode_double() */
+
+
+/*-------------------------------------------------------------------------
+ NAME
+ H5P__decode
+ PURPOSE
+ Internal routine to decode a property list from a binary buffer.
+ USAGE
+ H5P_genplist_t *H5P__decode(buf)
+ const void *buf; IN: buffer that holds the encoded plist
+ RETURNS
+ Returns non-negative ID of new property list object on success, negative
+ on failure.
+ DESCRIPTION
+ Decodes a property list from a binary buffer. The contents of the buffer
+ contain the values for the correponding properties of the plist. The decode
+ callback of a certain property decodes its value from the buffer and sets it
+ in the property list.
+ GLOBAL VARIABLES
+ COMMENTS, BUGS, ASSUMPTIONS
+ Properties in the property list that are not encoded in the serialized
+ form retain their default value.
+ EXAMPLES
+ REVISION LOG
+--------------------------------------------------------------------------*/
+hid_t
+H5P__decode(const void *buf)
+{
+ H5P_genplist_t *plist; /* Property list to decode into */
+ void *value_buf = NULL; /* Pointer to buffer to use when decoding values */
+ const uint8_t *p = (const uint8_t *)buf; /* Current pointer into buffer */
+ H5P_plist_type_t type; /* Type of encoded property list */
+ hid_t plist_id = -1; /* ID of new property list */
+ size_t value_buf_size = 0; /* Size of current value buffer */
+ uint8_t vers; /* Version of encoded property list */
+ hid_t ret_value; /* Return value */
+
+ FUNC_ENTER_PACKAGE
+
+ /* Sanity check */
+ if(NULL == p)
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "decode buffer is NULL")
+
+ /* Get the version number of the encoded property list */
+ vers = (uint8_t)*p++;
+ if((uint8_t)H5P_ENCODE_VERS != vers)
+ HGOTO_ERROR(H5E_PLIST, H5E_VERSION, FAIL, "bad version # of encoded information, expected %u, got %u", (unsigned)H5P_ENCODE_VERS, (unsigned)vers)
+
+ /* Get the type of the property list */
+ type = (H5P_plist_type_t)*p++;
+ if(type <= H5P_TYPE_USER || type > H5P_TYPE_LINK_ACCESS)
+ HGOTO_ERROR(H5E_PLIST, H5E_BADRANGE, FAIL, "bad type of encoded information: %u", (unsigned)type)
+
+ /* Create new property list of the specified type */
+ if((plist_id = H5P__new_plist_of_type(type)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_VERSION, FAIL, "can't create property list of type: %u\n", (unsigned)type);
+
+ /* Get the property list object */
+ if(NULL == (plist = (H5P_genplist_t *)H5I_object(plist_id)))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADTYPE, FAIL, "not a property class")
+
+ /* Loop over encoded properties, deserializing their values */
+ while(p) {
+ H5P_genprop_t *prop; /* Pointer to property with same name */
+ const char *name; /* Pointer to property list name */
+
+ /* Check for end of serialized list of properties */
+ if(0 == *p)
+ break;
+
+ /* Get property list name */
+ name = (const char *)p;
+ p += HDstrlen(name) + 1;
+
+ /* Find property with name */
+ if(NULL == (prop = H5P__find_prop_plist(plist, name)))
+ HGOTO_ERROR(H5E_PLIST, H5E_NOTFOUND, FAIL, "property doesn't exist: '%s'", name)
+
+ /* Check if we should increase the size of the value buffer */
+ if(prop->size > value_buf_size) {
+ if(NULL == (value_buf = H5MM_realloc(value_buf, prop->size)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTALLOC, FAIL, "decoding buffer allocation failed")
+ value_buf_size = prop->size;
+ } /* end if */
+
+ /* Decode serialized value */
+ if(prop->decode) {
+ if((prop->decode)((const void **)&p, value_buf) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTDECODE, FAIL, "property decoding routine failed, property: '%s'", name)
+ } /* end if */
+ else
+ HGOTO_ERROR(H5E_PLIST, H5E_NOTFOUND, FAIL, "no decode callback for property: '%s', name")
+
+ /* Set the value for the property */
+ if(H5P_set(plist, name, value_buf) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "unable to set value for property: '%s'", name)
+ } /* end while */
+
+ /* Set return value */
+ ret_value = plist_id;
+
+done:
+ /* Release resources */
+ if(value_buf)
+ value_buf = H5MM_xfree(value_buf);
+
+ /* Cleanup on error */
+ if(ret_value < 0) {
+ if(plist_id > 0 && H5I_dec_ref(plist_id) < 0)
+ HDONE_ERROR(H5E_PLIST, H5E_CANTCLOSEOBJ, FAIL, "unable to close partially initialized property list")
+ } /* end if */
+
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__decode() */
+
diff --git a/src/H5Pfapl.c b/src/H5Pfapl.c
index 9707357..4faed4f 100644
--- a/src/H5Pfapl.c
+++ b/src/H5Pfapl.c
@@ -59,36 +59,57 @@
/* Definitions for the initial metadata cache resize configuration */
#define H5F_ACS_META_CACHE_INIT_CONFIG_SIZE sizeof(H5AC_cache_config_t)
#define H5F_ACS_META_CACHE_INIT_CONFIG_DEF H5AC__DEFAULT_CACHE_CONFIG
+#define H5F_ACS_META_CACHE_INIT_CONFIG_ENC H5P__facc_cache_config_enc
+#define H5F_ACS_META_CACHE_INIT_CONFIG_DEC H5P__facc_cache_config_dec
+#define H5F_ACS_META_CACHE_INIT_CONFIG_CMP H5P__facc_cache_config_cmp
/* Definitions for size of raw data chunk cache(slots) */
#define H5F_ACS_DATA_CACHE_NUM_SLOTS_SIZE sizeof(size_t)
#define H5F_ACS_DATA_CACHE_NUM_SLOTS_DEF 521
+#define H5F_ACS_DATA_CACHE_NUM_SLOTS_ENC H5P__encode_size_t
+#define H5F_ACS_DATA_CACHE_NUM_SLOTS_DEC H5P__decode_size_t
/* Definition for size of raw data chunk cache(bytes) */
#define H5F_ACS_DATA_CACHE_BYTE_SIZE_SIZE sizeof(size_t)
#define H5F_ACS_DATA_CACHE_BYTE_SIZE_DEF (1024*1024)
+#define H5F_ACS_DATA_CACHE_BYTE_SIZE_ENC H5P__encode_size_t
+#define H5F_ACS_DATA_CACHE_BYTE_SIZE_DEC H5P__decode_size_t
/* Definition for preemption read chunks first */
#define H5F_ACS_PREEMPT_READ_CHUNKS_SIZE sizeof(double)
-#define H5F_ACS_PREEMPT_READ_CHUNKS_DEF 0.75
+#define H5F_ACS_PREEMPT_READ_CHUNKS_DEF 0.75f
+#define H5F_ACS_PREEMPT_READ_CHUNKS_ENC H5P__encode_double
+#define H5F_ACS_PREEMPT_READ_CHUNKS_DEC H5P__decode_double
/* Definition for threshold for alignment */
#define H5F_ACS_ALIGN_THRHD_SIZE sizeof(hsize_t)
#define H5F_ACS_ALIGN_THRHD_DEF 1
+#define H5F_ACS_ALIGN_THRHD_ENC H5P__encode_hsize_t
+#define H5F_ACS_ALIGN_THRHD_DEC H5P__decode_hsize_t
/* Definition for alignment */
#define H5F_ACS_ALIGN_SIZE sizeof(hsize_t)
#define H5F_ACS_ALIGN_DEF 1
+#define H5F_ACS_ALIGN_ENC H5P__encode_hsize_t
+#define H5F_ACS_ALIGN_DEC H5P__decode_hsize_t
/* Definition for minimum metadata allocation block size (when
aggregating metadata allocations. */
#define H5F_ACS_META_BLOCK_SIZE_SIZE sizeof(hsize_t)
#define H5F_ACS_META_BLOCK_SIZE_DEF 2048
+#define H5F_ACS_META_BLOCK_SIZE_ENC H5P__encode_hsize_t
+#define H5F_ACS_META_BLOCK_SIZE_DEC H5P__decode_hsize_t
/* Definition for maximum sieve buffer size (when data sieving
is allowed by file driver */
#define H5F_ACS_SIEVE_BUF_SIZE_SIZE sizeof(size_t)
#define H5F_ACS_SIEVE_BUF_SIZE_DEF (64*1024)
+#define H5F_ACS_SIEVE_BUF_SIZE_ENC H5P__encode_size_t
+#define H5F_ACS_SIEVE_BUF_SIZE_DEC H5P__decode_size_t
/* Definition for minimum "small data" allocation block size (when
aggregating "small" raw data allocations. */
#define H5F_ACS_SDATA_BLOCK_SIZE_SIZE sizeof(hsize_t)
#define H5F_ACS_SDATA_BLOCK_SIZE_DEF 2048
+#define H5F_ACS_SDATA_BLOCK_SIZE_ENC H5P__encode_hsize_t
+#define H5F_ACS_SDATA_BLOCK_SIZE_DEC H5P__decode_hsize_t
/* Definition for garbage-collect references */
#define H5F_ACS_GARBG_COLCT_REF_SIZE sizeof(unsigned)
#define H5F_ACS_GARBG_COLCT_REF_DEF 0
+#define H5F_ACS_GARBG_COLCT_REF_ENC H5P__encode_unsigned
+#define H5F_ACS_GARBG_COLCT_REF_DEC H5P__decode_unsigned
/* Definition for file driver ID */
#define H5F_ACS_FILE_DRV_ID_SIZE sizeof(hid_t)
#define H5F_ACS_FILE_DRV_ID_DEF H5_DEFAULT_VFD
@@ -98,9 +119,13 @@
/* Definition for file close degree */
#define H5F_CLOSE_DEGREE_SIZE sizeof(H5F_close_degree_t)
#define H5F_CLOSE_DEGREE_DEF H5F_CLOSE_DEFAULT
+#define H5F_CLOSE_DEGREE_ENC H5P__facc_fclose_degree_enc
+#define H5F_CLOSE_DEGREE_DEC H5P__facc_fclose_degree_dec
/* Definition for offset position in file for family file driver */
#define H5F_ACS_FAMILY_OFFSET_SIZE sizeof(hsize_t)
#define H5F_ACS_FAMILY_OFFSET_DEF 0
+#define H5F_ACS_FAMILY_OFFSET_ENC H5P__encode_hsize_t
+#define H5F_ACS_FAMILY_OFFSET_DEC H5P__decode_hsize_t
/* Definition for new member size of family driver. It's private
* property only used by h5repart */
#define H5F_ACS_FAMILY_NEWSIZE_SIZE sizeof(hsize_t)
@@ -112,9 +137,13 @@
/* Definition for data type in multi file driver */
#define H5F_ACS_MULTI_TYPE_SIZE sizeof(H5FD_mem_t)
#define H5F_ACS_MULTI_TYPE_DEF H5FD_MEM_DEFAULT
+#define H5F_ACS_MULTI_TYPE_ENC H5P__facc_multi_type_enc
+#define H5F_ACS_MULTI_TYPE_DEC H5P__facc_multi_type_dec
/* Definition for 'use latest format version' flag */
#define H5F_ACS_LATEST_FORMAT_SIZE sizeof(hbool_t)
#define H5F_ACS_LATEST_FORMAT_DEF FALSE
+#define H5F_ACS_LATEST_FORMAT_ENC H5P__encode_hbool_t
+#define H5F_ACS_LATEST_FORMAT_DEC H5P__decode_hbool_t
/* Definition for whether to query the file descriptor from the core VFD
* instead of the memory address. (Private to library)
*/
@@ -123,6 +152,8 @@
/* Definition for external file cache size */
#define H5F_ACS_EFC_SIZE_SIZE sizeof(unsigned)
#define H5F_ACS_EFC_SIZE_DEF 0
+#define H5F_ACS_EFC_SIZE_ENC H5P__encode_unsigned
+#define H5F_ACS_EFC_SIZE_DEC H5P__decode_unsigned
/* Definition of pointer to initial file image info */
#define H5F_ACS_FILE_IMAGE_INFO_SIZE sizeof(H5FD_file_image_info_t)
#define H5F_ACS_FILE_IMAGE_INFO_DEF H5FD_DEFAULT_FILE_IMAGE_INFO
@@ -155,6 +186,16 @@ static herr_t H5P_file_image_info_del(hid_t prop_id, const char *name, size_t si
static herr_t H5P_file_image_info_copy(const char *name, size_t size, void *value);
static herr_t H5P_file_image_info_close(const char *name, size_t size, void *value);
+/* encode & decode callbacks */
+static herr_t H5P__facc_cache_config_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__facc_cache_config_dec(const void **_pp, void *value);
+static int H5P__facc_cache_config_cmp(const void *value1, const void *value2, size_t size);
+static herr_t H5P__facc_fclose_degree_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__facc_fclose_degree_dec(const void **pp, void *value);
+static herr_t H5P__facc_multi_type_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__facc_multi_type_dec(const void **_pp, void *value);
+
+
/*********************/
/* Package Variables */
/*********************/
@@ -185,6 +226,28 @@ const H5P_libclass_t H5P_CLS_FACC[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const H5AC_cache_config_t H5F_def_mdc_initCacheCfg_g = H5F_ACS_META_CACHE_INIT_CONFIG_DEF; /* Default metadata cache settings */
+static const size_t H5F_def_rdcc_nslots_g = H5F_ACS_DATA_CACHE_NUM_SLOTS_DEF; /* Default raw data chunk cache # of slots */
+static const size_t H5F_def_rdcc_nbytes_g = H5F_ACS_DATA_CACHE_BYTE_SIZE_DEF; /* Default raw data chunk cache # of bytes */
+static const double H5F_def_rdcc_w0_g = H5F_ACS_PREEMPT_READ_CHUNKS_DEF; /* Default raw data chunk cache dirty ratio */
+static const hsize_t H5F_def_threshold_g = H5F_ACS_ALIGN_THRHD_DEF; /* Default allocation alignment threshold */
+static const hsize_t H5F_def_alignment_g = H5F_ACS_ALIGN_DEF; /* Default allocation alignment value */
+static const hsize_t H5F_def_meta_block_size_g = H5F_ACS_META_BLOCK_SIZE_DEF; /* Default metadata allocation block size */
+static const size_t H5F_def_sieve_buf_size_g = H5F_ACS_SIEVE_BUF_SIZE_DEF; /* Default raw data I/O sieve buffer size */
+static const hsize_t H5F_def_sdata_block_size_g = H5F_ACS_SDATA_BLOCK_SIZE_DEF; /* Default small data allocation block size */
+static const unsigned H5F_def_gc_ref_g = H5F_ACS_GARBG_COLCT_REF_DEF; /* Default garbage collection for references setting */
+static const void *H5F_def_driver_info_g = H5F_ACS_FILE_DRV_INFO_DEF; /* Default VFL driver info */
+static const H5F_close_degree_t H5F_def_close_degree_g = H5F_CLOSE_DEGREE_DEF; /* Default file close degree */
+static const hsize_t H5F_def_family_offset_g = H5F_ACS_FAMILY_OFFSET_DEF; /* Default offset for family VFD */
+static const hsize_t H5F_def_family_newsize_g = H5F_ACS_FAMILY_NEWSIZE_DEF; /* Default size of new files for family VFD */
+static const hbool_t H5F_def_family_to_sec2_g = H5F_ACS_FAMILY_TO_SEC2_DEF; /* Default ?? for family VFD */
+static const H5FD_mem_t H5F_def_mem_type_g = H5F_ACS_MULTI_TYPE_DEF; /* Default file space type for multi VFD */
+static const hbool_t H5F_def_latest_format_g = H5F_ACS_LATEST_FORMAT_DEF; /* Default setting for "use the latest version of the format" flag */
+static const hbool_t H5F_def_want_posix_fd_g = H5F_ACS_WANT_POSIX_FD_DEF; /* Default setting for retrieving 'handle' from core VFD */
+static const unsigned H5F_def_efc_size_g = H5F_ACS_EFC_SIZE_DEF; /* Default external file cache size */
+static const H5FD_file_image_info_t H5F_def_file_image_info_g = H5F_ACS_FILE_IMAGE_INFO_DEF; /* Default file image info and callbacks */
+
/*-------------------------------------------------------------------------
@@ -201,114 +264,137 @@ const H5P_libclass_t H5P_CLS_FACC[1] = {{
static herr_t
H5P_facc_reg_prop(H5P_genclass_t *pclass)
{
- H5AC_cache_config_t mdc_initCacheCfg = H5F_ACS_META_CACHE_INIT_CONFIG_DEF; /* Default metadata cache settings */
- size_t rdcc_nslots = H5F_ACS_DATA_CACHE_NUM_SLOTS_DEF; /* Default raw data chunk cache # of slots */
- size_t rdcc_nbytes = H5F_ACS_DATA_CACHE_BYTE_SIZE_DEF; /* Default raw data chunk cache # of bytes */
- double rdcc_w0 = H5F_ACS_PREEMPT_READ_CHUNKS_DEF; /* Default raw data chunk cache dirty ratio */
- hsize_t threshold = H5F_ACS_ALIGN_THRHD_DEF; /* Default allocation alignment threshold */
- hsize_t alignment = H5F_ACS_ALIGN_DEF; /* Default allocation alignment value */
- hsize_t meta_block_size = H5F_ACS_META_BLOCK_SIZE_DEF; /* Default metadata allocation block size */
- size_t sieve_buf_size = H5F_ACS_SIEVE_BUF_SIZE_DEF; /* Default raw data I/O sieve buffer size */
- hsize_t sdata_block_size = H5F_ACS_SDATA_BLOCK_SIZE_DEF; /* Default small data allocation block size */
- unsigned gc_ref = H5F_ACS_GARBG_COLCT_REF_DEF; /* Default garbage collection for references setting */
- hid_t driver_id = H5F_ACS_FILE_DRV_ID_DEF; /* Default VFL driver ID */
- void *driver_info = H5F_ACS_FILE_DRV_INFO_DEF; /* Default VFL driver info */
- H5F_close_degree_t close_degree = H5F_CLOSE_DEGREE_DEF; /* Default file close degree */
- hsize_t family_offset = H5F_ACS_FAMILY_OFFSET_DEF; /* Default offset for family VFD */
- hsize_t family_newsize = H5F_ACS_FAMILY_NEWSIZE_DEF; /* Default size of new files for family VFD */
- hbool_t family_to_sec2 = H5F_ACS_FAMILY_TO_SEC2_DEF; /* Default ?? for family VFD */
- H5FD_mem_t mem_type = H5F_ACS_MULTI_TYPE_DEF; /* Default file space type for multi VFD */
- hbool_t latest_format = H5F_ACS_LATEST_FORMAT_DEF; /* Default setting for "use the latest version of the format" flag */
- hbool_t want_posix_fd = H5F_ACS_WANT_POSIX_FD_DEF; /* Default setting for retrieving 'handle' from core VFD */
- unsigned efc_size = H5F_ACS_EFC_SIZE_DEF; /* Default external file cache size */
- H5FD_file_image_info_t file_image_info = H5F_ACS_FILE_IMAGE_INFO_DEF; /* Default file image info and callbacks */
+ const hid_t def_driver_id = H5F_ACS_FILE_DRV_ID_DEF; /* Default VFL driver ID (initialized from a variable) */
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_NOAPI_NOINIT
/* Register the initial metadata cache resize configuration */
- if(H5P_register_real(pclass, H5F_ACS_META_CACHE_INIT_CONFIG_NAME, H5F_ACS_META_CACHE_INIT_CONFIG_SIZE, &mdc_initCacheCfg, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_META_CACHE_INIT_CONFIG_NAME, H5F_ACS_META_CACHE_INIT_CONFIG_SIZE, &H5F_def_mdc_initCacheCfg_g,
+ NULL, NULL, NULL, H5F_ACS_META_CACHE_INIT_CONFIG_ENC, H5F_ACS_META_CACHE_INIT_CONFIG_DEC,
+ NULL, NULL, H5F_ACS_META_CACHE_INIT_CONFIG_CMP, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the size of raw data chunk cache (elements) */
- if(H5P_register_real(pclass, H5F_ACS_DATA_CACHE_NUM_SLOTS_NAME, H5F_ACS_DATA_CACHE_NUM_SLOTS_SIZE, &rdcc_nslots, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_DATA_CACHE_NUM_SLOTS_NAME, H5F_ACS_DATA_CACHE_NUM_SLOTS_SIZE, &H5F_def_rdcc_nslots_g,
+ NULL, NULL, NULL, H5F_ACS_DATA_CACHE_NUM_SLOTS_ENC, H5F_ACS_DATA_CACHE_NUM_SLOTS_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the size of raw data chunk cache(bytes) */
- if(H5P_register_real(pclass, H5F_ACS_DATA_CACHE_BYTE_SIZE_NAME, H5F_ACS_DATA_CACHE_BYTE_SIZE_SIZE, &rdcc_nbytes, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_DATA_CACHE_BYTE_SIZE_NAME, H5F_ACS_DATA_CACHE_BYTE_SIZE_SIZE, &H5F_def_rdcc_nbytes_g,
+ NULL, NULL, NULL, H5F_ACS_DATA_CACHE_BYTE_SIZE_ENC, H5F_ACS_DATA_CACHE_BYTE_SIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the preemption for reading chunks */
- if(H5P_register_real(pclass, H5F_ACS_PREEMPT_READ_CHUNKS_NAME, H5F_ACS_PREEMPT_READ_CHUNKS_SIZE, &rdcc_w0, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_PREEMPT_READ_CHUNKS_NAME, H5F_ACS_PREEMPT_READ_CHUNKS_SIZE, &H5F_def_rdcc_w0_g,
+ NULL, NULL, NULL, H5F_ACS_PREEMPT_READ_CHUNKS_ENC, H5F_ACS_PREEMPT_READ_CHUNKS_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the threshold for alignment */
- if(H5P_register_real(pclass, H5F_ACS_ALIGN_THRHD_NAME, H5F_ACS_ALIGN_THRHD_SIZE, &threshold, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_ALIGN_THRHD_NAME, H5F_ACS_ALIGN_THRHD_SIZE, &H5F_def_threshold_g,
+ NULL, NULL, NULL, H5F_ACS_ALIGN_THRHD_ENC, H5F_ACS_ALIGN_THRHD_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the alignment */
- if(H5P_register_real(pclass, H5F_ACS_ALIGN_NAME, H5F_ACS_ALIGN_SIZE, &alignment, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_ALIGN_NAME, H5F_ACS_ALIGN_SIZE, &H5F_def_alignment_g,
+ NULL, NULL, NULL, H5F_ACS_ALIGN_ENC, H5F_ACS_ALIGN_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the minimum metadata allocation block size */
- if(H5P_register_real(pclass, H5F_ACS_META_BLOCK_SIZE_NAME, H5F_ACS_META_BLOCK_SIZE_SIZE, &meta_block_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_META_BLOCK_SIZE_NAME, H5F_ACS_META_BLOCK_SIZE_SIZE, &H5F_def_meta_block_size_g,
+ NULL, NULL, NULL, H5F_ACS_META_BLOCK_SIZE_ENC, H5F_ACS_META_BLOCK_SIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the maximum sieve buffer size */
- if(H5P_register_real(pclass, H5F_ACS_SIEVE_BUF_SIZE_NAME, H5F_ACS_SIEVE_BUF_SIZE_SIZE, &sieve_buf_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_SIEVE_BUF_SIZE_NAME, H5F_ACS_SIEVE_BUF_SIZE_SIZE, &H5F_def_sieve_buf_size_g,
+ NULL, NULL, NULL, H5F_ACS_SIEVE_BUF_SIZE_ENC, H5F_ACS_SIEVE_BUF_SIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the minimum "small data" allocation block size */
- if(H5P_register_real(pclass, H5F_ACS_SDATA_BLOCK_SIZE_NAME, H5F_ACS_SDATA_BLOCK_SIZE_SIZE, &sdata_block_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_SDATA_BLOCK_SIZE_NAME, H5F_ACS_SDATA_BLOCK_SIZE_SIZE, &H5F_def_sdata_block_size_g,
+ NULL, NULL, NULL, H5F_ACS_SDATA_BLOCK_SIZE_ENC, H5F_ACS_SDATA_BLOCK_SIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the garbage collection reference */
- if(H5P_register_real(pclass, H5F_ACS_GARBG_COLCT_REF_NAME, H5F_ACS_GARBG_COLCT_REF_SIZE, &gc_ref, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_GARBG_COLCT_REF_NAME, H5F_ACS_GARBG_COLCT_REF_SIZE, &H5F_def_gc_ref_g,
+ NULL, NULL, NULL, H5F_ACS_GARBG_COLCT_REF_ENC, H5F_ACS_GARBG_COLCT_REF_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the file driver ID */
- if(H5P_register_real(pclass, H5F_ACS_FILE_DRV_ID_NAME, H5F_ACS_FILE_DRV_ID_SIZE, &driver_id, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_ACS_FILE_DRV_ID_NAME, H5F_ACS_FILE_DRV_ID_SIZE, &def_driver_id,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the file driver info */
- if(H5P_register_real(pclass, H5F_ACS_FILE_DRV_INFO_NAME, H5F_ACS_FILE_DRV_INFO_SIZE, &driver_info, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_ACS_FILE_DRV_INFO_NAME, H5F_ACS_FILE_DRV_INFO_SIZE, &H5F_def_driver_info_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the file close degree */
- if(H5P_register_real(pclass, H5F_ACS_CLOSE_DEGREE_NAME, H5F_CLOSE_DEGREE_SIZE, &close_degree, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_CLOSE_DEGREE_NAME, H5F_CLOSE_DEGREE_SIZE, &H5F_def_close_degree_g,
+ NULL, NULL, NULL, H5F_CLOSE_DEGREE_ENC, H5F_CLOSE_DEGREE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the offset of family driver info */
- if(H5P_register_real(pclass, H5F_ACS_FAMILY_OFFSET_NAME, H5F_ACS_FAMILY_OFFSET_SIZE, &family_offset, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_FAMILY_OFFSET_NAME, H5F_ACS_FAMILY_OFFSET_SIZE, &H5F_def_family_offset_g,
+ NULL, NULL, NULL, H5F_ACS_FAMILY_OFFSET_ENC, H5F_ACS_FAMILY_OFFSET_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the private property of new family file size. It's used by h5repart only. */
- if(H5P_register_real(pclass, H5F_ACS_FAMILY_NEWSIZE_NAME, H5F_ACS_FAMILY_NEWSIZE_SIZE, &family_newsize, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_ACS_FAMILY_NEWSIZE_NAME, H5F_ACS_FAMILY_NEWSIZE_SIZE, &H5F_def_family_newsize_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the private property of whether convert family to sec2 driver. It's used by h5repart only. */
- if(H5P_register_real(pclass, H5F_ACS_FAMILY_TO_SEC2_NAME, H5F_ACS_FAMILY_TO_SEC2_SIZE, &family_to_sec2, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_ACS_FAMILY_TO_SEC2_NAME, H5F_ACS_FAMILY_TO_SEC2_SIZE, &H5F_def_family_to_sec2_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the data type of multi driver info */
- if(H5P_register_real(pclass, H5F_ACS_MULTI_TYPE_NAME, H5F_ACS_MULTI_TYPE_SIZE, &mem_type, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_MULTI_TYPE_NAME, H5F_ACS_MULTI_TYPE_SIZE, &H5F_def_mem_type_g,
+ NULL, NULL, NULL, H5F_ACS_MULTI_TYPE_ENC, H5F_ACS_MULTI_TYPE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the 'use the latest version of the format' flag */
- if(H5P_register_real(pclass, H5F_ACS_LATEST_FORMAT_NAME, H5F_ACS_LATEST_FORMAT_SIZE, &latest_format, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_LATEST_FORMAT_NAME, H5F_ACS_LATEST_FORMAT_SIZE, &H5F_def_latest_format_g,
+ NULL, NULL, NULL, H5F_ACS_LATEST_FORMAT_ENC, H5F_ACS_LATEST_FORMAT_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the private property of whether to retrieve the file descriptor from the core VFD */
/* (used internally to the library only) */
- if(H5P_register_real(pclass, H5F_ACS_WANT_POSIX_FD_NAME, H5F_ACS_WANT_POSIX_FD_SIZE, &want_posix_fd, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_ACS_WANT_POSIX_FD_NAME, H5F_ACS_WANT_POSIX_FD_SIZE, &H5F_def_want_posix_fd_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the external file cache size */
- if(H5P_register_real(pclass, H5F_ACS_EFC_SIZE_NAME, H5F_ACS_EFC_SIZE_SIZE, &efc_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_ACS_EFC_SIZE_NAME, H5F_ACS_EFC_SIZE_SIZE, &H5F_def_efc_size_g,
+ NULL, NULL, NULL, H5F_ACS_EFC_SIZE_ENC, H5F_ACS_EFC_SIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the initial file image info */
- if(H5P_register_real(pclass, H5F_ACS_FILE_IMAGE_INFO_NAME, H5F_ACS_FILE_IMAGE_INFO_SIZE, &file_image_info, NULL, NULL, NULL, H5F_ACS_FILE_IMAGE_INFO_DEL, H5F_ACS_FILE_IMAGE_INFO_COPY, NULL, H5F_ACS_FILE_IMAGE_INFO_CLOSE) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_ACS_FILE_IMAGE_INFO_NAME, H5F_ACS_FILE_IMAGE_INFO_SIZE, &H5F_def_file_image_info_g,
+ NULL, NULL, NULL, NULL, NULL,
+ H5F_ACS_FILE_IMAGE_INFO_DEL, H5F_ACS_FILE_IMAGE_INFO_COPY, NULL, H5F_ACS_FILE_IMAGE_INFO_CLOSE) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
@@ -508,18 +594,18 @@ H5Pset_alignment(hid_t fapl_id, hsize_t threshold, hsize_t alignment)
H5TRACE3("e", "ihh", fapl_id, threshold, alignment);
/* Check args */
- if (alignment<1)
- HGOTO_ERROR (H5E_ARGS, H5E_BADVALUE, FAIL, "alignment must be positive");
+ if(alignment < 1)
+ HGOTO_ERROR(H5E_ARGS, H5E_BADVALUE, FAIL, "alignment must be positive")
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(fapl_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set values */
if(H5P_set(plist, H5F_ACS_ALIGN_THRHD_NAME, &threshold) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set threshold");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set threshold")
if(H5P_set(plist, H5F_ACS_ALIGN_NAME, &alignment) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set alignment");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set alignment")
done:
FUNC_LEAVE_API(ret_value)
@@ -692,10 +778,10 @@ H5P_get_driver(H5P_genplist_t *plist)
/* Get the current driver ID */
if(TRUE == H5P_isa_class(plist->plist_id, H5P_FILE_ACCESS)) {
if(H5P_get(plist, H5F_ACS_FILE_DRV_ID_NAME, &ret_value) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get driver ID");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get driver ID")
} /* end if */
else
- HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not a file access property list");
+ HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not a file access property list")
if(H5FD_VFD_DEFAULT == ret_value)
ret_value = H5_DEFAULT_VFD;
@@ -1002,17 +1088,6 @@ done:
* Programmer: Robb Matzke
* Tuesday, May 19, 1998
*
- * Modifications:
- *
- * Raymond Lu
- * Tuesday, Oct 23, 2001
- * Changed the file access list to the new generic property list.
- *
- * J. Mainzer
- * Thurs. 3/17/05
- * The mdc_nelmts entry is no more in the FAPL, so I modified
- * the code to ignore it.
- *
*-------------------------------------------------------------------------
*/
herr_t
@@ -1027,24 +1102,24 @@ H5Pset_cache(hid_t plist_id, int UNUSED mdc_nelmts,
rdcc_w0);
/* Check arguments */
- if (rdcc_w0<0.0 || rdcc_w0>1.0)
- HGOTO_ERROR (H5E_ARGS, H5E_BADVALUE, FAIL, "raw data cache w0 value must be between 0.0 and 1.0 inclusive");
+ if(rdcc_w0 < 0.0 || rdcc_w0 > 1.0)
+ HGOTO_ERROR(H5E_ARGS, H5E_BADVALUE, FAIL, "raw data cache w0 value must be between 0.0 and 1.0 inclusive")
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set sizes */
if(H5P_set(plist, H5F_ACS_DATA_CACHE_NUM_SLOTS_NAME, &rdcc_nslots) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET,FAIL, "can't set data cache number of slots");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET,FAIL, "can't set data cache number of slots")
if(H5P_set(plist, H5F_ACS_DATA_CACHE_BYTE_SIZE_NAME, &rdcc_nbytes) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET,FAIL, "can't set data cache byte size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET,FAIL, "can't set data cache byte size")
if(H5P_set(plist, H5F_ACS_PREEMPT_READ_CHUNKS_NAME, &rdcc_w0) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET,FAIL, "can't set preempt read chunks");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET,FAIL, "can't set preempt read chunks")
done:
FUNC_LEAVE_API(ret_value)
-}
+} /* end H5Pset_cache() */
/*-------------------------------------------------------------------------
@@ -1061,18 +1136,6 @@ done:
* Programmer: Robb Matzke
* Tuesday, May 19, 1998
*
- * Modifications:
- *
- * Raymond Lu
- * Tuesday, Oct 23, 2001
- * Changed the file access list to the new generic property
- * list.
- *
- * J Mainzer
- * Thurs, 3/17/05
- * The mdc_nelmts fapl entry is no more, so we now just
- * return a constant when that value is requested.
- *
*-------------------------------------------------------------------------
*/
herr_t
@@ -1088,27 +1151,27 @@ H5Pget_cache(hid_t plist_id, int *mdc_nelmts,
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Get sizes */
/* the mdc_nelmts FAPL entry no longer exists, so just return a constant */
- if (mdc_nelmts)
+ if(mdc_nelmts)
*mdc_nelmts = 0;
- if (rdcc_nslots)
+ if(rdcc_nslots)
if(H5P_get(plist, H5F_ACS_DATA_CACHE_NUM_SLOTS_NAME, rdcc_nslots) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET,FAIL, "can't get data cache number of slots");
- if (rdcc_nbytes)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET,FAIL, "can't get data cache number of slots")
+ if(rdcc_nbytes)
if(H5P_get(plist, H5F_ACS_DATA_CACHE_BYTE_SIZE_NAME, rdcc_nbytes) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET,FAIL, "can't get data cache byte size");
- if (rdcc_w0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET,FAIL, "can't get data cache byte size")
+ if(rdcc_w0)
if(H5P_get(plist, H5F_ACS_PREEMPT_READ_CHUNKS_NAME, rdcc_w0) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET,FAIL, "can't get preempt read chunks");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET,FAIL, "can't get preempt read chunks")
done:
FUNC_LEAVE_API(ret_value)
-}
+} /* end H5Pget_cache() */
/*-------------------------------------------------------------------------
@@ -1248,11 +1311,11 @@ H5Pset_gc_references(hid_t plist_id, unsigned gc_ref)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set values */
if(H5P_set(plist, H5F_ACS_GARBG_COLCT_REF_NAME, &gc_ref) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set garbage collect reference");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set garbage collect reference")
done:
FUNC_LEAVE_API(ret_value)
@@ -1290,12 +1353,12 @@ H5Pget_gc_references(hid_t plist_id, unsigned *gc_ref/*out*/)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Get values */
- if (gc_ref)
+ if(gc_ref)
if(H5P_get(plist, H5F_ACS_GARBG_COLCT_REF_NAME, gc_ref) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get garbage collect reference");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get garbage collect reference")
done:
FUNC_LEAVE_API(ret_value)
@@ -1413,11 +1476,11 @@ H5Pset_meta_block_size(hid_t plist_id, hsize_t size)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set values */
if(H5P_set(plist, H5F_ACS_META_BLOCK_SIZE_NAME, &size) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set meta data block size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set meta data block size")
done:
FUNC_LEAVE_API(ret_value)
@@ -1455,12 +1518,12 @@ H5Pget_meta_block_size(hid_t plist_id, hsize_t *size/*out*/)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Get values */
- if (size) {
+ if(size) {
if(H5P_get(plist, H5F_ACS_META_BLOCK_SIZE_NAME, size) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get meta data block size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get meta data block size")
} /* end if */
done:
@@ -1508,11 +1571,11 @@ H5Pset_sieve_buf_size(hid_t plist_id, size_t size)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set values */
if(H5P_set(plist, H5F_ACS_SIEVE_BUF_SIZE_NAME, &size) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set sieve buffer size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set sieve buffer size")
done:
FUNC_LEAVE_API(ret_value)
@@ -1550,12 +1613,12 @@ H5Pget_sieve_buf_size(hid_t plist_id, size_t *size/*out*/)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Get values */
- if (size)
+ if(size)
if(H5P_get(plist, H5F_ACS_SIEVE_BUF_SIZE_NAME, size) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get sieve buffer size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get sieve buffer size")
done:
FUNC_LEAVE_API(ret_value)
@@ -1597,11 +1660,11 @@ H5Pset_small_data_block_size(hid_t plist_id, hsize_t size)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set values */
if(H5P_set(plist, H5F_ACS_SDATA_BLOCK_SIZE_NAME, &size) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set 'small data' block size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set 'small data' block size")
done:
FUNC_LEAVE_API(ret_value)
@@ -1634,12 +1697,12 @@ H5Pget_small_data_block_size(hid_t plist_id, hsize_t *size/*out*/)
/* Get the plist structure */
if(NULL == (plist = H5P_object_verify(plist_id,H5P_FILE_ACCESS)))
- HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID");
+ HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Get values */
- if (size) {
+ if(size) {
if(H5P_get(plist, H5F_ACS_SDATA_BLOCK_SIZE_NAME, size) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get 'small data' block size");
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get 'small data' block size")
} /* end if */
done:
@@ -1911,7 +1974,7 @@ H5Pset_file_image(hid_t fapl_id, void *buf_ptr, size_t buf_len)
/* validate parameters */
if(!(((buf_ptr == NULL) && (buf_len == 0)) || ((buf_ptr != NULL) && (buf_len > 0))))
- HGOTO_ERROR (H5E_ARGS, H5E_BADVALUE, FAIL, "inconsistant buf_ptr and buf_len");
+ HGOTO_ERROR(H5E_ARGS, H5E_BADVALUE, FAIL, "inconsistant buf_ptr and buf_len")
/* Get the plist structure */
if(NULL == (fapl = H5P_object_verify(fapl_id, H5P_FILE_ACCESS)))
@@ -2369,3 +2432,551 @@ done:
FUNC_LEAVE_NOAPI(ret_value)
} /* end H5P_file_image_info_close() */
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_cache_config_cmp
+ *
+ * Purpose: Compare two cache configurations.
+ *
+ * Return: positive if VALUE1 is greater than VALUE2, negative if VALUE2 is
+ * greater than VALUE1 and zero if VALUE1 and VALUE2 are equal.
+ *
+ * Programmer: Mohamad Chaarawi
+ * September 24, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static int
+H5P__facc_cache_config_cmp(const void *_config1, const void *_config2, size_t UNUSED size)
+{
+ const H5AC_cache_config_t *config1 = (const H5AC_cache_config_t *)_config1; /* Create local aliases for values */
+ const H5AC_cache_config_t *config2 = (const H5AC_cache_config_t *)_config2; /* Create local aliases for values */
+ int ret_value = 0; /* Return value */
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Check for a property being set */
+ if(config1 == NULL && config2 != NULL) HGOTO_DONE(-1);
+ if(config1 != NULL && config2 == NULL) HGOTO_DONE(1);
+
+ if(config1->version < config2->version) HGOTO_DONE(-1);
+ if(config1->version > config2->version) HGOTO_DONE(1);
+
+ if(config1->rpt_fcn_enabled < config2->rpt_fcn_enabled) HGOTO_DONE(-1);
+ if(config1->rpt_fcn_enabled > config2->rpt_fcn_enabled) HGOTO_DONE(1);
+
+ if(config1->open_trace_file < config2->open_trace_file) HGOTO_DONE(-1);
+ if(config1->open_trace_file > config2->open_trace_file) HGOTO_DONE(1);
+
+ if(config1->close_trace_file < config2->close_trace_file) HGOTO_DONE(-1);
+ if(config1->close_trace_file > config2->close_trace_file) HGOTO_DONE(1);
+
+ if((ret_value = HDstrncmp(config1->trace_file_name, config2->trace_file_name,
+ H5AC__MAX_TRACE_FILE_NAME_LEN + 1)) != 0)
+ HGOTO_DONE(ret_value);
+
+ if(config1->evictions_enabled < config2->evictions_enabled) HGOTO_DONE(-1);
+ if(config1->evictions_enabled > config2->evictions_enabled) HGOTO_DONE(1);
+
+ if(config1->set_initial_size < config2->set_initial_size) HGOTO_DONE(-1);
+ if(config1->set_initial_size > config2->set_initial_size) HGOTO_DONE(1);
+
+ if(config1->initial_size < config2->initial_size) HGOTO_DONE(-1);
+ if(config1->initial_size > config2->initial_size) HGOTO_DONE(1);
+
+ if(config1->min_clean_fraction < config2->min_clean_fraction) HGOTO_DONE(-1);
+ if(config1->min_clean_fraction > config2->min_clean_fraction) HGOTO_DONE(1);
+
+ if(config1->max_size < config2->max_size) HGOTO_DONE(-1);
+ if(config1->max_size > config2->max_size) HGOTO_DONE(1);
+
+ if(config1->min_size < config2->min_size) HGOTO_DONE(-1);
+ if(config1->min_size > config2->min_size) HGOTO_DONE(1);
+
+ if(config1->epoch_length < config2->epoch_length) HGOTO_DONE(-1);
+ if(config1->epoch_length > config2->epoch_length) HGOTO_DONE(1);
+
+ if(config1->incr_mode < config2->incr_mode) HGOTO_DONE(-1);
+ if(config1->incr_mode > config2->incr_mode) HGOTO_DONE(1);
+
+ if(config1->lower_hr_threshold < config2->lower_hr_threshold) HGOTO_DONE(-1);
+ if(config1->lower_hr_threshold > config2->lower_hr_threshold) HGOTO_DONE(1);
+
+ if(config1->increment < config2->increment) HGOTO_DONE(-1);
+ if(config1->increment > config2->increment) HGOTO_DONE(1);
+
+ if(config1->apply_max_increment < config2->apply_max_increment) HGOTO_DONE(-1);
+ if(config1->apply_max_increment > config2->apply_max_increment) HGOTO_DONE(1);
+
+ if(config1->max_increment < config2->max_increment) HGOTO_DONE(-1);
+ if(config1->max_increment > config2->max_increment) HGOTO_DONE(1);
+
+ if(config1->flash_incr_mode < config2->flash_incr_mode) HGOTO_DONE(-1);
+ if(config1->flash_incr_mode > config2->flash_incr_mode) HGOTO_DONE(1);
+
+ if(config1->flash_multiple < config2->flash_multiple) HGOTO_DONE(-1);
+ if(config1->flash_multiple > config2->flash_multiple) HGOTO_DONE(1);
+
+ if(config1->flash_threshold < config2->flash_threshold) HGOTO_DONE(-1);
+ if(config1->flash_threshold > config2->flash_threshold) HGOTO_DONE(1);
+
+ if(config1->decr_mode < config2->decr_mode) HGOTO_DONE(-1);
+ if(config1->decr_mode > config2->decr_mode) HGOTO_DONE(1);
+
+ if(config1->upper_hr_threshold < config2->upper_hr_threshold) HGOTO_DONE(-1);
+ if(config1->upper_hr_threshold > config2->upper_hr_threshold) HGOTO_DONE(1);
+
+ if(config1->decrement < config2->decrement) HGOTO_DONE(-1);
+ if(config1->decrement > config2->decrement) HGOTO_DONE(1);
+
+ if(config1->apply_max_decrement < config2->apply_max_decrement) HGOTO_DONE(-1);
+ if(config1->apply_max_decrement > config2->apply_max_decrement) HGOTO_DONE(1);
+
+ if(config1->max_decrement < config2->max_decrement) HGOTO_DONE(-1);
+ if(config1->max_decrement > config2->max_decrement) HGOTO_DONE(1);
+
+ if(config1->epochs_before_eviction < config2->epochs_before_eviction) HGOTO_DONE(-1);
+ if(config1->epochs_before_eviction > config2->epochs_before_eviction) HGOTO_DONE(1);
+
+ if(config1->apply_empty_reserve < config2->apply_empty_reserve) HGOTO_DONE(-1);
+ if(config1->apply_empty_reserve > config2->apply_empty_reserve) HGOTO_DONE(1);
+
+ if(config1->empty_reserve < config2->empty_reserve) HGOTO_DONE(-1);
+ if(config1->empty_reserve > config2->empty_reserve) HGOTO_DONE(1);
+
+ if(config1->dirty_bytes_threshold < config2->dirty_bytes_threshold) HGOTO_DONE(-1);
+ if(config1->dirty_bytes_threshold > config2->dirty_bytes_threshold) HGOTO_DONE(1);
+
+ if(config1->metadata_write_strategy < config2->metadata_write_strategy) HGOTO_DONE(-1);
+ if(config1->metadata_write_strategy > config2->metadata_write_strategy) HGOTO_DONE(1);
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__facc_cache_config_cmp() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_cache_config_enc
+ *
+ * Purpose: Callback routine which is called whenever the default
+ * cache config property in the file creation property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 09, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__facc_cache_config_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5AC_cache_config_t *config = (const H5AC_cache_config_t *)value; /* Create local aliases for values */
+ uint8_t **pp = (uint8_t **)_pp;
+ unsigned enc_size; /* Size of encoded property */
+ uint64_t enc_value; /* Property to encode */
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(value);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ if(NULL != *pp) {
+ /* Encode type sizes (as a safety check) */
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+ *(*pp)++ = (uint8_t)sizeof(double);
+
+ /* int */
+ INT32ENCODE(*pp, (int32_t)config->version);
+
+ H5_ENCODE_UNSIGNED(*pp, config->rpt_fcn_enabled);
+
+ H5_ENCODE_UNSIGNED(*pp, config->open_trace_file);
+
+ H5_ENCODE_UNSIGNED(*pp, config->close_trace_file);
+
+ HDmemcpy(*pp, (const uint8_t *)(config->trace_file_name), H5AC__MAX_TRACE_FILE_NAME_LEN + 1);
+ *pp += H5AC__MAX_TRACE_FILE_NAME_LEN + 1;
+
+ H5_ENCODE_UNSIGNED(*pp, config->evictions_enabled);
+
+ H5_ENCODE_UNSIGNED(*pp, config->set_initial_size);
+
+ enc_value = (uint64_t)config->initial_size;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ H5_ENCODE_DOUBLE(*pp, config->min_clean_fraction);
+
+ enc_value = (uint64_t)config->max_size;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ enc_value = (uint64_t)config->min_size;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* long int */
+ INT64ENCODE(*pp, (int64_t)config->epoch_length);
+
+ /* enum */
+ *(*pp)++ = (uint8_t)config->incr_mode;
+
+ H5_ENCODE_DOUBLE(*pp, config->lower_hr_threshold);
+
+ H5_ENCODE_DOUBLE(*pp, config->increment);
+
+ H5_ENCODE_UNSIGNED(*pp, config->apply_max_increment);
+
+ enc_value = (uint64_t)config->max_increment;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* enum */
+ *(*pp)++ = (uint8_t)config->flash_incr_mode;
+
+ H5_ENCODE_DOUBLE(*pp, config->flash_multiple);
+
+ H5_ENCODE_DOUBLE(*pp, config->flash_threshold);
+
+ /* enum */
+ *(*pp)++ = (uint8_t)config->decr_mode;
+
+ H5_ENCODE_DOUBLE(*pp, config->upper_hr_threshold);
+
+ H5_ENCODE_DOUBLE(*pp, config->decrement);
+
+ H5_ENCODE_UNSIGNED(*pp, config->apply_max_decrement);
+
+ enc_value = (uint64_t)config->max_decrement;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* int */
+ INT32ENCODE(*pp, (int32_t)config->epochs_before_eviction);
+
+ H5_ENCODE_UNSIGNED(*pp, config->apply_empty_reserve);
+
+ H5_ENCODE_DOUBLE(*pp, config->empty_reserve);
+
+ /* int */
+ INT32ENCODE(*pp, (int32_t)config->dirty_bytes_threshold);
+
+ /* int */
+ INT32ENCODE(*pp, (int32_t)config->metadata_write_strategy);
+ } /* end if */
+
+ /* Compute encoded size of variably-encoded values */
+ enc_value = (uint64_t)config->initial_size;
+ *size += 1 + H5V_limit_enc_size(enc_value);
+ enc_value = (uint64_t)config->max_size;
+ *size += 1 + H5V_limit_enc_size(enc_value);
+ enc_value = (uint64_t)config->min_size;
+ *size += 1 + H5V_limit_enc_size(enc_value);
+ enc_value = (uint64_t)config->max_increment;
+ *size += 1 + H5V_limit_enc_size(enc_value);
+ enc_value = (uint64_t)config->max_decrement;
+ *size += 1 + H5V_limit_enc_size(enc_value);
+
+ /* Compute encoded size of fixed-size values */
+ *size += (5 + (sizeof(unsigned) * 8) + (sizeof(double) * 8) +
+ (sizeof(int32_t) * 4) + sizeof(int64_t) +
+ H5AC__MAX_TRACE_FILE_NAME_LEN + 1);
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__facc_cache_config_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_cache_config_dec
+ *
+ * Purpose: Callback routine which is called whenever the default
+ * cache config property in the file creation property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 09, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__facc_cache_config_dec(const void **_pp, void *_value)
+{
+ H5AC_cache_config_t *config = (H5AC_cache_config_t *)_value;
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size;
+ uint64_t enc_value;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(config);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ /* Set property to default value */
+ HDmemcpy(config, &H5F_def_mdc_initCacheCfg_g, sizeof(H5AC_cache_config_t));
+
+ /* Decode type sizes */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(double))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "double value can't be decoded")
+
+ /* int */
+ INT32DECODE(*pp, config->version);
+
+ H5_DECODE_UNSIGNED(*pp, config->rpt_fcn_enabled);
+
+ H5_DECODE_UNSIGNED(*pp, config->open_trace_file);
+
+ H5_DECODE_UNSIGNED(*pp, config->close_trace_file);
+
+ HDstrcpy(config->trace_file_name, (const char *)(*pp));
+ *pp += H5AC__MAX_TRACE_FILE_NAME_LEN + 1;
+
+ H5_DECODE_UNSIGNED(*pp, config->evictions_enabled);
+
+ H5_DECODE_UNSIGNED(*pp, config->set_initial_size);
+
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ config->initial_size = (size_t)enc_value;
+
+ H5_DECODE_DOUBLE(*pp, config->min_clean_fraction);
+
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ config->max_size = (size_t)enc_value;
+
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ config->min_size = (size_t)enc_value;
+
+ /* long int */
+ {
+ int64_t temp;
+ INT64DECODE(*pp, temp);
+ config->epoch_length = (long int)temp;
+ }
+ /* enum */
+ config->incr_mode = (enum H5C_cache_incr_mode)*(*pp)++;
+
+ H5_DECODE_DOUBLE(*pp, config->lower_hr_threshold);
+
+ H5_DECODE_DOUBLE(*pp, config->increment);
+
+ H5_DECODE_UNSIGNED(*pp, config->apply_max_increment);
+
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ config->max_increment = (size_t)enc_value;
+
+ /* enum */
+ config->flash_incr_mode = (enum H5C_cache_flash_incr_mode)*(*pp)++;
+
+ H5_DECODE_DOUBLE(*pp, config->flash_multiple);
+
+ H5_DECODE_DOUBLE(*pp, config->flash_threshold);
+
+ /* enum */
+ config->decr_mode = (enum H5C_cache_decr_mode)*(*pp)++;
+
+ H5_DECODE_DOUBLE(*pp, config->upper_hr_threshold);
+
+ H5_DECODE_DOUBLE(*pp, config->decrement);
+
+ H5_DECODE_UNSIGNED(*pp, config->apply_max_decrement);
+
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ config->max_decrement = (size_t)enc_value;
+
+ /* int */
+ INT32DECODE(*pp, config->epochs_before_eviction);
+
+ H5_DECODE_UNSIGNED(*pp, config->apply_empty_reserve);
+
+ H5_DECODE_DOUBLE(*pp, config->empty_reserve);
+
+ /* int */
+ INT32DECODE(*pp, config->dirty_bytes_threshold);
+
+ /* int */
+ INT32DECODE(*pp, config->metadata_write_strategy);
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__facc_cache_config_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_fclose_degree_enc
+ *
+ * Purpose: Callback routine which is called whenever the file close
+ * degree property in the file access property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__facc_fclose_degree_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5F_close_degree_t *fclose_degree = (const H5F_close_degree_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(fclose_degree);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode file close degree */
+ *(*pp)++ = (uint8_t)*fclose_degree;
+
+ /* Size of file close degree */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__facc_fclose_degree_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_fclose_degree_dec
+ *
+ * Purpose: Callback routine which is called whenever the file close
+ * degree property in the file access property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__facc_fclose_degree_dec(const void **_pp, void *_value)
+{
+ H5F_close_degree_t *fclose_degree = (H5F_close_degree_t *)_value; /* File close degree */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(fclose_degree);
+
+ /* Decode file close degree */
+ *fclose_degree = (H5F_close_degree_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__facc_fclose_degree_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_multi_type_enc
+ *
+ * Purpose: Callback routine which is called whenever the multi VFD
+ * memory type property in the file access property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__facc_multi_type_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5FD_mem_t *type = (const H5FD_mem_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(type);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode file close degree */
+ *(*pp)++ = (uint8_t)*type;
+
+ /* Size of multi VFD memory type */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__facc_multi_type_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__facc_multi_type_dec
+ *
+ * Purpose: Callback routine which is called whenever the multi VFD
+ * memory type property in the file access property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__facc_multi_type_dec(const void **_pp, void *_value)
+{
+ H5FD_mem_t *type = (H5FD_mem_t *)_value; /* File close degree */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(type);
+
+ /* Decode multi VFD memory type */
+ *type = (H5FD_mem_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__facc_multi_type_dec() */
+
diff --git a/src/H5Pfcpl.c b/src/H5Pfcpl.c
index cd6a7cd..3eb9c5b 100644
--- a/src/H5Pfcpl.c
+++ b/src/H5Pfcpl.c
@@ -49,37 +49,61 @@
/* Definitions for the size of the file user block in bytes */
#define H5F_CRT_USER_BLOCK_SIZE sizeof(hsize_t)
#define H5F_CRT_USER_BLOCK_DEF 0
+#define H5F_CRT_USER_BLOCK_ENC H5P__encode_hsize_t
+#define H5F_CRT_USER_BLOCK_DEC H5P__decode_hsize_t
/* Definitions for the 1/2 rank for symbol table leaf nodes */
#define H5F_CRT_SYM_LEAF_SIZE sizeof(unsigned)
+#define H5F_CRT_SYM_LEAF_ENC H5P__encode_unsigned
+#define H5F_CRT_SYM_LEAF_DEC H5P__decode_unsigned
/* Definitions for the 1/2 rank for btree internal nodes */
#define H5F_CRT_BTREE_RANK_SIZE sizeof(unsigned[H5B_NUM_BTREE_ID])
#define H5F_CRT_BTREE_RANK_DEF {HDF5_BTREE_SNODE_IK_DEF,HDF5_BTREE_CHUNK_IK_DEF}
+#define H5F_CRT_BTREE_RANK_ENC H5P__fcrt_btree_rank_enc
+#define H5F_CRT_BTREE_RANK_DEC H5P__fcrt_btree_rank_dec
/* Definitions for byte number in an address */
#define H5F_CRT_ADDR_BYTE_NUM_SIZE sizeof(uint8_t)
#define H5F_CRT_ADDR_BYTE_NUM_DEF H5F_OBJ_ADDR_SIZE
+#define H5F_CRT_ADDR_BYTE_NUM_ENC H5P__encode_uint8_t
+#define H5F_CRT_ADDR_BYTE_NUM_DEC H5P__decode_uint8_t
/* Definitions for byte number for object size */
#define H5F_CRT_OBJ_BYTE_NUM_SIZE sizeof(uint8_t)
#define H5F_CRT_OBJ_BYTE_NUM_DEF H5F_OBJ_SIZE_SIZE
+#define H5F_CRT_OBJ_BYTE_NUM_ENC H5P__encode_uint8_t
+#define H5F_CRT_OBJ_BYTE_NUM_DEC H5P__decode_uint8_t
/* Definitions for version number of the superblock */
#define H5F_CRT_SUPER_VERS_SIZE sizeof(unsigned)
#define H5F_CRT_SUPER_VERS_DEF HDF5_SUPERBLOCK_VERSION_DEF
/* Definitions for shared object header messages */
#define H5F_CRT_SHMSG_NINDEXES_SIZE sizeof(unsigned)
#define H5F_CRT_SHMSG_NINDEXES_DEF (0)
+#define H5F_CRT_SHMSG_NINDEXES_ENC H5P__encode_unsigned
+#define H5F_CRT_SHMSG_NINDEXES_DEC H5P__decode_unsigned
#define H5F_CRT_SHMSG_INDEX_TYPES_SIZE sizeof(unsigned[H5O_SHMESG_MAX_NINDEXES])
#define H5F_CRT_SHMSG_INDEX_TYPES_DEF {0,0,0,0,0,0}
+#define H5F_CRT_SHMSG_INDEX_TYPES_ENC H5P__fcrt_shmsg_index_types_enc
+#define H5F_CRT_SHMSG_INDEX_TYPES_DEC H5P__fcrt_shmsg_index_types_dec
#define H5F_CRT_SHMSG_INDEX_MINSIZE_SIZE sizeof(unsigned[H5O_SHMESG_MAX_NINDEXES])
#define H5F_CRT_SHMSG_INDEX_MINSIZE_DEF {250,250,250,250,250,250}
+#define H5F_CRT_SHMSG_INDEX_MINSIZE_ENC H5P__fcrt_shmsg_index_minsize_enc
+#define H5F_CRT_SHMSG_INDEX_MINSIZE_DEC H5P__fcrt_shmsg_index_minsize_dec
/* Definitions for shared object header list/btree phase change cutoffs */
#define H5F_CRT_SHMSG_LIST_MAX_SIZE sizeof(unsigned)
#define H5F_CRT_SHMSG_LIST_MAX_DEF (50)
+#define H5F_CRT_SHMSG_LIST_MAX_ENC H5P__encode_unsigned
+#define H5F_CRT_SHMSG_LIST_MAX_DEC H5P__decode_unsigned
#define H5F_CRT_SHMSG_BTREE_MIN_SIZE sizeof(unsigned)
#define H5F_CRT_SHMSG_BTREE_MIN_DEF (40)
+#define H5F_CRT_SHMSG_BTREE_MIN_ENC H5P__encode_unsigned
+#define H5F_CRT_SHMSG_BTREE_MIN_DEC H5P__decode_unsigned
/* Definitions for file space handling strategy */
#define H5F_CRT_FILE_SPACE_STRATEGY_SIZE sizeof(unsigned)
#define H5F_CRT_FILE_SPACE_STRATEGY_DEF H5F_FILE_SPACE_STRATEGY_DEF
+#define H5F_CRT_FILE_SPACE_STRATEGY_ENC H5P__encode_unsigned
+#define H5F_CRT_FILE_SPACE_STRATEGY_DEC H5P__decode_unsigned
#define H5F_CRT_FREE_SPACE_THRESHOLD_SIZE sizeof(hsize_t)
#define H5F_CRT_FREE_SPACE_THRESHOLD_DEF H5F_FREE_SPACE_THRESHOLD_DEF
+#define H5F_CRT_FREE_SPACE_THRESHOLD_ENC H5P__encode_hsize_t
+#define H5F_CRT_FREE_SPACE_THRESHOLD_DEC H5P__decode_hsize_t
/******************/
@@ -99,6 +123,15 @@
/* Property class callbacks */
static herr_t H5P_fcrt_reg_prop(H5P_genclass_t *pclass);
+/* property callbacks */
+static herr_t H5P__fcrt_btree_rank_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__fcrt_btree_rank_dec(const void **_pp, void *value);
+static herr_t H5P__fcrt_shmsg_index_types_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__fcrt_shmsg_index_types_dec(const void **_pp, void *value);
+static herr_t H5P__fcrt_shmsg_index_minsize_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__fcrt_shmsg_index_minsize_dec(const void **_pp, void *value);
+
+
/*********************/
/* Package Variables */
/*********************/
@@ -129,6 +162,21 @@ const H5P_libclass_t H5P_CLS_FCRT[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const hsize_t H5F_def_userblock_size_g = H5F_CRT_USER_BLOCK_DEF; /* Default userblock size */
+static const unsigned H5F_def_sym_leaf_k_g = H5F_CRT_SYM_LEAF_DEF; /* Default size for symbol table leaf nodes */
+static const unsigned H5F_def_btree_k_g[H5B_NUM_BTREE_ID] = H5F_CRT_BTREE_RANK_DEF; /* Default 'K' values for B-trees in file */
+static const uint8_t H5F_def_sizeof_addr_g = H5F_CRT_ADDR_BYTE_NUM_DEF; /* Default size of addresses in the file */
+static const uint8_t H5F_def_sizeof_size_g = H5F_CRT_OBJ_BYTE_NUM_DEF; /* Default size of sizes in the file */
+static const unsigned H5F_def_superblock_ver_g = H5F_CRT_SUPER_VERS_DEF; /* Default superblock version # */
+static const unsigned H5F_def_num_sohm_indexes_g = H5F_CRT_SHMSG_NINDEXES_DEF;
+static const unsigned H5F_def_sohm_index_flags_g[H5O_SHMESG_MAX_NINDEXES] = H5F_CRT_SHMSG_INDEX_TYPES_DEF;
+static const unsigned H5F_def_sohm_index_minsizes_g[H5O_SHMESG_MAX_NINDEXES] = H5F_CRT_SHMSG_INDEX_MINSIZE_DEF;
+static const unsigned H5F_def_sohm_list_max_g = H5F_CRT_SHMSG_LIST_MAX_DEF;
+static const unsigned H5F_def_sohm_btree_min_g = H5F_CRT_SHMSG_BTREE_MIN_DEF;
+static const unsigned H5F_def_file_space_strategy_g = H5F_CRT_FILE_SPACE_STRATEGY_DEF;
+static const hsize_t H5F_def_free_space_threshold_g = H5F_CRT_FREE_SPACE_THRESHOLD_DEF;
+
/*-------------------------------------------------------------------------
@@ -145,68 +193,82 @@ const H5P_libclass_t H5P_CLS_FCRT[1] = {{
static herr_t
H5P_fcrt_reg_prop(H5P_genclass_t *pclass)
{
- hsize_t userblock_size = H5F_CRT_USER_BLOCK_DEF; /* Default userblock size */
- unsigned sym_leaf_k = H5F_CRT_SYM_LEAF_DEF; /* Default size for symbol table leaf nodes */
- unsigned btree_k[H5B_NUM_BTREE_ID] = H5F_CRT_BTREE_RANK_DEF; /* Default 'K' values for B-trees in file */
- uint8_t sizeof_addr = H5F_CRT_ADDR_BYTE_NUM_DEF; /* Default size of addresses in the file */
- uint8_t sizeof_size = H5F_CRT_OBJ_BYTE_NUM_DEF; /* Default size of sizes in the file */
- unsigned superblock_ver = H5F_CRT_SUPER_VERS_DEF; /* Default superblock version # */
- unsigned num_sohm_indexes = H5F_CRT_SHMSG_NINDEXES_DEF;
- unsigned sohm_index_flags[H5O_SHMESG_MAX_NINDEXES] = H5F_CRT_SHMSG_INDEX_TYPES_DEF;
- unsigned sohm_index_minsizes[H5O_SHMESG_MAX_NINDEXES] = H5F_CRT_SHMSG_INDEX_MINSIZE_DEF;
- unsigned sohm_list_max = H5F_CRT_SHMSG_LIST_MAX_DEF;
- unsigned sohm_btree_min = H5F_CRT_SHMSG_BTREE_MIN_DEF;
- unsigned file_space_strategy = H5F_CRT_FILE_SPACE_STRATEGY_DEF;
- hsize_t free_space_threshold = H5F_CRT_FREE_SPACE_THRESHOLD_DEF;
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_NOAPI_NOINIT
/* Register the user block size */
- if(H5P_register_real(pclass, H5F_CRT_USER_BLOCK_NAME, H5F_CRT_USER_BLOCK_SIZE, &userblock_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_USER_BLOCK_NAME, H5F_CRT_USER_BLOCK_SIZE, &H5F_def_userblock_size_g,
+ NULL, NULL, NULL, H5F_CRT_USER_BLOCK_ENC, H5F_CRT_USER_BLOCK_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the 1/2 rank for symbol table leaf nodes */
- if(H5P_register_real(pclass, H5F_CRT_SYM_LEAF_NAME, H5F_CRT_SYM_LEAF_SIZE, &sym_leaf_k, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_SYM_LEAF_NAME, H5F_CRT_SYM_LEAF_SIZE, &H5F_def_sym_leaf_k_g,
+ NULL, NULL, NULL, H5F_CRT_SYM_LEAF_ENC, H5F_CRT_SYM_LEAF_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the 1/2 rank for btree internal nodes */
- if(H5P_register_real(pclass, H5F_CRT_BTREE_RANK_NAME, H5F_CRT_BTREE_RANK_SIZE, btree_k, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_BTREE_RANK_NAME, H5F_CRT_BTREE_RANK_SIZE, H5F_def_btree_k_g,
+ NULL, NULL, NULL, H5F_CRT_BTREE_RANK_ENC, H5F_CRT_BTREE_RANK_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the byte number for an address */
- if(H5P_register_real(pclass, H5F_CRT_ADDR_BYTE_NUM_NAME, H5F_CRT_ADDR_BYTE_NUM_SIZE, &sizeof_addr, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_ADDR_BYTE_NUM_NAME, H5F_CRT_ADDR_BYTE_NUM_SIZE, &H5F_def_sizeof_addr_g,
+ NULL, NULL, NULL, H5F_CRT_ADDR_BYTE_NUM_ENC, H5F_CRT_ADDR_BYTE_NUM_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the byte number for object size */
- if(H5P_register_real(pclass, H5F_CRT_OBJ_BYTE_NUM_NAME, H5F_CRT_OBJ_BYTE_NUM_SIZE, &sizeof_size, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_OBJ_BYTE_NUM_NAME, H5F_CRT_OBJ_BYTE_NUM_SIZE, &H5F_def_sizeof_size_g,
+ NULL, NULL, NULL, H5F_CRT_OBJ_BYTE_NUM_ENC, H5F_CRT_OBJ_BYTE_NUM_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the superblock version number */
- if(H5P_register_real(pclass, H5F_CRT_SUPER_VERS_NAME, H5F_CRT_SUPER_VERS_SIZE, &superblock_ver, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5F_CRT_SUPER_VERS_NAME, H5F_CRT_SUPER_VERS_SIZE, &H5F_def_superblock_ver_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the shared OH message information */
- if(H5P_register_real(pclass,H5F_CRT_SHMSG_NINDEXES_NAME, H5F_CRT_SHMSG_NINDEXES_SIZE, &num_sohm_indexes,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_register_real(pclass, H5F_CRT_SHMSG_NINDEXES_NAME, H5F_CRT_SHMSG_NINDEXES_SIZE, &H5F_def_num_sohm_indexes_g,
+ NULL, NULL, NULL, H5F_CRT_SHMSG_NINDEXES_ENC, H5F_CRT_SHMSG_NINDEXES_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass,H5F_CRT_SHMSG_INDEX_TYPES_NAME, H5F_CRT_SHMSG_INDEX_TYPES_SIZE, &sohm_index_flags,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_register_real(pclass, H5F_CRT_SHMSG_INDEX_TYPES_NAME, H5F_CRT_SHMSG_INDEX_TYPES_SIZE, &H5F_def_sohm_index_flags_g,
+ NULL, NULL, NULL, H5F_CRT_SHMSG_INDEX_TYPES_ENC, H5F_CRT_SHMSG_INDEX_TYPES_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass,H5F_CRT_SHMSG_INDEX_MINSIZE_NAME, H5F_CRT_SHMSG_INDEX_MINSIZE_SIZE, &sohm_index_minsizes,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_register_real(pclass, H5F_CRT_SHMSG_INDEX_MINSIZE_NAME, H5F_CRT_SHMSG_INDEX_MINSIZE_SIZE, &H5F_def_sohm_index_minsizes_g,
+ NULL, NULL, NULL, H5F_CRT_SHMSG_INDEX_MINSIZE_ENC, H5F_CRT_SHMSG_INDEX_MINSIZE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the shared OH cutoff size information */
- if(H5P_register_real(pclass,H5F_CRT_SHMSG_LIST_MAX_NAME, H5F_CRT_SHMSG_LIST_MAX_SIZE, &sohm_list_max,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_register_real(pclass, H5F_CRT_SHMSG_LIST_MAX_NAME, H5F_CRT_SHMSG_LIST_MAX_SIZE, &H5F_def_sohm_list_max_g,
+ NULL, NULL, NULL, H5F_CRT_SHMSG_LIST_MAX_ENC, H5F_CRT_SHMSG_LIST_MAX_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
- if(H5P_register_real(pclass,H5F_CRT_SHMSG_BTREE_MIN_NAME, H5F_CRT_SHMSG_BTREE_MIN_SIZE, &sohm_btree_min,NULL,NULL,NULL,NULL,NULL,NULL,NULL)<0)
+ if(H5P_register_real(pclass, H5F_CRT_SHMSG_BTREE_MIN_NAME, H5F_CRT_SHMSG_BTREE_MIN_SIZE, &H5F_def_sohm_btree_min_g,
+ NULL, NULL, NULL, H5F_CRT_SHMSG_BTREE_MIN_ENC, H5F_CRT_SHMSG_BTREE_MIN_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the file space handling strategy */
- if(H5P_register_real(pclass, H5F_CRT_FILE_SPACE_STRATEGY_NAME, H5F_CRT_FILE_SPACE_STRATEGY_SIZE, &file_space_strategy, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_FILE_SPACE_STRATEGY_NAME, H5F_CRT_FILE_SPACE_STRATEGY_SIZE, &H5F_def_file_space_strategy_g,
+ NULL, NULL, NULL, H5F_CRT_FILE_SPACE_STRATEGY_ENC, H5F_CRT_FILE_SPACE_STRATEGY_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the free space section threshold */
- if(H5P_register_real(pclass, H5F_CRT_FREE_SPACE_THRESHOLD_NAME, H5F_CRT_FREE_SPACE_THRESHOLD_SIZE, &free_space_threshold, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5F_CRT_FREE_SPACE_THRESHOLD_NAME, H5F_CRT_FREE_SPACE_THRESHOLD_SIZE, &H5F_def_free_space_threshold_g,
+ NULL, NULL, NULL, H5F_CRT_FREE_SPACE_THRESHOLD_ENC, H5F_CRT_FREE_SPACE_THRESHOLD_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+
done:
FUNC_LEAVE_NOAPI(ret_value)
} /* end H5P_fcrt_reg_prop() */
@@ -613,6 +675,97 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5P__fcrt_btree_rank_enc
+ *
+ * Purpose: Callback routine which is called whenever the index storage
+ * btree in file creation property list is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 7, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fcrt_btree_rank_enc(const void *value, void **_pp, size_t *size)
+{
+ const unsigned *btree_k = (const unsigned *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(btree_k);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ unsigned u; /* Local index variable */
+
+ /* Encode the size of an unsigned*/
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+
+ /* Encode all the btree */
+ for(u = 0 ; u < H5B_NUM_BTREE_ID; u++) {
+ /* Encode the left split value */
+ H5_ENCODE_UNSIGNED(*pp, *(const unsigned *)btree_k)
+ btree_k++;
+ } /* end for */
+ } /* end if */
+
+ /* Size of type flags values */
+ *size += 1 + (H5B_NUM_BTREE_ID * sizeof(unsigned));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__fcrt_btree_rank_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__fcrt_btree_rank_dec
+ *
+ * Purpose: Callback routine which is called whenever the index storage
+ * btree in file creation property list is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 7, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fcrt_btree_rank_dec(const void **_pp, void *_value)
+{
+ unsigned *btree_k = (unsigned *)_value;
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size; /* Size of encoded property */
+ unsigned u; /* Local index variable */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(btree_k);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+
+ /* Decode all the type flags */
+ for(u = 0 ; u < H5B_NUM_BTREE_ID; u++)
+ H5_DECODE_UNSIGNED(*pp, btree_k[u])
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__fcrt_btree_rank_dec() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5Pset_shared_mesg_nindexes
*
* Purpose: Set the number of Shared Object Header Message (SOHM)
@@ -812,6 +965,192 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5P__fcrt_shmsg_index_types_enc
+ *
+ * Purpose: Callback routine which is called whenever the shared
+ * message indec types in file creation property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 7, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fcrt_shmsg_index_types_enc(const void *value, void **_pp, size_t *size)
+{
+ const unsigned *type_flags = (const unsigned *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(type_flags);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ unsigned u; /* Local index variable */
+
+ /* Encode the size of a double*/
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+
+ /* Encode all the type flags */
+ for(u = 0; u < H5O_SHMESG_MAX_NINDEXES; u++) {
+ /* Encode the left split value */
+ H5_ENCODE_UNSIGNED(*pp, *(const unsigned *)type_flags)
+ type_flags++;
+ } /* end for */
+ } /* end if */
+
+ /* Size of type flags values */
+ *size += 1 + (H5O_SHMESG_MAX_NINDEXES * sizeof(unsigned));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__fcrt_shmsg_index_types_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__fcrt_shmsg_index_types_dec
+ *
+ * Purpose: Callback routine which is called whenever the shared
+ * message indec types in file creation property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 7, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fcrt_shmsg_index_types_dec(const void **_pp, void *_value)
+{
+ unsigned *type_flags = (unsigned *)_value;
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size; /* Size of encoded property */
+ unsigned u; /* Local index variable */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(type_flags);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+
+ /* Decode all the type flags */
+ for(u = 0; u < H5O_SHMESG_MAX_NINDEXES; u++)
+ H5_DECODE_UNSIGNED(*pp, type_flags[u])
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__fcrt_shmsg_index_types_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__fcrt_shmsg_index_minsize_enc
+ *
+ * Purpose: Callback routine which is called whenever the shared
+ * message index minsize in file creation property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 7, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fcrt_shmsg_index_minsize_enc(const void *value, void **_pp, size_t *size)
+{
+ const unsigned *minsizes = (const unsigned *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(minsizes);
+ HDassert(size);
+
+ if(NULL != *pp) {
+ unsigned u; /* Local index variable */
+
+ /* Encode the size of a double*/
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+
+ /* Encode all the minsize values */
+ for(u = 0 ; u < H5O_SHMESG_MAX_NINDEXES; u++) {
+ /* Encode the left split value */
+ H5_ENCODE_UNSIGNED(*pp, *(const unsigned *)minsizes)
+ minsizes++;
+ } /* end for */
+ } /* end if */
+
+ /* Size of type flags values */
+ *size += 1 + (H5O_SHMESG_MAX_NINDEXES * sizeof(unsigned));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__fcrt_shmsg_index_minsize_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__fcrt_shmsg_index_minsize_dec
+ *
+ * Purpose: Callback routine which is called whenever the shared
+ * message indec minsize in file creation property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * August 7, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__fcrt_shmsg_index_minsize_dec(const void **_pp, void *_value)
+{
+ unsigned *minsizes = (unsigned *)_value;
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned enc_size; /* Size of encoded property */
+ unsigned u; /* Local index variable */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(minsizes);
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+
+ /* Decode all the minsize values */
+ for(u = 0 ; u < H5O_SHMESG_MAX_NINDEXES; u++)
+ H5_DECODE_UNSIGNED(*pp, minsizes[u])
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__fcrt_shmsg_index_minsize_dec() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5Pset_shared_mesg_phase_change
*
* Purpose: Sets the cutoff values for indexes storing shared object
diff --git a/src/H5Pfmpl.c b/src/H5Pfmpl.c
index 0158bf1..1c4d3f4 100644
--- a/src/H5Pfmpl.c
+++ b/src/H5Pfmpl.c
@@ -93,6 +93,14 @@ const H5P_libclass_t H5P_CLS_FMNT[1] = {{
/*****************************/
+/*******************/
+/* Local Variables */
+/*******************/
+
+/* Property value defaults */
+static const hbool_t H5F_def_local_g = H5F_MNT_SYM_LOCAL_DEF; /* Whether symlinks are local to file */
+
+
/*-------------------------------------------------------------------------
* Function: H5P_fmnt_reg_prop
@@ -108,14 +116,14 @@ const H5P_libclass_t H5P_CLS_FMNT[1] = {{
static herr_t
H5P_fmnt_reg_prop(H5P_genclass_t *pclass)
{
- hbool_t local = H5F_MNT_SYM_LOCAL_DEF; /* Whether symlinks are local to file */
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_NOAPI_NOINIT
/* Register property of whether symlinks is local to file */
- if(H5P_register_real(pclass, H5F_MNT_SYM_LOCAL_NAME, H5F_MNT_SYM_LOCAL_SIZE, &local, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+ if(H5P_register_real(pclass, H5F_MNT_SYM_LOCAL_NAME, H5F_MNT_SYM_LOCAL_SIZE, &H5F_def_local_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
FUNC_LEAVE_NOAPI(ret_value)
diff --git a/src/H5Pgcpl.c b/src/H5Pgcpl.c
index 737976e..0210700 100644
--- a/src/H5Pgcpl.c
+++ b/src/H5Pgcpl.c
@@ -42,6 +42,12 @@
/* Local Macros */
/****************/
+/* ========= Group Creation properties ============ */
+#define H5G_CRT_GROUP_INFO_ENC H5P__gcrt_group_info_enc
+#define H5G_CRT_GROUP_INFO_DEC H5P__gcrt_group_info_dec
+#define H5G_CRT_LINK_INFO_ENC H5P__gcrt_link_info_enc
+#define H5G_CRT_LINK_INFO_DEC H5P__gcrt_link_info_dec
+
/******************/
/* Local Typedefs */
@@ -58,7 +64,13 @@
/********************/
/* Property class callbacks */
-static herr_t H5P_gcrt_reg_prop(H5P_genclass_t *pclass);
+static herr_t H5P__gcrt_reg_prop(H5P_genclass_t *pclass);
+
+/* Property callbacks */
+static herr_t H5P__gcrt_group_info_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__gcrt_group_info_dec(const void **_pp, void *value);
+static herr_t H5P__gcrt_link_info_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__gcrt_link_info_dec(const void **_pp, void *value);
/*********************/
@@ -72,7 +84,7 @@ const H5P_libclass_t H5P_CLS_GCRT[1] = {{
&H5P_CLS_OBJECT_CREATE_g, /* Parent class ID */
&H5P_CLS_GROUP_CREATE_g, /* Pointer to class ID */
&H5P_LST_GROUP_CREATE_g, /* Pointer to default property list ID */
- H5P_gcrt_reg_prop, /* Default property registration routine */
+ H5P__gcrt_reg_prop, /* Default property registration routine */
NULL, /* Class creation callback */
NULL, /* Class creation callback info */
NULL, /* Class copy callback */
@@ -91,10 +103,14 @@ const H5P_libclass_t H5P_CLS_GCRT[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const H5O_ginfo_t H5G_def_ginfo_g = H5G_CRT_GROUP_INFO_DEF; /* Default group info settings */
+static const H5O_linfo_t H5G_def_linfo_g = H5G_CRT_LINK_INFO_DEF; /* Default link info settings */
+
/*-------------------------------------------------------------------------
- * Function: H5P_gcrt_reg_prop
+ * Function: H5P__gcrt_reg_prop
*
* Purpose: Initialize the group creation property list class
*
@@ -105,25 +121,27 @@ const H5P_libclass_t H5P_CLS_GCRT[1] = {{
*-------------------------------------------------------------------------
*/
static herr_t
-H5P_gcrt_reg_prop(H5P_genclass_t *pclass)
+H5P__gcrt_reg_prop(H5P_genclass_t *pclass)
{
- H5O_ginfo_t ginfo = H5G_CRT_GROUP_INFO_DEF; /* Default group info settings */
- H5O_linfo_t linfo = H5G_CRT_LINK_INFO_DEF; /* Default link info settings */
herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC
/* Register group info property */
- if(H5P_register_real(pclass, H5G_CRT_GROUP_INFO_NAME, H5G_CRT_GROUP_INFO_SIZE, &ginfo, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+ if(H5P_register_real(pclass, H5G_CRT_GROUP_INFO_NAME, H5G_CRT_GROUP_INFO_SIZE, &H5G_def_ginfo_g,
+ NULL, NULL, NULL, H5G_CRT_GROUP_INFO_ENC, H5G_CRT_GROUP_INFO_DEC,
+ NULL, NULL, NULL, NULL) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register link info property */
- if(H5P_register_real(pclass, H5G_CRT_LINK_INFO_NAME, H5G_CRT_LINK_INFO_SIZE, &linfo, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+ if(H5P_register_real(pclass, H5G_CRT_LINK_INFO_NAME, H5G_CRT_LINK_INFO_SIZE, &H5G_def_linfo_g,
+ NULL, NULL, NULL, H5G_CRT_LINK_INFO_ENC, H5G_CRT_LINK_INFO_DEC,
+ NULL, NULL, NULL, NULL) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_gcrt_reg_prop() */
+} /* end H5P__gcrt_reg_prop() */
/*-------------------------------------------------------------------------
@@ -156,7 +174,7 @@ H5Pset_local_heap_size_hint(hid_t plist_id, size_t size_hint)
HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get group info")
/* Update field */
- ginfo.lheap_size_hint = size_hint;
+ H5_ASSIGN_OVERFLOW(ginfo.lheap_size_hint, size_hint, size_t, uint32_t);
/* Set value */
if(H5P_set(plist, H5G_CRT_GROUP_INFO_NAME, &ginfo) < 0)
@@ -506,3 +524,176 @@ done:
FUNC_LEAVE_API(ret_value)
} /* end H5Pget_link_creation_order() */
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__gcrt_group_info_enc
+ *
+ * Purpose: Callback routine which is called whenever the group
+ * property in the dataset access property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__gcrt_group_info_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5O_ginfo_t *ginfo = (const H5O_ginfo_t *)value; /* Create local aliases for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ if(NULL != *pp) {
+ UINT32ENCODE(*pp, ginfo->lheap_size_hint)
+ UINT16ENCODE(*pp, ginfo->max_compact)
+ UINT16ENCODE(*pp, ginfo->min_dense)
+ UINT16ENCODE(*pp, ginfo->est_num_entries)
+ UINT16ENCODE(*pp, ginfo->est_name_len)
+ } /* end if */
+
+ *size += sizeof(uint16_t) * 4 + sizeof(uint32_t);
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__gcrt_group_info_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__gcrt_group_info_dec
+ *
+ * Purpose: Callback routine which is called whenever the group info
+ * property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__gcrt_group_info_dec(const void **_pp, void *_value)
+{
+ H5O_ginfo_t *ginfo = (H5O_ginfo_t *)_value; /* Group info settings */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Set property to default value */
+ *ginfo = H5G_def_ginfo_g;
+
+ UINT32DECODE(*pp, ginfo->lheap_size_hint)
+ UINT16DECODE(*pp, ginfo->max_compact)
+ UINT16DECODE(*pp, ginfo->min_dense)
+ UINT16DECODE(*pp, ginfo->est_num_entries)
+ UINT16DECODE(*pp, ginfo->est_name_len)
+
+ /* Update fields */
+ if(ginfo->max_compact != H5G_CRT_GINFO_MAX_COMPACT ||
+ ginfo->min_dense != H5G_CRT_GINFO_MIN_DENSE)
+ ginfo->store_link_phase_change = TRUE;
+ else
+ ginfo->store_link_phase_change = FALSE;
+
+ if(ginfo->est_num_entries != H5G_CRT_GINFO_EST_NUM_ENTRIES ||
+ ginfo->est_name_len != H5G_CRT_GINFO_EST_NAME_LEN)
+ ginfo->store_est_entry_info = TRUE;
+ else
+ ginfo->store_est_entry_info = FALSE;
+
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__gcrt_group_info_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__gcrt_link_info_enc
+ *
+ * Purpose: Callback routine which is called whenever the link
+ * property in the dataset access property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__gcrt_link_info_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5O_linfo_t *linfo = (const H5O_linfo_t *)value; /* Create local aliases for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ if(NULL != *pp) {
+ unsigned crt_order_flags = 0;
+
+ crt_order_flags |= linfo->track_corder ? H5P_CRT_ORDER_TRACKED : 0;
+ crt_order_flags |= linfo->index_corder ? H5P_CRT_ORDER_INDEXED : 0;
+
+ /* Encode the size of unsigned*/
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+
+ /* Encode the value */
+ H5_ENCODE_UNSIGNED(*pp, crt_order_flags)
+ } /* end if */
+
+ *size += (1 + sizeof(unsigned));
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__gcrt_link_info_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__gcrt_link_info_dec
+ *
+ * Purpose: Callback routine which is called whenever the link info
+ * property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__gcrt_link_info_dec(const void **_pp, void *_value)
+{
+ H5O_linfo_t *linfo = (H5O_linfo_t *)_value; /* Link info settings */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ unsigned crt_order_flags;
+ unsigned enc_size;
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+
+ /* Set property to default value */
+ *linfo = H5G_def_linfo_g;
+
+ H5_DECODE_UNSIGNED(*pp, crt_order_flags)
+
+ /* Update fields */
+ linfo->track_corder = (hbool_t)((crt_order_flags & H5P_CRT_ORDER_TRACKED) ? TRUE : FALSE);
+ linfo->index_corder = (hbool_t)((crt_order_flags & H5P_CRT_ORDER_INDEXED) ? TRUE : FALSE);
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__gcrt_link_info_dec() */
+
diff --git a/src/H5Pint.c b/src/H5Pint.c
index b2d5860..88c3247 100644
--- a/src/H5Pint.c
+++ b/src/H5Pint.c
@@ -59,7 +59,7 @@ typedef struct {
typedef struct {
H5P_iterate_int_t cb_func; /* Iterator callback */
void *udata; /* Iterator callback pointer */
- H5P_genplist_t *plist; /* Property list pointer */
+ const H5P_genplist_t *plist; /* Property list pointer */
H5SL_t *seen; /* Skip list to hold names of properties already seen */
int *curr_idx_ptr; /* Pointer to current iteration index */
int prev_idx; /* Previous iteration index */
@@ -73,6 +73,12 @@ typedef struct {
int prev_idx; /* Previous iteration index */
} H5P_iter_pclass_ud_t;
+/* Typedef for property list comparison callback */
+typedef struct {
+ const H5P_genplist_t *plist2; /* Pointer to second property list */
+ int cmp_value; /* Value from property comparison */
+} H5P_plist_cmp_ud_t;
+
/********************/
/* Local Prototypes */
@@ -964,7 +970,7 @@ done:
Internal routine to create a new property
USAGE
H5P_genprop_t *H5P_create_prop(name,size,type,value,prp_create,prp_set,
- prp_get,prp_delete,prp_close)
+ prp_get,prp_delete,prp_close, prp_encode, prp_decode)
const char *name; IN: Name of property to register
size_t size; IN: Size of property in bytes
H5P_prop_within_t type; IN: Type of object the property will be inserted into
@@ -973,6 +979,8 @@ done:
creation callback
H5P_prp_set_func_t prp_set; IN: Function pointer to property set callback
H5P_prp_get_func_t prp_get; IN: Function pointer to property get callback
+ H5P_prp_encode_func_t prp_encode; IN: Function pointer to property encode
+ H5P_prp_decode_func_t prp_decode; IN: Function pointer to property decode
H5P_prp_delete_func_t prp_delete; IN: Function pointer to property delete callback
H5P_prp_copy_func_t prp_copy; IN: Function pointer to property copy callback
H5P_prp_compare_func_t prp_cmp; IN: Function pointer to property compare callback
@@ -990,9 +998,10 @@ done:
--------------------------------------------------------------------------*/
static H5P_genprop_t *
H5P_create_prop(const char *name, size_t size, H5P_prop_within_t type,
- const void *value,
- H5P_prp_create_func_t prp_create, H5P_prp_set_func_t prp_set,
- H5P_prp_get_func_t prp_get, H5P_prp_delete_func_t prp_delete,
+ const void *value, H5P_prp_create_func_t prp_create,
+ H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
+ H5P_prp_delete_func_t prp_delete,
H5P_prp_copy_func_t prp_copy, H5P_prp_compare_func_t prp_cmp,
H5P_prp_close_func_t prp_close)
{
@@ -1028,6 +1037,8 @@ H5P_create_prop(const char *name, size_t size, H5P_prop_within_t type,
prop->create = prp_create;
prop->set = prp_set;
prop->get = prp_get;
+ prop->encode = prp_encode;
+ prop->decode = prp_decode;
prop->del = prp_delete;
prop->copy = prp_copy;
/* Use custom comparison routine if available, otherwise default to memcmp() */
@@ -1101,7 +1112,7 @@ done:
Internal routine to check for a property in a property list's skip list
USAGE
H5P_genprop_t *H5P_find_prop(plist, name)
- H5P_genplist_t *plist; IN: Pointer to property list to check
+ const H5P_genplist_t *plist; IN: Pointer to property list to check
const char *name; IN: Name of property to check for
RETURNS
Returns pointer to property on success, NULL on failure.
@@ -1113,7 +1124,7 @@ done:
REVISION LOG
--------------------------------------------------------------------------*/
H5P_genprop_t *
-H5P__find_prop_plist(H5P_genplist_t *plist, const char *name)
+H5P__find_prop_plist(const H5P_genplist_t *plist, const char *name)
{
H5P_genprop_t *ret_value; /* Property pointer return value */
@@ -1776,7 +1787,8 @@ done:
PURPOSE
Internal routine to register a new property in a property list class.
USAGE
- herr_t H5P_register_real(class, name, size, default, prp_create, prp_set, prp_get, prp_close)
+ herr_t H5P_register_real(class, name, size, default, prp_create, prp_set,
+ prp_get, prp_close, prp_encode, prp_decode)
H5P_genclass_t *class; IN: Property list class to modify
const char *name; IN: Name of property to register
size_t size; IN: Size of property in bytes
@@ -1786,6 +1798,8 @@ done:
creation callback
H5P_prp_set_func_t prp_set; IN: Function pointer to property set callback
H5P_prp_get_func_t prp_get; IN: Function pointer to property get callback
+ H5P_prp_encode_func_t prp_encode; IN: Function pointer to property encode
+ H5P_prp_decode_func_t prp_decode; IN: Function pointer to property decode
H5P_prp_delete_func_t prp_delete; IN: Function pointer to property delete callback
H5P_prp_copy_func_t prp_copy; IN: Function pointer to property copy callback
H5P_prp_compare_func_t prp_cmp; IN: Function pointer to property compare callback
@@ -1905,6 +1919,33 @@ done:
'close' routine returns a negative value, the property list close
routine returns an error value but the property list is still closed.
+ The 'encode' callback is called when a property list with this
+ property is being encoded. H5P_prp_encode_func_t is defined as:
+ typedef herr_t (*H5P_prp_encode_func_t)(void *f, size_t *size,
+ void *value, void *plist, uint8_t **buf);
+ where the parameters to the callback function are:
+ void *f; IN: A fake file structure used to encode.
+ size_t *size; IN/OUT: The size of the buffer to encode the property.
+ void *value; IN: The value of the property being encoded.
+ void *plist; IN: The property list structure.
+ uint8_t **buf; OUT: The buffer that holds the encoded property;
+ The 'encode' routine returns the size needed to encode the property value
+ if the buffer passed in is NULL or the size is zero. Otherwise it encodes
+ the property value into binary in buf.
+
+ The 'decode' callback is called when a property list with this
+ property is being decoded. H5P_prp_encode_func_t is defined as:
+ typedef herr_t (*H5P_prp_encode_func_t)(void *f, size_t *size,
+ void *value, void *plist, uint8_t **buf);
+ where the parameters to the callback function are:
+ void *f; IN: A fake file structure used to decode.
+ size_t *size; IN: UNUSED
+ void *value; IN: UNUSED
+ void *plist; IN: The property list structure.
+ uint8_t **buf; IN: The buffer that holds the binary encoded property;
+ The 'decode' routine decodes the binary buffer passed in and transforms it into
+ corresponding property values that are set in the property list passed in.
+
GLOBAL VARIABLES
COMMENTS, BUGS, ASSUMPTIONS
The 'set' callback function may be useful to range check the value being
@@ -1926,8 +1967,10 @@ done:
--------------------------------------------------------------------------*/
herr_t
H5P_register_real(H5P_genclass_t *pclass, const char *name, size_t size,
- const void *def_value, H5P_prp_create_func_t prp_create, H5P_prp_set_func_t prp_set,
- H5P_prp_get_func_t prp_get, H5P_prp_delete_func_t prp_delete,
+ const void *def_value, H5P_prp_create_func_t prp_create,
+ H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
+ H5P_prp_delete_func_t prp_delete,
H5P_prp_copy_func_t prp_copy, H5P_prp_compare_func_t prp_cmp,
H5P_prp_close_func_t prp_close)
{
@@ -1947,7 +1990,9 @@ H5P_register_real(H5P_genclass_t *pclass, const char *name, size_t size,
HGOTO_ERROR(H5E_PLIST, H5E_EXISTS, FAIL, "property already exists")
/* Create property object from parameters */
- if(NULL == (new_prop = H5P_create_prop(name, size, H5P_PROP_WITHIN_CLASS, def_value, prp_create, prp_set, prp_get, prp_delete, prp_copy, prp_cmp, prp_close)))
+ if(NULL == (new_prop = H5P_create_prop(name, size, H5P_PROP_WITHIN_CLASS,
+ def_value, prp_create, prp_set, prp_get, prp_encode, prp_decode,
+ prp_delete, prp_copy, prp_cmp, prp_close)))
HGOTO_ERROR(H5E_PLIST, H5E_CANTCREATE, FAIL,"Can't create property")
/* Insert property into property list class */
@@ -1985,6 +2030,8 @@ done:
creation callback
H5P_prp_set_func_t prp_set; IN: Function pointer to property set callback
H5P_prp_get_func_t prp_get; IN: Function pointer to property get callback
+ H5P_prp_encode_func_t prp_encode; IN: Function pointer to property encode
+ H5P_prp_decode_func_t prp_decode; IN: Function pointer to property decode
H5P_prp_delete_func_t prp_delete; IN: Function pointer to property delete callback
H5P_prp_copy_func_t prp_copy; IN: Function pointer to property copy callback
H5P_prp_compare_func_t prp_cmp; IN: Function pointer to property compare callback
@@ -2050,6 +2097,33 @@ done:
negative value, the property value is returned and the property list get
routine returns an error value.
+ The 'encode' callback is called when a property list with this
+ property is being encoded. H5P_prp_encode_func_t is defined as:
+ typedef herr_t (*H5P_prp_encode_func_t)(void *f, size_t *size,
+ void *value, void *plist, uint8_t **buf);
+ where the parameters to the callback function are:
+ void *f; IN: A fake file structure used to encode.
+ size_t *size; IN/OUT: The size of the buffer to encode the property.
+ void *value; IN: The value of the property being encoded.
+ void *plist; IN: The property list structure.
+ uint8_t **buf; OUT: The buffer that holds the encoded property;
+ The 'encode' routine returns the size needed to encode the property value
+ if the buffer passed in is NULL or the size is zero. Otherwise it encodes
+ the property value into binary in buf.
+
+ The 'decode' callback is called when a property list with this
+ property is being decoded. H5P_prp_encode_func_t is defined as:
+ typedef herr_t (*H5P_prp_encode_func_t)(void *f, size_t *size,
+ void *value, void *plist, uint8_t **buf);
+ where the parameters to the callback function are:
+ void *f; IN: A fake file structure used to decode.
+ size_t *size; IN: UNUSED
+ void *value; IN: UNUSED
+ void *plist; IN: The property list structure.
+ uint8_t **buf; IN: The buffer that holds the binary encoded property;
+ The 'decode' routine decodes the binary buffer passed in and transforms it into
+ corresponding property values that are set in the property list passed in.
+
The 'delete' callback is called when a property is deleted from a
property list. H5P_prp_del_func_t is defined as:
typedef herr_t (*H5P_prp_del_func_t)(hid_t prop_id, const char *name,
@@ -2125,8 +2199,10 @@ done:
--------------------------------------------------------------------------*/
herr_t
H5P_register(H5P_genclass_t **ppclass, const char *name, size_t size,
- const void *def_value, H5P_prp_create_func_t prp_create, H5P_prp_set_func_t prp_set,
- H5P_prp_get_func_t prp_get, H5P_prp_delete_func_t prp_delete,
+ const void *def_value, H5P_prp_create_func_t prp_create,
+ H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
+ H5P_prp_delete_func_t prp_delete,
H5P_prp_copy_func_t prp_copy, H5P_prp_compare_func_t prp_cmp,
H5P_prp_close_func_t prp_close)
{
@@ -2180,7 +2256,8 @@ H5P_register(H5P_genclass_t **ppclass, const char *name, size_t size,
} /* end if */
/* Really register the property in the class */
- if(H5P_register_real(pclass, name, size, def_value, prp_create, prp_set, prp_get, prp_delete, prp_copy, prp_cmp, prp_close) < 0)
+ if(H5P_register_real(pclass, name, size, def_value, prp_create, prp_set, prp_get,
+ prp_encode, prp_decode, prp_delete, prp_copy, prp_cmp, prp_close) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTCREATE, FAIL, "can't register property")
/* Update pointer to pointer to class, if a new one was generated */
@@ -2202,13 +2279,16 @@ done:
PURPOSE
Internal routine to insert a new property in a property list.
USAGE
- herr_t H5P_insert(plist, name, size, value, prp_set, prp_get, prp_close)
+ herr_t H5P_insert(plist, name, size, value, prp_set, prp_get, prp_close,
+ prp_encode, prp_decode)
H5P_genplist_t *plist; IN: Property list to add property to
const char *name; IN: Name of property to add
size_t size; IN: Size of property in bytes
void *value; IN: Pointer to the value for the property
H5P_prp_set_func_t prp_set; IN: Function pointer to property set callback
H5P_prp_get_func_t prp_get; IN: Function pointer to property get callback
+ H5P_prp_encode_func_t prp_encode; IN: Function pointer to property encode
+ H5P_prp_decode_func_t prp_decode; IN: Function pointer to property decode
H5P_prp_delete_func_t prp_delete; IN: Function pointer to property delete callback
H5P_prp_copy_func_t prp_copy; IN: Function pointer to property copy callback
H5P_prp_compare_func_t prp_cmp; IN: Function pointer to property compare callback
@@ -2258,6 +2338,33 @@ done:
negative value, the property value is returned and the property list get
routine returns an error value.
+ The 'encode' callback is called when a property list with this
+ property is being encoded. H5P_prp_encode_func_t is defined as:
+ typedef herr_t (*H5P_prp_encode_func_t)(void *f, size_t *size,
+ void *value, void *plist, uint8_t **buf);
+ where the parameters to the callback function are:
+ void *f; IN: A fake file structure used to encode.
+ size_t *size; IN/OUT: The size of the buffer to encode the property.
+ void *value; IN: The value of the property being encoded.
+ void *plist; IN: The property list structure.
+ uint8_t **buf; OUT: The buffer that holds the encoded property;
+ The 'encode' routine returns the size needed to encode the property value
+ if the buffer passed in is NULL or the size is zero. Otherwise it encodes
+ the property value into binary in buf.
+
+ The 'decode' callback is called when a property list with this
+ property is being decoded. H5P_prp_encode_func_t is defined as:
+ typedef herr_t (*H5P_prp_encode_func_t)(void *f, size_t *size,
+ void *value, void *plist, uint8_t **buf);
+ where the parameters to the callback function are:
+ void *f; IN: A fake file structure used to decode.
+ size_t *size; IN: UNUSED
+ void *value; IN: UNUSED
+ void *plist; IN: The property list structure.
+ uint8_t **buf; IN: The buffer that holds the binary encoded property;
+ The 'decode' routine decodes the binary buffer passed in and transforms it into
+ corresponding property values that are set in the property list passed in.
+
The 'delete' callback is called when a property is deleted from a
property list. H5P_prp_del_func_t is defined as:
typedef herr_t (*H5P_prp_del_func_t)(hid_t prop_id, const char *name,
@@ -2338,6 +2445,7 @@ done:
herr_t
H5P_insert(H5P_genplist_t *plist, const char *name, size_t size,
void *value, H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
H5P_prp_delete_func_t prp_delete, H5P_prp_copy_func_t prp_copy,
H5P_prp_compare_func_t prp_cmp, H5P_prp_close_func_t prp_close)
{
@@ -2385,7 +2493,9 @@ H5P_insert(H5P_genplist_t *plist, const char *name, size_t size,
/* Ok to add to property list */
/* Create property object from parameters */
- if(NULL == (new_prop = H5P_create_prop(name, size, H5P_PROP_WITHIN_LIST, value, NULL, prp_set, prp_get, prp_delete, prp_copy, prp_cmp, prp_close)))
+ if(NULL == (new_prop = H5P_create_prop(name, size, H5P_PROP_WITHIN_LIST, value, NULL,
+ prp_set, prp_get, prp_encode, prp_decode, prp_delete, prp_copy,
+ prp_cmp, prp_close)))
HGOTO_ERROR(H5E_PLIST, H5E_CANTCREATE, FAIL, "Can't create property")
/* Insert property into property list class */
@@ -2572,7 +2682,7 @@ done:
Internal routine to query the existance of a property in a property list.
USAGE
herr_t H5P_exist_plist(plist, name)
- H5P_genplist_t *plist; IN: Property list to check
+ const H5P_genplist_t *plist; IN: Property list to check
const char *name; IN: Name of property to check for
RETURNS
Success: Positive if the property exists in the property list, zero
@@ -2587,7 +2697,7 @@ done:
REVISION LOG
--------------------------------------------------------------------------*/
htri_t
-H5P_exist_plist(H5P_genplist_t *plist, const char *name)
+H5P_exist_plist(const H5P_genplist_t *plist, const char *name)
{
htri_t ret_value = FAIL; /* return value */
@@ -2687,7 +2797,7 @@ done:
Internal routine to query the size of a property in a property list.
USAGE
herr_t H5P_get_size_plist(plist, name)
- H5P_genplist_t *plist; IN: Property list to check
+ const H5P_genplist_t *plist; IN: Property list to check
const char *name; IN: Name of property to query
size_t *size; OUT: Size of property
RETURNS
@@ -2703,7 +2813,7 @@ done:
REVISION LOG
--------------------------------------------------------------------------*/
herr_t
-H5P_get_size_plist(H5P_genplist_t *plist, const char *name, size_t *size)
+H5P_get_size_plist(const H5P_genplist_t *plist, const char *name, size_t *size)
{
H5P_genprop_t *prop; /* Temporary property pointer */
herr_t ret_value=SUCCEED; /* return value */
@@ -2944,6 +3054,16 @@ H5P_cmp_prop(const H5P_genprop_t *prop1, const H5P_genprop_t *prop2)
if(prop1->get != NULL && prop2->get == NULL) HGOTO_DONE(1);
if(prop1->get != prop2->get) HGOTO_DONE(-1);
+ /* Check if they both have the same 'encode' callback */
+ if(prop1->encode == NULL && prop2->encode != NULL) HGOTO_DONE(-1);
+ if(prop1->encode != NULL && prop2->encode == NULL) HGOTO_DONE(1);
+ if(prop1->encode != prop2->encode) HGOTO_DONE(-1);
+
+ /* Check if they both have the same 'decode' callback */
+ if(prop1->decode == NULL && prop2->decode != NULL) HGOTO_DONE(-1);
+ if(prop1->decode != NULL && prop2->decode == NULL) HGOTO_DONE(1);
+ if(prop1->decode != prop2->decode) HGOTO_DONE(-1);
+
/* Check if they both have the same 'delete' callback */
if(prop1->del == NULL && prop2->del != NULL) HGOTO_DONE(-1);
if(prop1->del != NULL && prop2->del == NULL) HGOTO_DONE(1);
@@ -3086,105 +3206,141 @@ done:
/*--------------------------------------------------------------------------
NAME
+ H5P__cmp_plist_cb
+ PURPOSE
+ Internal callback routine when iterating over properties in property list
+ to compare them for equality
+ USAGE
+ int H5P__cmp_plist_cb(prop, udata)
+ H5P_genprop_t *prop; IN: Pointer to the property
+ void *udata; IN/OUT: Pointer to iteration data from user
+ RETURNS
+ Success: Returns whether to continue (H5_ITER_CONT) or stop (H5_ITER_STOP)
+ iterating over the property lists.
+ Failure: Negative value (H5_ITER_ERROR)
+ DESCRIPTION
+ This routine compares a property from one property list (the one being
+ iterated over, to a property from the second property list (which is
+ looked up). Iteration is stopped if the comparison is non-equal.
+ GLOBAL VARIABLES
+ COMMENTS, BUGS, ASSUMPTIONS
+ EXAMPLES
+ REVISION LOG
+--------------------------------------------------------------------------*/
+static int
+H5P__cmp_plist_cb(H5P_genprop_t *prop, void *_udata)
+{
+ H5P_plist_cmp_ud_t *udata = (H5P_plist_cmp_ud_t *)_udata; /* Pointer to user data */
+ htri_t prop2_exist; /* Whether the property exists in the second property list */
+ int ret_value = H5_ITER_CONT; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity check */
+ HDassert(prop);
+ HDassert(udata);
+
+ /* Check if the property exists in the second property list */
+ if((prop2_exist = H5P_exist_plist(udata->plist2, prop->name)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_NOTFOUND, H5_ITER_ERROR, "can't lookup existance of property?")
+ if(prop2_exist) {
+ const H5P_genprop_t *prop2; /* Pointer to property in second plist */
+
+ /* Look up same property in second property list */
+ if(NULL == (prop2 = H5P__find_prop_plist(udata->plist2, prop->name)))
+ HGOTO_ERROR(H5E_PLIST, H5E_NOTFOUND, H5_ITER_ERROR, "property doesn't exist")
+
+ /* Compare the two properties */
+ if((udata->cmp_value = H5P_cmp_prop(prop, prop2)) != 0)
+ HGOTO_DONE(H5_ITER_STOP);
+ } /* end if */
+ else {
+ /* Property exists in first list, but not second */
+ udata->cmp_value = 1;
+ HGOTO_DONE(H5_ITER_STOP);
+ } /* end else */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__cmp_plist_cb() */
+
+
+/*--------------------------------------------------------------------------
+ NAME
H5P_cmp_plist
PURPOSE
Internal routine to compare two generic property lists
USAGE
- int H5P_cmp_plist(plist1, plist2)
+ herr_t H5P_cmp_plist(plist1, plist2, cmp_ret)
H5P_genplist_t *plist1; IN: 1st property list to compare
H5P_genplist_t *plist2; IN: 2nd property list to compare
+ int *cmp_ret; OUT: Comparison value for two property lists
+ Negative if list1 "less" than list2,
+ positive if list1 "greater" than list2,
+ zero if list1 is "equal" to list2
RETURNS
- Success: negative if list1 "less" than list2, positive if list1 "greater"
- than list2, zero if list1 is "equal" to list2
- Failure: can't fail
+ Success: non-negative value
+ Failure: negative value
DESCRIPTION
This function compares two generic property lists together to see if
- they are the same list.
-
+ they are equal.
GLOBAL VARIABLES
COMMENTS, BUGS, ASSUMPTIONS
EXAMPLES
REVISION LOG
--------------------------------------------------------------------------*/
-int
-H5P_cmp_plist(const H5P_genplist_t *plist1, const H5P_genplist_t *plist2)
+herr_t
+H5P_cmp_plist(const H5P_genplist_t *plist1, const H5P_genplist_t *plist2,
+ int *cmp_ret)
{
- H5SL_node_t *tnode1, *tnode2; /* Temporary pointer to property nodes */
- int cmp_value; /* Value from comparison */
- int ret_value = 0; /* return value */
+ H5P_plist_cmp_ud_t udata; /* User data for callback */
+ int idx = 0; /* Index of property to begin with */
+ herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT_NOERR
+ FUNC_ENTER_NOAPI_NOINIT
HDassert(plist1);
HDassert(plist2);
+ HDassert(cmp_ret);
/* Check the number of properties */
- if(plist1->nprops < plist2->nprops) HGOTO_DONE(-1);
- if(plist1->nprops > plist2->nprops) HGOTO_DONE(1);
+ if(plist1->nprops < plist2->nprops) {
+ *cmp_ret = -1;
+ HGOTO_DONE(SUCCEED);
+ } /* end if */
+ if(plist1->nprops > plist2->nprops) {
+ *cmp_ret = 1;
+ HGOTO_DONE(SUCCEED);
+ } /* end if */
/* Check whether they've been initialized */
- if(plist1->class_init < plist2->class_init) HGOTO_DONE(-1);
- if(plist1->class_init > plist2->class_init) HGOTO_DONE(1);
-
- /* Check for identical deleted properties */
- if(H5SL_count(plist1->del) > 0) {
- /* Check for no deleted properties in plist2 */
- if(H5SL_count(plist2->del) == 0) HGOTO_DONE(1);
-
- tnode1 = H5SL_first(plist1->del);
- tnode2 = H5SL_first(plist2->del);
- while(tnode1 || tnode2) {
- const char *name1, *name2; /* Name for node */
-
- /* Check if they both have properties in this node */
- if(tnode1 == NULL && tnode2 != NULL) HGOTO_DONE(-1);
- if(tnode1 != NULL && tnode2 == NULL) HGOTO_DONE(1);
-
- /* Compare the two deleted properties */
- name1 = (const char *)H5SL_item(tnode1);
- name2 = (const char *)H5SL_item(tnode2);
- if((cmp_value = HDstrcmp(name1, name2)) != 0)
- HGOTO_DONE(cmp_value);
-
- /* Advance the pointers */
- tnode1 = H5SL_next(tnode1);
- tnode2 = H5SL_next(tnode2);
- } /* end while */
+ if(plist1->class_init < plist2->class_init) {
+ *cmp_ret = -1;
+ HGOTO_DONE(SUCCEED);
} /* end if */
- else
- if(H5SL_count(plist2->del) > 0) HGOTO_DONE (-1);
-
- /* Cycle through the changed properties and compare them also */
- if(H5SL_count(plist1->props) > 0) {
- /* Check for no changed properties in plist2 */
- if(H5SL_count(plist2->props) == 0) HGOTO_DONE(1);
-
- tnode1 = H5SL_first(plist1->props);
- tnode2 = H5SL_first(plist2->props);
- while(tnode1 || tnode2) {
- H5P_genprop_t *prop1, *prop2; /* Property for node */
-
- /* Check if they both have properties in this node */
- if(tnode1 == NULL && tnode2 != NULL) HGOTO_DONE(-1);
- if(tnode1 != NULL && tnode2 == NULL) HGOTO_DONE(1);
-
- /* Compare the two properties */
- prop1 = (H5P_genprop_t *)H5SL_item(tnode1);
- prop2 = (H5P_genprop_t *)H5SL_item(tnode2);
- if((cmp_value = H5P_cmp_prop(prop1, prop2)) != 0)
- HGOTO_DONE(cmp_value);
-
- /* Advance the pointers */
- tnode1 = H5SL_next(tnode1);
- tnode2 = H5SL_next(tnode2);
- } /* end while */
+ if(plist1->class_init > plist2->class_init) {
+ *cmp_ret = 1;
+ HGOTO_DONE(SUCCEED);
+ } /* end if */
+
+ /* Set up iterator callback info */
+ udata.cmp_value = 0;
+ udata.plist2 = plist2;
+
+ /* Iterate over properties in first property list */
+ if((ret_value = H5P_iterate_plist(plist1, TRUE, &idx, H5P__cmp_plist_cb, &udata)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTREGISTER, FAIL, "unable to iterate over list")
+ if(ret_value != 0) {
+ *cmp_ret = udata.cmp_value;
+ HGOTO_DONE(SUCCEED);
} /* end if */
- else
- if(H5SL_count(plist2->props)>0) HGOTO_DONE (-1);
/* Check the parent classes */
- if((cmp_value = H5P_cmp_class(plist1->pclass, plist2->pclass)) != 0)
- HGOTO_DONE(cmp_value);
+ if((*cmp_ret = H5P_cmp_class(plist1->pclass, plist2->pclass)) != 0)
+ HGOTO_DONE(SUCCEED);
+
+ /* Property lists must be equal, set comparison value to 0 */
+ *cmp_ret = 0;
done:
FUNC_LEAVE_NOAPI(ret_value)
@@ -3363,7 +3519,7 @@ H5P__iterate_plist_cb(void *_item, void *_key, void *_udata)
H5P_genprop_t *item = (H5P_genprop_t *)_item; /* Pointer to the property */
char *key = (char *)_key; /* Pointer to the property's name */
H5P_iter_plist_ud_t *udata = (H5P_iter_plist_ud_t *)_udata; /* Pointer to user data */
- int ret_value = 0; /* Return value */
+ int ret_value = H5_ITER_CONT; /* Return value */
FUNC_ENTER_STATIC
@@ -3382,9 +3538,9 @@ H5P__iterate_plist_cb(void *_item, void *_key, void *_udata)
/* Increment the current index */
(*udata->curr_idx_ptr)++;
- /* Add property name to "seen" list */
+ /* Add property name to 'seen' list */
if(H5SL_insert(udata->seen, key, key) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into seen skip list")
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, H5_ITER_ERROR, "can't insert property into 'seen' skip list")
done:
FUNC_LEAVE_NOAPI(ret_value)
@@ -3417,7 +3573,7 @@ H5P__iterate_plist_pclass_cb(void *_item, void *_key, void *_udata)
H5P_genprop_t *item = (H5P_genprop_t *)_item; /* Pointer to the property */
char *key = (char *)_key; /* Pointer to the property's name */
H5P_iter_plist_ud_t *udata = (H5P_iter_plist_ud_t *)_udata; /* Pointer to user data */
- int ret_value = 0; /* Return value */
+ int ret_value = H5_ITER_CONT; /* Return value */
FUNC_ENTER_STATIC_NOERR
@@ -3662,7 +3818,7 @@ H5P_iterate_pclass(const H5P_genclass_t *pclass, int *idx,
int curr_idx = 0; /* Current iteration index */
int ret_value = 0; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_NOAPI_NOINIT_NOERR
/* Sanity check */
HDassert(pclass);
@@ -4228,7 +4384,7 @@ H5P_copy_prop_plist(hid_t dst_id, hid_t src_id, const char *name)
/* Create property object from parameters */
if(NULL == (new_prop = H5P_create_prop(prop->name, prop->size, H5P_PROP_WITHIN_LIST, prop->value,
- prop->create, prop->set, prop->get,
+ prop->create, prop->set, prop->get, prop->encode, prop->decode,
prop->del, prop->copy, prop->cmp, prop->close)))
HGOTO_ERROR(H5E_PLIST, H5E_CANTCREATE, FAIL,"Can't create property")
@@ -4320,7 +4476,7 @@ H5P_copy_prop_pclass(hid_t dst_id, hid_t src_id, const char *name)
/* Register the property into the destination */
orig_dst_pclass = dst_pclass;
if(H5P_register(&dst_pclass, name, prop->size, prop->value, prop->create, prop->set, prop->get,
- prop->del, prop->copy, prop->cmp, prop->close) < 0)
+ prop->encode, prop->decode, prop->del, prop->copy, prop->cmp, prop->close) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTDELETE, FAIL, "unable to remove property")
/* Check if the property class changed and needs to be substituted in the ID */
@@ -4829,3 +4985,121 @@ done:
FUNC_LEAVE_NOAPI(ret_value)
} /* H5P_close_class() */
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__new_plist_of_type
+ *
+ * Purpose: Create a new property list, of a given type
+ *
+ * Return: Success: ID of new property list
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Thursday, August 2, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+hid_t
+H5P__new_plist_of_type(H5P_plist_type_t type)
+{
+ H5P_genclass_t *pclass; /* Class of property list to create */
+ hid_t class_id; /* ID of class to create */
+ hid_t ret_value; /* Return value */
+
+ FUNC_ENTER_PACKAGE
+
+ /* Sanity checks */
+ HDcompile_assert(H5P_TYPE_LINK_ACCESS == (H5P_TYPE_MAX_TYPE - 1));
+ HDassert(type >= H5P_TYPE_USER && type <= H5P_TYPE_LINK_ACCESS);
+
+ /* Check arguments */
+ if(type == H5P_TYPE_USER)
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "can't create user property list");
+ if(type == H5P_TYPE_ROOT)
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "shouldn't be creating root class property list");
+
+ /* Instantiate a property list of the proper type */
+ switch(type) {
+ case H5P_TYPE_OBJECT_CREATE:
+ class_id = H5P_CLS_OBJECT_CREATE_g;
+ break;
+
+ case H5P_TYPE_FILE_CREATE:
+ class_id = H5P_CLS_FILE_CREATE_g;
+ break;
+
+ case H5P_TYPE_FILE_ACCESS:
+ class_id = H5P_CLS_FILE_ACCESS_g;
+ break;
+
+ case H5P_TYPE_DATASET_CREATE:
+ class_id = H5P_CLS_DATASET_CREATE_g;
+ break;
+
+ case H5P_TYPE_DATASET_ACCESS:
+ class_id = H5P_CLS_DATASET_ACCESS_g;
+ break;
+
+ case H5P_TYPE_DATASET_XFER:
+ class_id = H5P_CLS_DATASET_XFER_g;
+ break;
+
+ case H5P_TYPE_FILE_MOUNT:
+ class_id = H5P_CLS_FILE_MOUNT_g;
+ break;
+
+ case H5P_TYPE_GROUP_CREATE:
+ class_id = H5P_CLS_GROUP_CREATE_g;
+ break;
+
+ case H5P_TYPE_GROUP_ACCESS:
+ class_id = H5P_CLS_GROUP_ACCESS_g;
+ break;
+
+ case H5P_TYPE_DATATYPE_CREATE:
+ class_id = H5P_CLS_DATATYPE_CREATE_g;
+ break;
+
+ case H5P_TYPE_DATATYPE_ACCESS:
+ class_id = H5P_CLS_DATATYPE_ACCESS_g;
+ break;
+
+ case H5P_TYPE_STRING_CREATE:
+ class_id = H5P_CLS_STRING_CREATE_g;
+ break;
+
+ case H5P_TYPE_ATTRIBUTE_CREATE:
+ class_id = H5P_CLS_ATTRIBUTE_CREATE_g;
+ break;
+
+ case H5P_TYPE_OBJECT_COPY:
+ class_id = H5P_CLS_OBJECT_COPY_g;
+ break;
+
+ case H5P_TYPE_LINK_CREATE:
+ class_id = H5P_CLS_LINK_CREATE_g;
+ break;
+
+ case H5P_TYPE_LINK_ACCESS:
+ class_id = H5P_CLS_LINK_ACCESS_g;
+ break;
+
+ case H5P_TYPE_USER: /* shut compiler warnings up */
+ case H5P_TYPE_ROOT:
+ case H5P_TYPE_MAX_TYPE:
+ default:
+ HGOTO_ERROR(H5E_PLIST, H5E_BADRANGE, FAIL, "invalid property list type: %u\n", (unsigned)type);
+ } /* end switch */
+
+ /* Get the class object */
+ if(NULL == (pclass = (H5P_genclass_t *)H5I_object(class_id)))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADTYPE, FAIL, "not a property class")
+
+ /* Create the new property list */
+ if((ret_value = H5P_create_id(pclass, TRUE)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTCREATE, FAIL, "unable to create property list")
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P__new_plist_of_type() */
+
diff --git a/src/H5Plapl.c b/src/H5Plapl.c
index 8d8ee15..aa02546 100644
--- a/src/H5Plapl.c
+++ b/src/H5Plapl.c
@@ -48,9 +48,15 @@
/* Definitions for number of soft links to traverse */
#define H5L_ACS_NLINKS_SIZE sizeof(size_t)
#define H5L_ACS_NLINKS_DEF H5L_NUM_LINKS /*max symlinks to follow per lookup */
+#define H5L_ACS_NLINKS_ENC H5P__encode_size_t
+#define H5L_ACS_NLINKS_DEC H5P__decode_size_t
+
+
/* Definitions for external link prefix */
#define H5L_ACS_ELINK_PREFIX_SIZE sizeof(char *)
#define H5L_ACS_ELINK_PREFIX_DEF NULL /*default is no prefix */
+#define H5L_ACS_ELINK_PREFIX_ENC H5P_lacc_elink_pref_enc
+#define H5L_ACS_ELINK_PREFIX_DEC H5P_lacc_elink_pref_dec
#define H5L_ACS_ELINK_PREFIX_DEL H5P_lacc_elink_pref_del
#define H5L_ACS_ELINK_PREFIX_COPY H5P_lacc_elink_pref_copy
#define H5L_ACS_ELINK_PREFIX_CMP H5P_lacc_elink_pref_cmp
@@ -59,6 +65,8 @@
/* Definitions for setting fapl of external link access */
#define H5L_ACS_ELINK_FAPL_SIZE sizeof(hid_t)
#define H5L_ACS_ELINK_FAPL_DEF H5P_DEFAULT
+#define H5L_ACS_ELINK_FAPL_ENC H5P_lacc_elink_fapl_enc
+#define H5L_ACS_ELINK_FAPL_DEC H5P_lacc_elink_fapl_dec
#define H5L_ACS_ELINK_FAPL_DEL H5P_lacc_elink_fapl_del
#define H5L_ACS_ELINK_FAPL_COPY H5P_lacc_elink_fapl_copy
#define H5L_ACS_ELINK_FAPL_CMP H5P_lacc_elink_fapl_cmp
@@ -67,6 +75,8 @@
/* Definitions for file access flags for external link traversal */
#define H5L_ACS_ELINK_FLAGS_SIZE sizeof(unsigned)
#define H5L_ACS_ELINK_FLAGS_DEF H5F_ACC_DEFAULT
+#define H5L_ACS_ELINK_FLAGS_ENC H5P__encode_unsigned
+#define H5L_ACS_ELINK_FLAGS_DEC H5P__decode_unsigned
/* Definitions for callback function for external link traversal */
#define H5L_ACS_ELINK_CB_SIZE sizeof(H5L_elink_cb_t)
@@ -91,10 +101,14 @@
static herr_t H5P_lacc_reg_prop(H5P_genclass_t *pclass);
/* Property list callbacks */
+static herr_t H5P_lacc_elink_pref_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P_lacc_elink_pref_dec(const void **_pp, void *value);
static herr_t H5P_lacc_elink_pref_del(hid_t prop_id, const char* name, size_t size, void* value);
static herr_t H5P_lacc_elink_pref_copy(const char* name, size_t size, void* value);
static int H5P_lacc_elink_pref_cmp(const void *value1, const void *value2, size_t size);
static herr_t H5P_lacc_elink_pref_close(const char* name, size_t size, void* value);
+static herr_t H5P_lacc_elink_fapl_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P_lacc_elink_fapl_dec(const void **_pp, void *value);
static herr_t H5P_lacc_elink_fapl_del(hid_t prop_id, const char* name, size_t size, void* value);
static herr_t H5P_lacc_elink_fapl_copy(const char* name, size_t size, void* value);
static int H5P_lacc_elink_fapl_cmp(const void *value1, const void *value2, size_t size);
@@ -131,6 +145,13 @@ const H5P_libclass_t H5P_CLS_LACC[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const size_t H5L_def_nlinks_g = H5L_ACS_NLINKS_DEF; /* Default number of soft links to traverse */
+static const char *H5L_def_elink_prefix_g = H5L_ACS_ELINK_PREFIX_DEF; /* Default external link prefix string */
+static const hid_t H5L_def_fapl_id_g = H5L_ACS_ELINK_FAPL_DEF; /* Default fapl for external link access */
+static const unsigned H5L_def_elink_flags_g = H5L_ACS_ELINK_FLAGS_DEF; /* Default file access flags for external link traversal */
+static const H5L_elink_cb_t H5L_def_elink_cb_g = H5L_ACS_ELINK_CB_DEF; /* Default external link traversal callback */
+
/*-------------------------------------------------------------------------
@@ -152,34 +173,38 @@ const H5P_libclass_t H5P_CLS_LACC[1] = {{
static herr_t
H5P_lacc_reg_prop(H5P_genclass_t *pclass)
{
- size_t nlinks = H5L_ACS_NLINKS_DEF; /* Default number of soft links to traverse */
- char *elink_prefix = H5L_ACS_ELINK_PREFIX_DEF; /* Default external link prefix string */
- hid_t def_fapl_id = H5L_ACS_ELINK_FAPL_DEF; /* Default fapl for external link access */
- unsigned elink_flags = H5L_ACS_ELINK_FLAGS_DEF; /* Default file access flags for external link traversal */
- H5L_elink_cb_t elink_cb = H5L_ACS_ELINK_CB_DEF; /* Default external link traversal callback */
-
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_NOAPI_NOINIT
/* Register property for number of links traversed */
- if(H5P_register_real(pclass, H5L_ACS_NLINKS_NAME, H5L_ACS_NLINKS_SIZE, &nlinks, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5L_ACS_NLINKS_NAME, H5L_ACS_NLINKS_SIZE, &H5L_def_nlinks_g,
+ NULL, NULL, NULL, H5L_ACS_NLINKS_ENC, H5L_ACS_NLINKS_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register property for external link prefix */
- if(H5P_register_real(pclass, H5L_ACS_ELINK_PREFIX_NAME, H5L_ACS_ELINK_PREFIX_SIZE, &elink_prefix, NULL, NULL, NULL, H5L_ACS_ELINK_PREFIX_DEL, H5L_ACS_ELINK_PREFIX_COPY, H5L_ACS_ELINK_PREFIX_CMP, H5L_ACS_ELINK_PREFIX_CLOSE) < 0)
+ if(H5P_register_real(pclass, H5L_ACS_ELINK_PREFIX_NAME, H5L_ACS_ELINK_PREFIX_SIZE, &H5L_def_elink_prefix_g,
+ NULL, NULL, NULL, H5L_ACS_ELINK_PREFIX_ENC, H5L_ACS_ELINK_PREFIX_DEC,
+ H5L_ACS_ELINK_PREFIX_DEL, H5L_ACS_ELINK_PREFIX_COPY, H5L_ACS_ELINK_PREFIX_CMP, H5L_ACS_ELINK_PREFIX_CLOSE) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register fapl for link access */
- if(H5P_register_real(pclass, H5L_ACS_ELINK_FAPL_NAME, H5L_ACS_ELINK_FAPL_SIZE, &def_fapl_id, NULL, NULL, NULL, H5L_ACS_ELINK_FAPL_DEL, H5L_ACS_ELINK_FAPL_COPY, H5L_ACS_ELINK_FAPL_CMP, H5L_ACS_ELINK_FAPL_CLOSE) < 0)
+ if(H5P_register_real(pclass, H5L_ACS_ELINK_FAPL_NAME, H5L_ACS_ELINK_FAPL_SIZE, &H5L_def_fapl_id_g,
+ NULL, NULL, NULL, H5L_ACS_ELINK_FAPL_ENC, H5L_ACS_ELINK_FAPL_DEC,
+ H5L_ACS_ELINK_FAPL_DEL, H5L_ACS_ELINK_FAPL_COPY, H5L_ACS_ELINK_FAPL_CMP, H5L_ACS_ELINK_FAPL_CLOSE) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register property for external link file access flags */
- if(H5P_register_real(pclass, H5L_ACS_ELINK_FLAGS_NAME, H5L_ACS_ELINK_FLAGS_SIZE, &elink_flags, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5L_ACS_ELINK_FLAGS_NAME, H5L_ACS_ELINK_FLAGS_SIZE, &H5L_def_elink_flags_g,
+ NULL, NULL, NULL, H5L_ACS_ELINK_FLAGS_ENC, H5L_ACS_ELINK_FLAGS_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register property for external link file traversal callback */
- if(H5P_register_real(pclass, H5L_ACS_ELINK_CB_NAME, H5L_ACS_ELINK_CB_SIZE, &elink_cb, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5L_ACS_ELINK_CB_NAME, H5L_ACS_ELINK_CB_SIZE, &H5L_def_elink_cb_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
@@ -187,6 +212,120 @@ done:
} /* end H5P_lacc_reg_prop() */
+/*-------------------------------------------------------------------------
+ * Function: H5P_lacc_elink_fapl_enc
+ *
+ * Purpose: Callback routine which is called whenever the elink FAPL
+ * property in the dataset access property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P_lacc_elink_fapl_enc(const void *value, void **_pp, size_t *size)
+{
+ const hid_t *elink_fapl = (const hid_t *)value; /* Property to encode */
+ uint8_t **pp = (uint8_t **)_pp;
+ H5P_genplist_t *fapl_plist; /* Pointer to property list */
+ hbool_t non_default_fapl = FALSE; /* Whether the FAPL is non-default */
+ size_t enc_size = 0; /* FAPL's encoded size */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_NOAPI_NOINIT
+
+ /* Check for non-default FAPL */
+ if(*elink_fapl != H5P_DEFAULT) {
+ if(NULL == (fapl_plist = (H5P_genplist_t *)H5P_object_verify(*elink_fapl, H5P_FILE_ACCESS)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get property list")
+ non_default_fapl = TRUE;
+ } /* end if */
+
+ if(NULL != *pp) {
+ /* Store whether the FAPL is non-default */
+ *(*pp)++ = (uint8_t)non_default_fapl;
+ } /* end if */
+
+ /* Encode the property list, if non-default */
+ /* (if *pp == NULL, will only compute the size) */
+ if(non_default_fapl) {
+ if(H5P__encode(fapl_plist, TRUE, *pp, &enc_size) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTENCODE, FAIL, "can't encode property list")
+ if(*pp)
+ *pp += enc_size;
+ } /* end if */
+
+ *size += (1 + enc_size); /* Non-default flag, plus encoded property list size */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P_lacc_elink_fapl_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P_lacc_elink_fapl_dec
+ *
+ * Purpose: Callback routine which is called whenever the elink FAPL
+ * property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Wednesday, August 15, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P_lacc_elink_fapl_dec(const void **_pp, void *_value)
+{
+ hid_t *elink_fapl = (hid_t *)_value; /* The elink FAPL value */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ hbool_t non_default_fapl; /* Whether the FAPL is non-default */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_NOAPI_NOINIT
+
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(elink_fapl);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ /* Determine if the FAPL is non-default */
+ non_default_fapl = (hbool_t)*(*pp)++;
+
+ if(non_default_fapl) {
+ H5P_genplist_t *fapl_plist; /* Pointer to property list */
+ size_t enc_size = 0; /* Encoded size of property list */
+
+ /* Decode the property list */
+ if((*elink_fapl = H5P__decode(*pp)) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTDECODE, FAIL, "can't decode property")
+
+ /* Get the property list object */
+ if(NULL == (fapl_plist = (H5P_genplist_t *)H5P_object_verify(*elink_fapl, H5P_FILE_ACCESS)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get property list")
+
+ /* Compute the encoded size of the property list */
+ if(H5P__encode(fapl_plist, TRUE, NULL, &enc_size) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTENCODE, FAIL, "can't compute encoded property list size")
+
+ *pp += enc_size;
+ } /* end if */
+ else
+ *elink_fapl = H5P_DEFAULT;
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P_lacc_elink_fapl_dec() */
+
+
/*--------------------------------------------------------------------------
* Function: H5P_lacc_elink_fapl_del
*
@@ -297,8 +436,12 @@ H5P_lacc_elink_fapl_cmp(const void *value1, const void *value2, size_t UNUSED si
/* Check for NULL property lists */
if(obj1 == NULL && obj2 != NULL) HGOTO_DONE(1);
if(obj1 != NULL && obj2 == NULL) HGOTO_DONE(-1);
- if(obj1 && obj2)
- ret_value = H5P_cmp_plist(obj1, obj2);
+ if(obj1 && obj2) {
+ herr_t status;
+
+ status = H5P_cmp_plist(obj1, obj2, &ret_value);
+ HDassert(status >= 0);
+ } /* end if */
done:
FUNC_LEAVE_NOAPI(ret_value)
@@ -339,6 +482,119 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5P_lacc_elink_pref_enc
+ *
+ * Purpose: Callback routine which is called whenever the elink flags
+ * property in the dataset access property list is
+ * encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P_lacc_elink_pref_enc(const void *value, void **_pp, size_t *size)
+{
+ const char *elink_pref = *(const char * const *)value;
+ uint8_t **pp = (uint8_t **)_pp;
+ size_t len = 0;
+ uint64_t enc_value;
+ unsigned enc_size;
+
+ FUNC_ENTER_NOAPI_NOINIT_NOERR
+
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ /* calculate prefix length */
+ if(NULL != elink_pref)
+ len = HDstrlen(elink_pref);
+
+ enc_value = (uint64_t)len;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+
+ if(NULL != *pp) {
+ /* encode the length of the prefix */
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* encode the prefix */
+ if(NULL != elink_pref) {
+ HDmemcpy(*(char **)pp, elink_pref, len);
+ *pp += len;
+ } /* end if */
+ } /* end if */
+
+ *size += (1 + enc_size);
+ if(NULL != elink_pref)
+ *size += len;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P_lacc_elink_pref_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P_lacc_elink_pref_dec
+ *
+ * Purpose: Callback routine which is called whenever the elink prefix
+ * property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P_lacc_elink_pref_dec(const void **_pp, void *_value)
+{
+ char **elink_pref = (char **)_value;
+ const uint8_t **pp = (const uint8_t **)_pp;
+ size_t len;
+ uint64_t enc_value; /* Decoded property value */
+ unsigned enc_size; /* Size of encoded property */
+ herr_t ret_value = SUCCEED;
+
+ FUNC_ENTER_NOAPI_NOINIT
+
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(elink_pref);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ /* Decode the size */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+
+ /* Decode the value */
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ len = enc_value;
+
+ if(0 != len) {
+ /* Make a copy of the user's prefix string */
+ if(NULL == (*elink_pref = (char *)H5MM_malloc(len + 1)))
+ HGOTO_ERROR(H5E_RESOURCE, H5E_CANTINIT, FAIL, "memory allocation failed for prefix")
+ HDstrncpy(*elink_pref, *(const char **)pp, len);
+ (*elink_pref)[len] = '\0';
+
+ *pp += len;
+ } /* end if */
+ else
+ *elink_pref = NULL;
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5P_lacc_elink_pref_dec() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5P_lacc_elink_pref_del
*
* Purpose: Frees memory used to store the external link prefix string
diff --git a/src/H5Plcpl.c b/src/H5Plcpl.c
index b327df9..d81d55c 100644
--- a/src/H5Plcpl.c
+++ b/src/H5Plcpl.c
@@ -48,7 +48,8 @@
/* Definitions for create intermediate groups flag */
#define H5L_CRT_INTERMEDIATE_GROUP_SIZE sizeof(unsigned)
#define H5L_CRT_INTERMEDIATE_GROUP_DEF 0
-
+#define H5L_CRT_INTERMEDIATE_GROUP_ENC H5P__encode_unsigned
+#define H5L_CRT_INTERMEDIATE_GROUP_DEC H5P__decode_unsigned
/******************/
/* Local Typedefs */
@@ -98,6 +99,9 @@ const H5P_libclass_t H5P_CLS_LCRT[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const unsigned H5L_def_intmd_group_g = H5L_CRT_INTERMEDIATE_GROUP_DEF; /* Default setting for creating intermediate groups */
+
/*-------------------------------------------------------------------------
@@ -114,13 +118,14 @@ const H5P_libclass_t H5P_CLS_LCRT[1] = {{
herr_t
H5P_lcrt_reg_prop(H5P_genclass_t *pclass)
{
- unsigned intmd_group = H5L_CRT_INTERMEDIATE_GROUP_DEF; /* Default setting for creating intermediate groups */
herr_t ret_value = SUCCEED; /* Return value */
FUNC_ENTER_NOAPI(FAIL)
/* Register create intermediate groups property */
- if(H5P_register_real(pclass, H5L_CRT_INTERMEDIATE_GROUP_NAME, H5L_CRT_INTERMEDIATE_GROUP_SIZE, &intmd_group, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5L_CRT_INTERMEDIATE_GROUP_NAME, H5L_CRT_INTERMEDIATE_GROUP_SIZE, &H5L_def_intmd_group_g,
+ NULL, NULL, NULL, H5L_CRT_INTERMEDIATE_GROUP_ENC, H5L_CRT_INTERMEDIATE_GROUP_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
diff --git a/src/H5Pocpl.c b/src/H5Pocpl.c
index 024f79b..6e20963 100644
--- a/src/H5Pocpl.c
+++ b/src/H5Pocpl.c
@@ -37,6 +37,7 @@
#include "H5private.h" /* Generic Functions */
#include "H5Eprivate.h" /* Error handling */
#include "H5Iprivate.h" /* IDs */
+#include "H5MMprivate.h" /* Memory management */
#include "H5Opkg.h" /* Object headers */
#include "H5Ppkg.h" /* Property lists */
@@ -48,13 +49,21 @@
/* ========= Object Creation properties ============ */
/* Definitions for the max. # of attributes to store compactly */
#define H5O_CRT_ATTR_MAX_COMPACT_SIZE sizeof(unsigned)
+#define H5O_CRT_ATTR_MAX_COMPACT_ENC H5P__encode_unsigned
+#define H5O_CRT_ATTR_MAX_COMPACT_DEC H5P__decode_unsigned
/* Definitions for the min. # of attributes to store densely */
#define H5O_CRT_ATTR_MIN_DENSE_SIZE sizeof(unsigned)
+#define H5O_CRT_ATTR_MIN_DENSE_ENC H5P__encode_unsigned
+#define H5O_CRT_ATTR_MIN_DENSE_DEC H5P__decode_unsigned
/* Definitions for object header flags */
#define H5O_CRT_OHDR_FLAGS_SIZE sizeof(uint8_t)
+#define H5O_CRT_OHDR_FLAGS_ENC H5P__encode_uint8_t
+#define H5O_CRT_OHDR_FLAGS_DEC H5P__decode_uint8_t
/* Definitions for filter pipeline */
#define H5O_CRT_PIPELINE_SIZE sizeof(H5O_pline_t)
-#define H5O_CRT_PIPELINE_CMP H5P_ocrt_pipeline_cmp
+#define H5O_CRT_PIPELINE_ENC H5P__ocrt_pipeline_enc
+#define H5O_CRT_PIPELINE_DEC H5P__ocrt_pipeline_dec
+#define H5O_CRT_PIPELINE_CMP H5P__ocrt_pipeline_cmp
/******************/
@@ -72,12 +81,14 @@
/********************/
/* Property class callbacks */
-static herr_t H5P_ocrt_reg_prop(H5P_genclass_t *pclass);
-static herr_t H5P_ocrt_copy(hid_t new_plist_t, hid_t old_plist_t, void *copy_data);
-static herr_t H5P_ocrt_close(hid_t dxpl_id, void *close_data);
+static herr_t H5P__ocrt_reg_prop(H5P_genclass_t *pclass);
+static herr_t H5P__ocrt_copy(hid_t new_plist_t, hid_t old_plist_t, void *copy_data);
+static herr_t H5P__ocrt_close(hid_t dxpl_id, void *close_data);
/* Property callbacks */
-static int H5P_ocrt_pipeline_cmp(const void *value1, const void *value2, size_t size);
+static herr_t H5P__ocrt_pipeline_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__ocrt_pipeline_dec(const void **_pp, void *value);
+static int H5P__ocrt_pipeline_cmp(const void *value1, const void *value2, size_t size);
/*********************/
@@ -91,12 +102,12 @@ const H5P_libclass_t H5P_CLS_OCRT[1] = {{
&H5P_CLS_ROOT_g, /* Parent class ID */
&H5P_CLS_OBJECT_CREATE_g, /* Pointer to class ID */
NULL, /* Pointer to default property list ID */
- H5P_ocrt_reg_prop, /* Default property registration routine */
+ H5P__ocrt_reg_prop, /* Default property registration routine */
NULL, /* Class creation callback */
NULL, /* Class creation callback info */
- H5P_ocrt_copy, /* Class copy callback */
+ H5P__ocrt_copy, /* Class copy callback */
NULL, /* Class copy callback info */
- H5P_ocrt_close, /* Class close callback */
+ H5P__ocrt_close, /* Class close callback */
NULL /* Class close callback info */
}};
@@ -111,10 +122,16 @@ const H5P_libclass_t H5P_CLS_OCRT[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const unsigned H5O_def_attr_max_compact_g = H5O_CRT_ATTR_MAX_COMPACT_DEF; /* Default max. compact attribute storage settings */
+static const unsigned H5O_def_attr_min_dense_g = H5O_CRT_ATTR_MIN_DENSE_DEF; /* Default min. dense attribute storage settings */
+static const uint8_t H5O_def_ohdr_flags_g = H5O_CRT_OHDR_FLAGS_DEF; /* Default object header flag settings */
+static const H5O_pline_t H5O_def_pline_g = H5O_CRT_PIPELINE_DEF; /* Default I/O pipeline setting */
+
/*-------------------------------------------------------------------------
- * Function: H5P_ocrt_reg_prop
+ * Function: H5P__ocrt_reg_prop
*
* Purpose: Initialize the object creation property list class
*
@@ -126,39 +143,43 @@ const H5P_libclass_t H5P_CLS_OCRT[1] = {{
*-------------------------------------------------------------------------
*/
static herr_t
-H5P_ocrt_reg_prop(H5P_genclass_t *pclass)
+H5P__ocrt_reg_prop(H5P_genclass_t *pclass)
{
- unsigned attr_max_compact = H5O_CRT_ATTR_MAX_COMPACT_DEF; /* Default max. compact attribute storage settings */
- unsigned attr_min_dense = H5O_CRT_ATTR_MIN_DENSE_DEF; /* Default min. dense attribute storage settings */
- uint8_t ohdr_flags = H5O_CRT_OHDR_FLAGS_DEF; /* Default object header flag settings */
- H5O_pline_t pline = H5O_CRT_PIPELINE_DEF; /* Default I/O pipeline setting */
herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC
/* Register max. compact attribute storage property */
- if(H5P_register_real(pclass, H5O_CRT_ATTR_MAX_COMPACT_NAME, H5O_CRT_ATTR_MAX_COMPACT_SIZE, &attr_max_compact, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5O_CRT_ATTR_MAX_COMPACT_NAME, H5O_CRT_ATTR_MAX_COMPACT_SIZE, &H5O_def_attr_max_compact_g,
+ NULL, NULL, NULL, H5O_CRT_ATTR_MAX_COMPACT_ENC, H5O_CRT_ATTR_MAX_COMPACT_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register min. dense attribute storage property */
- if(H5P_register_real(pclass, H5O_CRT_ATTR_MIN_DENSE_NAME, H5O_CRT_ATTR_MIN_DENSE_SIZE, &attr_min_dense, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5O_CRT_ATTR_MIN_DENSE_NAME, H5O_CRT_ATTR_MIN_DENSE_SIZE, &H5O_def_attr_min_dense_g,
+ NULL, NULL, NULL, H5O_CRT_ATTR_MIN_DENSE_ENC, H5O_CRT_ATTR_MIN_DENSE_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register object header flags property */
- if(H5P_register_real(pclass, H5O_CRT_OHDR_FLAGS_NAME, H5O_CRT_OHDR_FLAGS_SIZE, &ohdr_flags, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5O_CRT_OHDR_FLAGS_NAME, H5O_CRT_OHDR_FLAGS_SIZE, &H5O_def_ohdr_flags_g,
+ NULL, NULL, NULL, H5O_CRT_OHDR_FLAGS_ENC, H5O_CRT_OHDR_FLAGS_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register the pipeline property */
- if(H5P_register_real(pclass, H5O_CRT_PIPELINE_NAME, H5O_CRT_PIPELINE_SIZE, &pline, NULL, NULL, NULL, NULL, NULL, H5O_CRT_PIPELINE_CMP, NULL) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+ if(H5P_register_real(pclass, H5O_CRT_PIPELINE_NAME, H5O_CRT_PIPELINE_SIZE, &H5O_def_pline_g,
+ NULL, NULL, NULL, H5O_CRT_PIPELINE_ENC, H5O_CRT_PIPELINE_DEC,
+ NULL, NULL, H5O_CRT_PIPELINE_CMP, NULL) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocrt_reg_prop() */
+} /* end H5P__ocrt_reg_prop() */
/*-------------------------------------------------------------------------
- * Function: H5P_ocrt_copy
+ * Function: H5P__ocrt_copy
*
* Purpose: Callback routine which is called whenever any object
* creation property list is copied. This routine copies
@@ -174,14 +195,14 @@ done:
*/
/* ARGSUSED */
static herr_t
-H5P_ocrt_copy(hid_t dst_plist_id, hid_t src_plist_id, void UNUSED *copy_data)
+H5P__ocrt_copy(hid_t dst_plist_id, hid_t src_plist_id, void UNUSED *copy_data)
{
H5O_pline_t src_pline, dst_pline; /* Source & destination pipelines */
H5P_genplist_t *src_plist; /* Pointer to source property list */
H5P_genplist_t *dst_plist; /* Pointer to destination property list */
herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC
/* Verify property list IDs */
if(NULL == (dst_plist = (H5P_genplist_t *)H5I_object(dst_plist_id)))
@@ -203,11 +224,11 @@ H5P_ocrt_copy(hid_t dst_plist_id, hid_t src_plist_id, void UNUSED *copy_data)
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocrt_copy() */
+} /* end H5P__ocrt_copy() */
/*-------------------------------------------------------------------------
- * Function: H5P_ocrt_close
+ * Function: H5P__ocrt_close
*
* Purpose: Callback routine which is called whenever any object create
* property list is closed. This routine performs any generic
@@ -223,13 +244,13 @@ done:
*/
/* ARGSUSED */
static herr_t
-H5P_ocrt_close(hid_t dcpl_id, void UNUSED *close_data)
+H5P__ocrt_close(hid_t dcpl_id, void UNUSED *close_data)
{
H5O_pline_t pline; /* I/O pipeline */
H5P_genplist_t *plist; /* Property list */
herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC
/* Check arguments */
if(NULL == (plist = (H5P_genplist_t *)H5I_object(dcpl_id)))
@@ -245,7 +266,7 @@ H5P_ocrt_close(hid_t dcpl_id, void UNUSED *close_data)
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocrt_close() */
+} /* end H5P__ocrt_close() */
/*-------------------------------------------------------------------------
@@ -1330,7 +1351,189 @@ H5P_get_filter(const H5Z_filter_info_t *filter, unsigned int *flags/*out*/,
/*-------------------------------------------------------------------------
- * Function: H5P_ocrt_pipeline_cmp
+ * Function: H5P__ocrt_pipeline_enc
+ *
+ * Purpose: Callback routine which is called whenever the pipeline
+ * property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__ocrt_pipeline_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5O_pline_t *pline = (const H5O_pline_t *)value;
+ uint8_t **pp = (uint8_t **)_pp;
+ size_t u; /* Local index variable */
+
+ FUNC_ENTER_STATIC_NOERR
+
+ HDassert(pline);
+ HDassert(size);
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ if(NULL != *pp) {
+ unsigned enc_size;
+ uint64_t enc_value;
+
+ /* Encode size of unsigned */
+ *(*pp)++ = (uint8_t)sizeof(unsigned);
+
+ /* encode nused value */
+ enc_value = (uint64_t)pline->nused;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* encode each pipeline */
+ for(u = 0; u < pline->nused; u++) {
+ unsigned v; /* Local index variable */
+
+ /* encode filter ID */
+ INT32ENCODE(*pp, pline->filter[u].id)
+
+ /* encode filter flags */
+ H5_ENCODE_UNSIGNED(*pp, pline->filter[u].flags)
+
+ /* encode filter name if it exists */
+ if(NULL != pline->filter[u].name) {
+ /* encode TRUE indicating that it exits */
+ *(*pp)++ = (uint8_t)TRUE;
+
+ /* encode filter name */
+ HDmemcpy(*pp, (uint8_t *)(pline->filter[u].name), H5Z_COMMON_NAME_LEN);
+ *pp += H5Z_COMMON_NAME_LEN;
+ } /* end if */
+ else
+ /* encode FALSE indicating that it does not exist */
+ *(*pp)++ = (uint8_t)FALSE;
+
+ /* encode cd_nelmts */
+ enc_value = (uint64_t)pline->filter[u].cd_nelmts;
+ enc_size = H5V_limit_enc_size(enc_value);
+ HDassert(enc_size < 256);
+ *(*pp)++ = (uint8_t)enc_size;
+ UINT64ENCODE_VAR(*pp, enc_value, enc_size);
+
+ /* encode all values */
+ for(v = 0; v < pline->filter[u].cd_nelmts; v++)
+ H5_ENCODE_UNSIGNED(*pp, pline->filter[u].cd_values[v])
+ } /* end for */
+ } /* end if */
+
+ /* calculate size required for encoding */
+ *size += 1;
+ *size += (1 + H5V_limit_enc_size((uint64_t)pline->nused));
+ for(u = 0; u < pline->nused; u++) {
+ *size += (sizeof(int32_t) + sizeof(unsigned) + 1);
+ if(NULL != pline->filter[u].name)
+ *size += H5Z_COMMON_NAME_LEN;
+ *size += (1 + H5V_limit_enc_size((uint64_t)pline->filter[u].cd_nelmts));
+ *size += pline->filter[u].cd_nelmts * sizeof(unsigned);
+ } /* end for */
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__ocrt_pipeline_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__ocrt_pipeline_dec
+ *
+ * Purpose: Callback routine which is called whenever the pipeline
+ * property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Mohamad Chaarawi
+ * Monday, October 10, 2011
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__ocrt_pipeline_dec(const void **_pp, void *_value)
+{
+ H5O_pline_t *pline = (H5O_pline_t *)_value; /* Property to set */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ size_t nused; /* Number of filters used for pipeline */
+ unsigned enc_size; /* Size of encoded value (in bytes) */
+ uint64_t enc_value; /* Value to encode */
+ size_t u; /* Local index variable */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ HDcompile_assert(sizeof(size_t) <= sizeof(uint64_t));
+
+ /* Decode the size of size_t */
+ enc_size = *(*pp)++;
+ if(enc_size != sizeof(unsigned))
+ HGOTO_ERROR(H5E_PLIST, H5E_BADVALUE, FAIL, "unsigned value can't be decoded")
+
+ /* decode nused */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ nused = (size_t)enc_value;
+
+ /* Set property default value */
+ *pline = H5O_def_pline_g;
+
+ for(u = 0; u < nused; u++) {
+ H5Z_filter_info_t filter; /* Filter info, for pipeline */
+ uint8_t has_name; /* Flag to indicate whether filter has a name */
+ unsigned v; /* Local index variable */
+
+ /* decode filter id */
+ INT32DECODE(*pp, filter.id)
+
+ /* decode filter flags */
+ H5_DECODE_UNSIGNED(*pp, filter.flags)
+
+ /* decode value indicating if the name is encoded */
+ has_name = *(*pp)++;
+ if(has_name) {
+ /* decode name */
+ filter.name = H5MM_xstrdup((const char *)(*pp));
+ *pp += H5Z_COMMON_NAME_LEN;
+ } /* end if */
+ else
+ filter.name = NULL;
+
+ /* decode num elements */
+ enc_size = *(*pp)++;
+ HDassert(enc_size < 256);
+ UINT64DECODE_VAR(*pp, enc_value, enc_size);
+ filter.cd_nelmts = (size_t)enc_value;
+
+ if(filter.cd_nelmts)
+ if(NULL == (filter.cd_values = (unsigned *)H5MM_malloc(sizeof(unsigned) * filter.cd_nelmts)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTALLOC, FAIL, "memory allocation failed for cd_values")
+
+ /* decode values */
+ for(v = 0; v < filter.cd_nelmts; v++)
+ H5_DECODE_UNSIGNED(*pp, filter.cd_values[v])
+
+ /* Add the filter to the I/O pipeline */
+ if(H5Z_append(pline, filter.id, filter.flags, filter.cd_nelmts, filter.cd_values) < 0)
+ HGOTO_ERROR(H5E_PLINE, H5E_CANTINIT, FAIL, "unable to add filter to pipeline")
+ } /* end for */
+
+done:
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* H5P__ocrt_pipeline_dec() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__ocrt_pipeline_cmp
*
* Purpose: Callback routine which is called whenever a filter pipeline
* property in a property list is compared.
@@ -1345,24 +1548,20 @@ H5P_get_filter(const H5Z_filter_info_t *filter, unsigned int *flags/*out*/,
*-------------------------------------------------------------------------
*/
static int
-H5P_ocrt_pipeline_cmp(const void *_pline1, const void *_pline2, size_t UNUSED size)
+H5P__ocrt_pipeline_cmp(const void *_pline1, const void *_pline2, size_t UNUSED size)
{
const H5O_pline_t *pline1 = (const H5O_pline_t *)_pline1, /* Create local aliases for values */
*pline2 = (const H5O_pline_t *)_pline2;
int cmp_value; /* Value from comparison */
herr_t ret_value = 0; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT_NOERR
+ FUNC_ENTER_STATIC_NOERR
/* Sanity check */
HDassert(pline1);
HDassert(pline2);
HDassert(size == sizeof(H5O_pline_t));
- /* Check the number of allocated pipeline entries */
- if(pline1->nalloc < pline2->nalloc) HGOTO_DONE(-1);
- if(pline1->nalloc > pline2->nalloc) HGOTO_DONE(1);
-
/* Check the number of used pipeline entries */
if(pline1->nused < pline2->nused) HGOTO_DONE(-1);
if(pline1->nused > pline2->nused) HGOTO_DONE(1);
@@ -1412,7 +1611,7 @@ H5P_ocrt_pipeline_cmp(const void *_pline1, const void *_pline2, size_t UNUSED si
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocrt_pipeline_cmp() */
+} /* end H5P__ocrt_pipeline_cmp() */
#ifndef H5_NO_DEPRECATED_SYMBOLS
@@ -1566,6 +1765,5 @@ H5Pget_filter_by_id1(hid_t plist_id, H5Z_filter_t id, unsigned int *flags/*out*/
done:
FUNC_LEAVE_API(ret_value)
} /* end H5Pget_filter_by_id1() */
-
#endif /* H5_NO_DEPRECATED_SYMBOLS */
diff --git a/src/H5Pocpypl.c b/src/H5Pocpypl.c
index adea906..e5e5f51 100644
--- a/src/H5Pocpypl.c
+++ b/src/H5Pocpypl.c
@@ -49,14 +49,21 @@
/* Definitions for copy options */
#define H5O_CPY_OPTION_SIZE sizeof(unsigned)
#define H5O_CPY_OPTION_DEF 0
+#define H5O_CPY_OPTION_ENC H5P__encode_unsigned
+#define H5O_CPY_OPTION_DEC H5P__decode_unsigned
/* Definitions for merge committed dtype list */
#define H5O_CPY_MERGE_COMM_DT_LIST_SIZE sizeof(char *)
#define H5O_CPY_MERGE_COMM_DT_LIST_DEF NULL
-#define H5O_CPY_MERGE_COMM_DT_LIST_CMP H5P_ocpy_merge_comm_dt_list_cmp
+#define H5O_CPY_MERGE_COMM_DT_LIST_ENC H5P__ocpy_merge_comm_dt_list_enc
+#define H5O_CPY_MERGE_COMM_DT_LIST_DEC H5P__ocpy_merge_comm_dt_list_dec
+#define H5O_CPY_MERGE_COMM_DT_LIST_COPY H5P__ocpy_merge_comm_dt_list_copy
+#define H5O_CPY_MERGE_COMM_DT_LIST_CMP H5P__ocpy_merge_comm_dt_list_cmp
+#define H5O_CPY_MERGE_COMM_DT_LIST_CLOSE H5P__ocpy_merge_comm_dt_list_close
/* Definitions for callback function when completing the search for a matching committed datatype from the committed dtype list */
#define H5O_CPY_MCDT_SEARCH_CB_SIZE sizeof(H5O_mcdt_cb_info_t)
#define H5O_CPY_MCDT_SEARCH_CB_DEF {NULL,NULL}
+
/******************/
/* Local Typedefs */
/******************/
@@ -72,16 +79,17 @@
/********************/
/* General routines */
-static H5O_copy_dtype_merge_list_t *H5P_free_merge_comm_dtype_list(H5O_copy_dtype_merge_list_t *dt_list);
+static H5O_copy_dtype_merge_list_t *H5P__free_merge_comm_dtype_list(H5O_copy_dtype_merge_list_t *dt_list);
/* Property class callbacks */
-static herr_t H5P_ocpy_reg_prop(H5P_genclass_t *pclass);
-static herr_t H5P_ocpy_copy(hid_t dst_plist_id, hid_t src_plist_id,
- void *copy_data);
-static herr_t H5P_ocpy_close(hid_t ocpypl_id, void *close_data);
+static herr_t H5P__ocpy_reg_prop(H5P_genclass_t *pclass);
/* Property callbacks */
-static int H5P_ocpy_merge_comm_dt_list_cmp(const void *value1, const void *value2, size_t size);
+static herr_t H5P__ocpy_merge_comm_dt_list_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__ocpy_merge_comm_dt_list_dec(const void **_pp, void *value);
+static herr_t H5P__ocpy_merge_comm_dt_list_copy(const char* name, size_t size, void* value);
+static int H5P__ocpy_merge_comm_dt_list_cmp(const void *value1, const void *value2, size_t size);
+static herr_t H5P__ocpy_merge_comm_dt_list_close(const char* name, size_t size, void* value);
/*********************/
@@ -95,12 +103,12 @@ const H5P_libclass_t H5P_CLS_OCPY[1] = {{
&H5P_CLS_ROOT_g, /* Parent class ID */
&H5P_CLS_OBJECT_COPY_g, /* Pointer to class ID */
&H5P_LST_OBJECT_COPY_g, /* Pointer to default property list ID */
- H5P_ocpy_reg_prop, /* Default property registration routine */
+ H5P__ocpy_reg_prop, /* Default property registration routine */
NULL, /* Class creation callback */
NULL, /* Class creation callback info */
- H5P_ocpy_copy, /* Class copy callback */
+ NULL, /* Class copy callback */
NULL, /* Class copy callback info */
- H5P_ocpy_close, /* Class close callback */
+ NULL, /* Class close callback */
NULL /* Class close callback info */
}};
@@ -114,13 +122,18 @@ const H5P_libclass_t H5P_CLS_OCPY[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const unsigned H5O_def_ocpy_option_g = H5O_CPY_OPTION_DEF; /* Default object copy flags */
+static const H5O_copy_dtype_merge_list_t *H5O_def_merge_comm_dtype_list_g = H5O_CPY_MERGE_COMM_DT_LIST_DEF; /* Default merge committed dtype list */
+static const H5O_mcdt_cb_info_t H5O_def_mcdt_cb_g = H5O_CPY_MCDT_SEARCH_CB_DEF; /* Default callback before searching the global list of committed datatypes at destination */
+
/* Declare a free list to manage the H5O_copy_dtype_merge_list_t struct */
H5FL_DEFINE(H5O_copy_dtype_merge_list_t);
/*-------------------------------------------------------------------------
- * Function: H5P_ocpy_reg_prop
+ * Function: H5P__ocpy_reg_prop
*
* Purpose: Initialize the object copy property list class
*
@@ -131,100 +144,186 @@ H5FL_DEFINE(H5O_copy_dtype_merge_list_t);
*-------------------------------------------------------------------------
*/
static herr_t
-H5P_ocpy_reg_prop(H5P_genclass_t *pclass)
+H5P__ocpy_reg_prop(H5P_genclass_t *pclass)
{
- unsigned ocpy_option = H5O_CPY_OPTION_DEF; /* Default object copy flags */
- H5O_copy_dtype_merge_list_t *merge_comm_dtype_list = H5O_CPY_MERGE_COMM_DT_LIST_DEF; /* Default merge committed dtype list */
- H5O_mcdt_cb_info_t mcdt_cb = H5O_CPY_MCDT_SEARCH_CB_DEF; /* Default callback before searching the global list of committed datatypes at destination */
herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI(FAIL)
+ FUNC_ENTER_STATIC
/* Register copy options property */
- if(H5P_register_real(pclass, H5O_CPY_OPTION_NAME, H5O_CPY_OPTION_SIZE, &ocpy_option, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ if(H5P_register_real(pclass, H5O_CPY_OPTION_NAME, H5O_CPY_OPTION_SIZE, &H5O_def_ocpy_option_g,
+ NULL, NULL, NULL, H5O_CPY_OPTION_ENC, H5O_CPY_OPTION_DEC,
+ NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register merge named dtype list property */
- if(H5P_register_real(pclass, H5O_CPY_MERGE_COMM_DT_LIST_NAME, H5O_CPY_MERGE_COMM_DT_LIST_SIZE, &merge_comm_dtype_list, NULL, NULL, NULL, NULL, NULL, H5O_CPY_MERGE_COMM_DT_LIST_CMP, NULL) < 0)
+ if(H5P_register_real(pclass, H5O_CPY_MERGE_COMM_DT_LIST_NAME, H5O_CPY_MERGE_COMM_DT_LIST_SIZE, &H5O_def_merge_comm_dtype_list_g,
+ NULL, NULL, NULL, H5O_CPY_MERGE_COMM_DT_LIST_ENC, H5O_CPY_MERGE_COMM_DT_LIST_DEC,
+ NULL, H5O_CPY_MERGE_COMM_DT_LIST_COPY, H5O_CPY_MERGE_COMM_DT_LIST_CMP, H5O_CPY_MERGE_COMM_DT_LIST_CLOSE) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
/* Register property for callback when completing the search for a matching named datatype from the named dtype list */
- if(H5P_register_real(pclass, H5O_CPY_MCDT_SEARCH_CB_NAME, H5O_CPY_MCDT_SEARCH_CB_SIZE, &mcdt_cb, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
+ /* (Note: this property should not have an encode/decode callback -QAK) */
+ if(H5P_register_real(pclass, H5O_CPY_MCDT_SEARCH_CB_NAME, H5O_CPY_MCDT_SEARCH_CB_SIZE, &H5O_def_mcdt_cb_g,
+ NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocpy_reg_prop() */
+} /* end H5P__ocpy_reg_prop() */
/*-------------------------------------------------------------------------
- * Function: H5P_ocpy_copy
+ * Function: H5P__free_merge_comm_dtype_list
*
- * Purpose: Callback routine which is called whenever any object
- * copy property list is copied. This routine copies
- * the properties from the old list to the new list.
+ * Purpose: Frees the provided merge named dtype list
*
- * Return: Success: Non-negative
- * Failure: Negative
+ * Return: NULL
*
- * Programmer: Neil Fortner
- * Friday, October 28, 2011
+ * Programmer: Neil Fortner
+ * October 27, 2011
+ *-------------------------------------------------------------------------
+ */
+static H5O_copy_dtype_merge_list_t *
+H5P__free_merge_comm_dtype_list(H5O_copy_dtype_merge_list_t *dt_list)
+{
+ H5O_copy_dtype_merge_list_t *tmp_node;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Free the list */
+ while(dt_list) {
+ tmp_node = dt_list->next;
+ (void)H5MM_xfree(dt_list->path);
+ (void)H5FL_FREE(H5O_copy_dtype_merge_list_t, dt_list);
+ dt_list = tmp_node;
+ } /* end while */
+
+ FUNC_LEAVE_NOAPI(NULL);
+} /* H5P__free_merge_comm_dtype_list */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__ocpy_merge_comm_dt_list_enc
+ *
+ * Purpose: Callback routine which is called whenever the common
+ * datatype property in the object copy property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 31, 2012
*
*-------------------------------------------------------------------------
*/
-/* ARGSUSED */
static herr_t
-H5P_ocpy_copy(hid_t dst_plist_id, hid_t src_plist_id, void UNUSED *copy_data)
+H5P__ocpy_merge_comm_dt_list_enc(const void *value, void **_pp, size_t *size)
{
- H5O_copy_dtype_merge_list_t *src_dt_list, *dst_dt_list = NULL; /* Source & destination merge named datatype lists */
- H5O_copy_dtype_merge_list_t *dst_dt_list_tail = NULL, *tmp_dt_list = NULL; /* temporary merge named datatype lists */
- H5P_genplist_t *src_plist; /* Pointer to source property list */
- H5P_genplist_t *dst_plist; /* Pointer to destination property list */
- herr_t ret_value = SUCCEED; /* Return value */
+ const H5O_copy_dtype_merge_list_t * const *dt_list_ptr = (const H5O_copy_dtype_merge_list_t * const *)value;
+ uint8_t **pp = (uint8_t **)_pp;
+ const H5O_copy_dtype_merge_list_t *dt_list; /* Pointer to merge named datatype list */
+ size_t len; /* Length of path component */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC_NOERR
- /* Verify property list IDs */
- if(NULL == (dst_plist = (H5P_genplist_t *)H5I_object(dst_plist_id)))
- HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not an object copy property list")
- if(NULL == (src_plist = (H5P_genplist_t *)H5I_object(src_plist_id)))
- HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not an object copy property list")
+ HDassert(dt_list_ptr);
+ HDassert(size);
- /* Get the merge committed dtype list property from the old property list */
- if(H5P_get(src_plist, H5O_CPY_MERGE_COMM_DT_LIST_NAME, &src_dt_list) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get merge named dtype list")
+ /* Iterate over merge committed dtype list */
+ dt_list = *dt_list_ptr;
+ while(dt_list) {
+ /* Get length of encoded path */
+ len = HDstrlen(dt_list->path) + 1;
- /* Make copy of merge committed dtype list */
- while(src_dt_list) {
- /* Copy src_dt_list */
- if(NULL == (tmp_dt_list = H5FL_CALLOC(H5O_copy_dtype_merge_list_t)))
- HGOTO_ERROR(H5E_RESOURCE, H5E_NOSPACE, FAIL, "memory allocation failed")
- if(NULL == (tmp_dt_list->path = H5MM_strdup(src_dt_list->path)))
- HGOTO_ERROR(H5E_RESOURCE, H5E_NOSPACE, FAIL, "memory allocation failed")
+ /* Encode merge committed dtype list */
+ if(*pp) {
+ HDmemcpy(*(char **)pp, dt_list->path, len);
+ *pp += len;
+ } /* end if */
- /* Add copied node to dest dtype list */
- if(dst_dt_list_tail) {
- dst_dt_list_tail->next = tmp_dt_list;
- dst_dt_list_tail = tmp_dt_list;
+ /* Increment the size of the buffer */
+ *size += len;
+
+ /* Advance to the next node */
+ dt_list = dt_list->next;
+ } /* end while */
+
+ /* Encode the terminator for the string sequence */
+ if(*pp)
+ *(*pp)++ = (uint8_t)'\0';
+
+ /* Account for the string sequence terminator */
+ *size += 1;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__ocpy_merge_comm_dt_list_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__ocpy_merge_comm_dt_list_dec
+ *
+ * Purpose: Callback routine which is called whenever the common
+ * datatype property in the dataset access property list is
+ * decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 31, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__ocpy_merge_comm_dt_list_dec(const void **_pp, void *_value)
+{
+ H5O_copy_dtype_merge_list_t **dt_list = (H5O_copy_dtype_merge_list_t **)_value; /* Pointer to merge named datatype list */
+ const uint8_t **pp = (const uint8_t **)_pp;
+ H5O_copy_dtype_merge_list_t *dt_list_tail = NULL, *tmp_dt_list = NULL; /* temporary merge named datatype lists */
+ size_t len; /* Length of path component */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_STATIC
+
+ /* Sanity check */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(dt_list);
+
+ /* Decode the string sequence */
+ len = HDstrlen(*(const char **)pp);
+ while(len > 0) {
+ /* Create new node & duplicate string */
+ if(NULL == (tmp_dt_list = H5FL_CALLOC(H5O_copy_dtype_merge_list_t)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTALLOC, FAIL, "memory allocation failed")
+ if(NULL == (tmp_dt_list->path = H5MM_strdup(*(const char **)pp)))
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTALLOC, FAIL, "memory allocation failed")
+ *pp += len + 1;
+ HDassert(len == HDstrlen(tmp_dt_list->path));
+
+ /* Add copied node to dtype list */
+ if(dt_list_tail) {
+ dt_list_tail->next = tmp_dt_list;
+ dt_list_tail = tmp_dt_list;
} /* end if */
else {
- dst_dt_list = tmp_dt_list;
- dst_dt_list_tail = tmp_dt_list;
+ *dt_list = tmp_dt_list;
+ dt_list_tail = tmp_dt_list;
} /* end else */
tmp_dt_list = NULL;
- /* Advance src_dt_list pointer */
- src_dt_list = src_dt_list->next;
+ /* Compute length of next string */
+ len = HDstrlen(*(const char **)pp);
} /* end while */
- /* Set the merge named dtype list property for the destination property list
- */
- if(H5P_set(dst_plist, H5O_CPY_MERGE_COMM_DT_LIST_NAME, &dst_dt_list) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTSET, FAIL, "can't set merge committed dtype list")
+ /* Advance past terminator for string sequence */
+ *pp += 1;
-done:
+done:
if(ret_value < 0) {
- dst_dt_list = H5P_free_merge_comm_dtype_list(dst_dt_list);
+ *dt_list = H5P__free_merge_comm_dtype_list(*dt_list);
if(tmp_dt_list) {
tmp_dt_list->path = (char *)H5MM_xfree(tmp_dt_list->path);
tmp_dt_list = H5FL_FREE(H5O_copy_dtype_merge_list_t, tmp_dt_list);
@@ -232,53 +331,78 @@ done:
} /* end if */
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocpy_copy() */
+} /* H5P__ocpy_merge_comm_dt_list_dec() */
-/*-------------------------------------------------------------------------
- * Function: H5P_ocpy_close
+/*--------------------------------------------------------------------------
+ * Function: H5P__ocpy_merge_comm_dt_list_copy
*
- * Purpose: Callback routine which is called whenever any object copy
- * property list is closed. This routine performs any generic
- * cleanup needed on the properties the library put into the
- * list.
+ * Purpose: Copy the merge committed datatype list
*
- * Return: Success: Non-negative
- * Failure: Negative
+ * Return: Success: Non-negative
+ * Failure: Negative
*
- * Programmer: Neil Fortner
- * Friday, October 28, 2011
+ * Programmer: Quincey Koziol
+ * Friday, August 31, 2012
*
- *-------------------------------------------------------------------------
+ *--------------------------------------------------------------------------
*/
/* ARGSUSED */
static herr_t
-H5P_ocpy_close(hid_t ocpypl_id, void UNUSED *close_data)
+H5P__ocpy_merge_comm_dt_list_copy(const char UNUSED *name, size_t UNUSED size,
+ void *value)
{
- H5O_copy_dtype_merge_list_t *dt_list; /* Merge named datatype list */
- H5P_genplist_t *plist; /* Property list */
- herr_t ret_value = SUCCEED; /* Return value */
+ const H5O_copy_dtype_merge_list_t *src_dt_list; /* Source merge named datatype lists */
+ H5O_copy_dtype_merge_list_t *dst_dt_list = NULL; /* Destination merge named datatype lists */
+ H5O_copy_dtype_merge_list_t *dst_dt_list_tail = NULL, *tmp_dt_list = NULL; /* temporary merge named datatype lists */
+ herr_t ret_value = SUCCEED;
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC
- /* Check arguments */
- if(NULL == (plist = (H5P_genplist_t *)H5I_object(ocpypl_id)))
- HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not an object copy property list")
+ HDassert(value);
- /* Get the merge named dtype list property from the old property list */
- if(H5P_get(plist, H5O_CPY_MERGE_COMM_DT_LIST_NAME, &dt_list) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get merge named dtype list")
+ /* Make copy of merge committed dtype list */
+ src_dt_list = *(const H5O_copy_dtype_merge_list_t **)value;
+ while(src_dt_list) {
+ /* Copy src_dt_list */
+ if(NULL == (tmp_dt_list = H5FL_CALLOC(H5O_copy_dtype_merge_list_t)))
+ HGOTO_ERROR(H5E_RESOURCE, H5E_NOSPACE, FAIL, "memory allocation failed")
+ if(NULL == (tmp_dt_list->path = H5MM_strdup(src_dt_list->path)))
+ HGOTO_ERROR(H5E_RESOURCE, H5E_NOSPACE, FAIL, "memory allocation failed")
- /* Free the merge named dtype list */
- dt_list = H5P_free_merge_comm_dtype_list(dt_list);
+ /* Add copied node to dest dtype list */
+ if(dst_dt_list_tail) {
+ dst_dt_list_tail->next = tmp_dt_list;
+ dst_dt_list_tail = tmp_dt_list;
+ } /* end if */
+ else {
+ dst_dt_list = tmp_dt_list;
+ dst_dt_list_tail = tmp_dt_list;
+ } /* end else */
+ tmp_dt_list = NULL;
+
+ /* Advance src_dt_list pointer */
+ src_dt_list = src_dt_list->next;
+ } /* end while */
+
+ /* Set the merge named dtype list property for the destination property list */
+ *(H5O_copy_dtype_merge_list_t **)value = dst_dt_list;
done:
+ if(ret_value < 0) {
+ dst_dt_list = H5P__free_merge_comm_dtype_list(dst_dt_list);
+ if(tmp_dt_list) {
+ tmp_dt_list->path = (char *)H5MM_xfree(tmp_dt_list->path);
+ tmp_dt_list = H5FL_FREE(H5O_copy_dtype_merge_list_t, tmp_dt_list);
+ } /* end if */
+ } /* end if */
+
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocpy_close() */
+} /* end H5P__ocpy_merge_comm_dt_list_copy() */
/*-------------------------------------------------------------------------
- * Function: H5P_ocpy_merge_comm_dt_list_cmp
+ * Function: H5P__ocpy_merge_comm_dt_list_cmp
*
* Purpose: Callback routine which is called whenever the merge
* named dtype property in the object copy property list
@@ -294,14 +418,14 @@ done:
*-------------------------------------------------------------------------
*/
static int
-H5P_ocpy_merge_comm_dt_list_cmp(const void *_dt_list1, const void *_dt_list2,
+H5P__ocpy_merge_comm_dt_list_cmp(const void *_dt_list1, const void *_dt_list2,
size_t UNUSED size)
{
const H5O_copy_dtype_merge_list_t *dt_list1 = *(H5O_copy_dtype_merge_list_t * const *)_dt_list1, /* Create local aliases for values */
*dt_list2 = *(H5O_copy_dtype_merge_list_t * const *)_dt_list2;
herr_t ret_value = 0; /* Return value */
- FUNC_ENTER_NOAPI_NOINIT
+ FUNC_ENTER_STATIC_NOERR
/* Sanity check */
HDassert(_dt_list1);
@@ -326,37 +450,35 @@ H5P_ocpy_merge_comm_dt_list_cmp(const void *_dt_list1, const void *_dt_list2,
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_ocpy_merge_comm_dt_list_cmp() */
+} /* end H5P__ocpy_merge_comm_dt_list_cmp() */
-/*-------------------------------------------------------------------------
- * Function: H5P_free_merge_comm_dtype_list
+/*--------------------------------------------------------------------------
+ * Function: H5P__ocpy_merge_comm_dt_list_close
*
- * Purpose: Frees the provided merge named dtype list
+ * Purpose: Close the merge common datatype list property
*
- * Return: NULL
+ * Return: Success: Non-negative
+ * Failure: Negative
*
- * Programmer: Neil Fortner
- * October 27, 2011
- *-------------------------------------------------------------------------
+ * Programmer: Quincey Koziol
+ * Friday, August 31, 2012
+ *
+ *---------------------------------------------------------------------------
*/
-static H5O_copy_dtype_merge_list_t *
-H5P_free_merge_comm_dtype_list(H5O_copy_dtype_merge_list_t *dt_list)
+/* ARGSUSED */
+static herr_t
+H5P__ocpy_merge_comm_dt_list_close(const char UNUSED *name, size_t UNUSED size, void *value)
{
- H5O_copy_dtype_merge_list_t *tmp_node;
+ FUNC_ENTER_STATIC_NOERR
- FUNC_ENTER_NOAPI_NOINIT
+ HDassert(value);
- /* Free the list */
- while(dt_list) {
- tmp_node = dt_list->next;
- (void)H5MM_xfree(dt_list->path);
- (void)H5FL_FREE(H5O_copy_dtype_merge_list_t, dt_list);
- dt_list = tmp_node;
- } /* end while */
+ /* Free the merge named dtype list */
+ H5P__free_merge_comm_dtype_list(*(H5O_copy_dtype_merge_list_t **)value);
- FUNC_LEAVE_NOAPI(NULL);
-} /* H5P_free_merge_comm_dtype_list */
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__ocpy_merge_comm_dt_list_close() */
/*-------------------------------------------------------------------------
@@ -541,7 +663,7 @@ H5Pfree_merge_committed_dtype_paths(hid_t plist_id)
HGOTO_ERROR(H5E_PLIST, H5E_CANTGET, FAIL, "can't get merge committed dtype list")
/* Free dtype list */
- dt_list = H5P_free_merge_comm_dtype_list(dt_list);
+ dt_list = H5P__free_merge_comm_dtype_list(dt_list);
/* Update the list stored in the property list (to NULL) */
if(H5P_set(plist, H5O_CPY_MERGE_COMM_DT_LIST_NAME, &dt_list) < 0)
diff --git a/src/H5Ppkg.h b/src/H5Ppkg.h
index 803abfd..9d48c60 100644
--- a/src/H5Ppkg.h
+++ b/src/H5Ppkg.h
@@ -75,6 +75,8 @@ typedef struct H5P_genprop_t {
H5P_prp_create_func_t create; /* Function to call when a property is created */
H5P_prp_set_func_t set; /* Function to call when a property value is set */
H5P_prp_get_func_t get; /* Function to call when a property value is retrieved */
+ H5P_prp_encode_func_t encode; /* Function to call when a property is encoded */
+ H5P_prp_decode_func_t decode; /* Function to call when a property is decoded */
H5P_prp_delete_func_t del; /* Function to call when a property is deleted */
H5P_prp_copy_func_t copy; /* Function to call when a property is copied */
H5P_prp_compare_func_t cmp; /* Function to call when a property is compared */
@@ -159,26 +161,31 @@ H5_DLL H5P_genclass_t *H5P_create_class(H5P_genclass_t *par_class,
H5P_cls_close_func_t cls_close, void *close_data);
H5_DLL H5P_genclass_t *H5P_copy_pclass(H5P_genclass_t *pclass);
H5_DLL herr_t H5P_register_real(H5P_genclass_t *pclass, const char *name, size_t size,
- const void *def_value, H5P_prp_create_func_t prp_create, H5P_prp_set_func_t prp_set,
- H5P_prp_get_func_t prp_get, H5P_prp_delete_func_t prp_delete,
+ const void *def_value, H5P_prp_create_func_t prp_create,
+ H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
+ H5P_prp_delete_func_t prp_delete,
H5P_prp_copy_func_t prp_copy, H5P_prp_compare_func_t prp_cmp,
H5P_prp_close_func_t prp_close);
H5_DLL herr_t H5P_register(H5P_genclass_t **pclass, const char *name, size_t size,
- const void *def_value, H5P_prp_create_func_t prp_create, H5P_prp_set_func_t prp_set,
- H5P_prp_get_func_t prp_get, H5P_prp_delete_func_t prp_delete,
+ const void *def_value, H5P_prp_create_func_t prp_create,
+ H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
+ H5P_prp_delete_func_t prp_delete,
H5P_prp_copy_func_t prp_copy, H5P_prp_compare_func_t prp_cmp,
H5P_prp_close_func_t prp_close);
H5_DLL herr_t H5P_add_prop(H5SL_t *props, H5P_genprop_t *prop);
H5_DLL herr_t H5P_access_class(H5P_genclass_t *pclass, H5P_class_mod_t mod);
H5_DLL htri_t H5P_exist_pclass(H5P_genclass_t *pclass, const char *name);
-H5_DLL herr_t H5P_get_size_plist(H5P_genplist_t *plist, const char *name,
+H5_DLL herr_t H5P_get_size_plist(const H5P_genplist_t *plist, const char *name,
size_t *size);
H5_DLL herr_t H5P_get_size_pclass(H5P_genclass_t *pclass, const char *name,
size_t *size);
H5_DLL H5P_genclass_t *H5P_get_class(const H5P_genplist_t *plist);
H5_DLL herr_t H5P_get_nprops_plist(const H5P_genplist_t *plist, size_t *nprops);
H5_DLL int H5P_cmp_class(const H5P_genclass_t *pclass1, const H5P_genclass_t *pclass2);
-H5_DLL int H5P_cmp_plist(const H5P_genplist_t *plist1, const H5P_genplist_t *plist2);
+H5_DLL herr_t H5P_cmp_plist(const H5P_genplist_t *plist1, const H5P_genplist_t *plist2,
+ int *cmp_ret);
H5_DLL int H5P_iterate_plist(const H5P_genplist_t *plist, hbool_t iter_all_prop,
int *idx, H5P_iterate_int_t iter_func, void *iter_data);
H5_DLL int H5P_iterate_pclass(const H5P_genclass_t *pclass, int *idx,
@@ -193,7 +200,25 @@ H5_DLL herr_t H5P_close_class(void *_pclass);
H5_DLL herr_t H5P_get_filter(const H5Z_filter_info_t *filter,
unsigned int *flags, size_t *cd_nelmts, unsigned cd_values[],
size_t namelen, char name[], unsigned *filter_config);
-H5_DLL H5P_genprop_t *H5P__find_prop_plist(H5P_genplist_t *plist, const char *name);
+H5_DLL H5P_genprop_t *H5P__find_prop_plist(const H5P_genplist_t *plist, const char *name);
+H5_DLL hid_t H5P__new_plist_of_type(H5P_plist_type_t type);
+
+/* Encode/decode routines */
+H5_DLL herr_t H5P__encode(const H5P_genplist_t *plist, hbool_t enc_all_prop,
+ void *buf, size_t *nalloc);
+H5_DLL hid_t H5P__decode(const void *buf);
+H5_DLL herr_t H5P__encode_hsize_t(const void *value, void **_pp, size_t *size);
+H5_DLL herr_t H5P__encode_size_t(const void *value, void **_pp, size_t *size);
+H5_DLL herr_t H5P__encode_unsigned(const void *value, void **_pp, size_t *size);
+H5_DLL herr_t H5P__encode_uint8_t(const void *value, void **_pp, size_t *size);
+H5_DLL herr_t H5P__encode_hbool_t(const void *value, void **_pp, size_t *size);
+H5_DLL herr_t H5P__encode_double(const void *value, void **_pp, size_t *size);
+H5_DLL herr_t H5P__decode_hsize_t(const void **_pp, void *value);
+H5_DLL herr_t H5P__decode_size_t(const void **_pp, void *value);
+H5_DLL herr_t H5P__decode_unsigned(const void **_pp, void *value);
+H5_DLL herr_t H5P__decode_uint8_t(const void **_pp, void *value);
+H5_DLL herr_t H5P__decode_hbool_t(const void **_pp, void *value);
+H5_DLL herr_t H5P__decode_double(const void **_pp, void *value);
/* Testing functions */
#ifdef H5P_TESTING
diff --git a/src/H5Pprivate.h b/src/H5Pprivate.h
index 849a533..6560064 100644
--- a/src/H5Pprivate.h
+++ b/src/H5Pprivate.h
@@ -84,10 +84,11 @@ H5_DLL herr_t H5P_get(const H5P_genplist_t *plist, const char *name, void *value
H5_DLL herr_t H5P_set(H5P_genplist_t *plist, const char *name, const void *value);
H5_DLL herr_t H5P_insert(H5P_genplist_t *plist, const char *name, size_t size,
void *value, H5P_prp_set_func_t prp_set, H5P_prp_get_func_t prp_get,
+ H5P_prp_encode_func_t prp_encode, H5P_prp_decode_func_t prp_decode,
H5P_prp_delete_func_t prp_delete, H5P_prp_copy_func_t prp_copy,
H5P_prp_compare_func_t prp_cmp, H5P_prp_close_func_t prp_close);
H5_DLL herr_t H5P_remove(hid_t plist_id, H5P_genplist_t *plist, const char *name);
-H5_DLL htri_t H5P_exist_plist(H5P_genplist_t *plist, const char *name);
+H5_DLL htri_t H5P_exist_plist(const H5P_genplist_t *plist, const char *name);
H5_DLL char *H5P_get_class_name(H5P_genclass_t *pclass);
H5_DLL herr_t H5P_get_nprops_pclass(const H5P_genclass_t *pclass, size_t *nprops,
hbool_t recurse);
diff --git a/src/H5Ppublic.h b/src/H5Ppublic.h
index fd75e86..507bbb6 100644
--- a/src/H5Ppublic.h
+++ b/src/H5Ppublic.h
@@ -113,6 +113,8 @@ typedef herr_t (*H5P_prp_cb2_t)(hid_t prop_id, const char *name, size_t size, vo
typedef H5P_prp_cb1_t H5P_prp_create_func_t;
typedef H5P_prp_cb2_t H5P_prp_set_func_t;
typedef H5P_prp_cb2_t H5P_prp_get_func_t;
+typedef herr_t (*H5P_prp_encode_func_t)(const void *value, void **buf, size_t *size);
+typedef herr_t (*H5P_prp_decode_func_t)(const void **buf, void *value);
typedef H5P_prp_cb2_t H5P_prp_delete_func_t;
typedef H5P_prp_cb1_t H5P_prp_copy_func_t;
typedef int (*H5P_prp_compare_func_t)(const void *value1, const void *value2, size_t size);
@@ -229,6 +231,8 @@ H5_DLL herr_t H5Pinsert2(hid_t plist_id, const char *name, size_t size,
H5P_prp_compare_func_t prp_cmp, H5P_prp_close_func_t prp_close);
H5_DLL herr_t H5Pset(hid_t plist_id, const char *name, void *value);
H5_DLL htri_t H5Pexist(hid_t plist_id, const char *name);
+H5_DLL herr_t H5Pencode(hid_t plist_id, void *buf, size_t *nalloc);
+H5_DLL hid_t H5Pdecode(const void *buf);
H5_DLL herr_t H5Pget_size(hid_t id, const char *name, size_t *size);
H5_DLL herr_t H5Pget_nprops(hid_t id, size_t *nprops);
H5_DLL hid_t H5Pget_class(hid_t plist_id);
@@ -412,7 +416,7 @@ H5_DLL herr_t H5Pget_type_conv_cb(hid_t dxpl_id, H5T_conv_except_func_t *op, voi
#ifdef H5_HAVE_PARALLEL
H5_DLL herr_t H5Pget_mpio_actual_chunk_opt_mode(hid_t plist_id, H5D_mpio_actual_chunk_opt_mode_t *actual_chunk_opt_mode);
H5_DLL herr_t H5Pget_mpio_actual_io_mode(hid_t plist_id, H5D_mpio_actual_io_mode_t *actual_io_mode);
-H5_DLL herr_t H5Pget_mpio_no_collective_cause(hid_t plist_id, H5D_mpio_no_collective_cause_t *local_no_collective_cause, H5D_mpio_no_collective_cause_t *global_no_collective_cause);
+H5_DLL herr_t H5Pget_mpio_no_collective_cause(hid_t plist_id, uint32_t *local_no_collective_cause, uint32_t *global_no_collective_cause);
#endif /* H5_HAVE_PARALLEL */
/* Link creation property list (LCPL) routines */
diff --git a/src/H5Pstrcpl.c b/src/H5Pstrcpl.c
index 8573985..bedacb0 100644
--- a/src/H5Pstrcpl.c
+++ b/src/H5Pstrcpl.c
@@ -46,6 +46,8 @@
/* Definitions for character set encoding property */
#define H5P_STRCRT_CHAR_ENCODING_SIZE sizeof(H5T_cset_t)
#define H5P_STRCRT_CHAR_ENCODING_DEF H5F_DEFAULT_CSET
+#define H5P_STRCRT_CHAR_ENCODING_ENC H5P__strcrt_char_encoding_enc
+#define H5P_STRCRT_CHAR_ENCODING_DEC H5P__strcrt_char_encoding_dec
/******************/
@@ -63,7 +65,11 @@
/********************/
/* Property class callbacks */
-static herr_t H5P_strcrt_reg_prop(H5P_genclass_t *pclass);
+static herr_t H5P__strcrt_reg_prop(H5P_genclass_t *pclass);
+
+/* encode & decode callbacks */
+static herr_t H5P__strcrt_char_encoding_enc(const void *value, void **_pp, size_t *size);
+static herr_t H5P__strcrt_char_encoding_dec(const void **_pp, void *value);
/*********************/
@@ -77,7 +83,7 @@ const H5P_libclass_t H5P_CLS_STRCRT[1] = {{
&H5P_CLS_ROOT_g, /* Parent class ID */
&H5P_CLS_STRING_CREATE_g, /* Pointer to class ID */
NULL, /* Pointer to default property list ID */
- H5P_strcrt_reg_prop, /* Default property registration routine */
+ H5P__strcrt_reg_prop, /* Default property registration routine */
NULL, /* Class creation callback */
NULL, /* Class creation callback info */
NULL, /* Class copy callback */
@@ -96,12 +102,15 @@ const H5P_libclass_t H5P_CLS_STRCRT[1] = {{
/* Local Variables */
/*******************/
+/* Property value defaults */
+static const H5T_cset_t H5P_def_char_encoding_g = H5P_STRCRT_CHAR_ENCODING_DEF; /* Default character set encoding */
+
/*-------------------------------------------------------------------------
- * Function: H5P_strcrt_reg_prop
+ * Function: H5P__strcrt_reg_prop
*
- * Purpose: Register the dataset creation property list class's properties
+ * Purpose: Register the string creation property list class's properties
*
* Return: Non-negative on success/Negative on failure
*
@@ -110,41 +119,39 @@ const H5P_libclass_t H5P_CLS_STRCRT[1] = {{
*-------------------------------------------------------------------------
*/
static herr_t
-H5P_strcrt_reg_prop(H5P_genclass_t *pclass)
+H5P__strcrt_reg_prop(H5P_genclass_t *pclass)
{
- H5T_cset_t char_encoding = H5P_STRCRT_CHAR_ENCODING_DEF; /* Default character set encoding */
herr_t ret_value = SUCCEED; /* Return value */
- FUNC_ENTER_NOAPI(FAIL)
+ FUNC_ENTER_STATIC
/* Register character encoding */
- if(H5P_register_real(pclass, H5P_STRCRT_CHAR_ENCODING_NAME, H5P_STRCRT_CHAR_ENCODING_SIZE, &char_encoding, NULL, NULL, NULL, NULL, NULL, NULL, NULL) < 0)
- HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
+ if(H5P_register_real(pclass, H5P_STRCRT_CHAR_ENCODING_NAME, H5P_STRCRT_CHAR_ENCODING_SIZE, &H5P_def_char_encoding_g,
+ NULL, NULL, NULL, H5P_STRCRT_CHAR_ENCODING_ENC, H5P_STRCRT_CHAR_ENCODING_DEC,
+ NULL, NULL, NULL, NULL) < 0)
+ HGOTO_ERROR(H5E_PLIST, H5E_CANTINSERT, FAIL, "can't insert property into class")
done:
FUNC_LEAVE_NOAPI(ret_value)
-} /* end H5P_strcrt_reg_prop() */
+} /* end H5P__strcrt_reg_prop() */
/*-------------------------------------------------------------------------
- * Function: H5Pset_char_encoding
- *
- * Purpose: Sets the character encoding of the string.
+ * Function: H5Pset_char_encoding
*
- * Return: Non-negative on success/Negative on failure
+ * Purpose: Sets the character encoding of the string.
*
- * Programmer: James Laird
- * Wednesday, October 26, 2005
- *
- * Modifications:
+ * Return: Non-negative on success/Negative on failure
*
+ * Programmer: James Laird
+ * Wednesday, October 26, 2005
*-------------------------------------------------------------------------
*/
herr_t
H5Pset_char_encoding(hid_t plist_id, H5T_cset_t encoding)
{
H5P_genplist_t *plist; /* Property list pointer */
- herr_t ret_value=SUCCEED; /* return value */
+ herr_t ret_value = SUCCEED; /* return value */
FUNC_ENTER_API(FAIL)
H5TRACE2("e", "iTc", plist_id, encoding);
@@ -154,7 +161,7 @@ H5Pset_char_encoding(hid_t plist_id, H5T_cset_t encoding)
HGOTO_ERROR(H5E_ARGS, H5E_BADRANGE, FAIL, "character encoding is not valid")
/* Get the plist structure */
- if(NULL == (plist = H5P_object_verify(plist_id,H5P_STRING_CREATE)))
+ if(NULL == (plist = H5P_object_verify(plist_id, H5P_STRING_CREATE)))
HGOTO_ERROR(H5E_ATOM, H5E_BADATOM, FAIL, "can't find object for ID")
/* Set the character encoding */
@@ -199,3 +206,76 @@ done:
FUNC_LEAVE_API(ret_value)
} /* end H5Pget_char_encoding() */
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__strcrt_char_encoding_enc
+ *
+ * Purpose: Callback routine which is called whenever the character
+ * set encoding property in the string create property list
+ * is encoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 31, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__strcrt_char_encoding_enc(const void *value, void **_pp, size_t *size)
+{
+ const H5T_cset_t *encoding = (const H5T_cset_t *)value; /* Create local alias for values */
+ uint8_t **pp = (uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity check */
+ HDassert(encoding);
+ HDassert(size);
+
+ if(NULL != *pp)
+ /* Encode character set encoding */
+ *(*pp)++ = (uint8_t)*encoding;
+
+ /* Size of character set encoding */
+ (*size)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__strcrt_char_encoding_enc() */
+
+
+/*-------------------------------------------------------------------------
+ * Function: H5P__strcrt_char_encoding_dec
+ *
+ * Purpose: Callback routine which is called whenever the character
+ * set encoding property in the string create property list
+ * is decoded.
+ *
+ * Return: Success: Non-negative
+ * Failure: Negative
+ *
+ * Programmer: Quincey Koziol
+ * Friday, August 31, 2012
+ *
+ *-------------------------------------------------------------------------
+ */
+static herr_t
+H5P__strcrt_char_encoding_dec(const void **_pp, void *_value)
+{
+ H5T_cset_t *encoding = (H5T_cset_t *)_value; /* Character set encoding */
+ const uint8_t **pp = (const uint8_t **)_pp;
+
+ FUNC_ENTER_STATIC_NOERR
+
+ /* Sanity checks */
+ HDassert(pp);
+ HDassert(*pp);
+ HDassert(encoding);
+
+ /* Decode character set encoding */
+ *encoding = (H5T_cset_t)*(*pp)++;
+
+ FUNC_LEAVE_NOAPI(SUCCEED)
+} /* end H5P__strcrt_char_encoding_dec() */
+
diff --git a/src/H5T.c b/src/H5T.c
index 5a3c17b..5801c3f 100644
--- a/src/H5T.c
+++ b/src/H5T.c
@@ -292,8 +292,6 @@ static herr_t H5T_unregister(H5T_pers_t pers, const char *name, H5T_t *src,
static herr_t H5T_register(H5T_pers_t pers, const char *name, H5T_t *src,
H5T_t *dst, H5T_conv_t func, hid_t dxpl_id, hbool_t api_call);
static htri_t H5T_compiler_conv(H5T_t *src, H5T_t *dst);
-static herr_t H5T_encode(H5T_t *obj, unsigned char *buf, size_t *nalloc);
-static H5T_t *H5T_decode(const unsigned char *buf);
static herr_t H5T_set_size(H5T_t *dt, size_t size);
@@ -1062,6 +1060,8 @@ H5T_init_interface(void)
status |= H5T_register(H5T_PERS_SOFT, "struct(no-opt)", compound, compound, H5T__conv_struct, H5AC_dxpl_id, FALSE);
status |= H5T_register(H5T_PERS_SOFT, "struct(opt)", compound, compound, H5T__conv_struct_opt, H5AC_dxpl_id, FALSE);
status |= H5T_register(H5T_PERS_SOFT, "enum", enum_type, enum_type, H5T__conv_enum, H5AC_dxpl_id, FALSE);
+ status |= H5T_register(H5T_PERS_SOFT, "enum_i", enum_type, fixedpt, H5T__conv_enum_numeric, H5AC_dxpl_id, FALSE);
+ status |= H5T_register(H5T_PERS_SOFT, "enum_f", enum_type, floatpt, H5T__conv_enum_numeric, H5AC_dxpl_id, FALSE);
status |= H5T_register(H5T_PERS_SOFT, "vlen", vlen, vlen, H5T__conv_vlen, H5AC_dxpl_id, FALSE);
status |= H5T_register(H5T_PERS_SOFT, "array", array, array, H5T__conv_array, H5AC_dxpl_id, FALSE);
status |= H5T_register(H5T_PERS_SOFT, "objref", objref, objref, H5T__conv_order_opt, H5AC_dxpl_id, FALSE);
@@ -2897,7 +2897,7 @@ done:
*
*-------------------------------------------------------------------------
*/
-static herr_t
+herr_t
H5T_encode(H5T_t *obj, unsigned char *buf, size_t *nalloc)
{
size_t buf_size; /* Encoded size of datatype */
@@ -2954,7 +2954,7 @@ done:
*
*-------------------------------------------------------------------------
*/
-static H5T_t *
+H5T_t *
H5T_decode(const unsigned char *buf)
{
H5F_t *f = NULL; /* Fake file structure*/
diff --git a/src/H5Tconv.c b/src/H5Tconv.c
index 983922f..5ecf864 100644
--- a/src/H5Tconv.c
+++ b/src/H5Tconv.c
@@ -2889,6 +2889,86 @@ done:
/*-------------------------------------------------------------------------
+ * Function: H5T__conv_enum_numeric
+ *
+ * Purpose: Converts enumerated data to a numeric type (integer or
+ * floating-point number). This function is registered into
+ * the conversion table twice in H5T_init_interface in H5T.c.
+ * Once for enum-integer conversion. Once for enum-float conversion.
+ *
+ * Return: Success: Non-negative
+ *
+ * Failure: negative
+ *
+ * Programmer: Raymond Lu
+ * 12 October 2012
+ *-------------------------------------------------------------------------
+ */
+herr_t
+H5T__conv_enum_numeric(hid_t src_id, hid_t dst_id, H5T_cdata_t *cdata, size_t nelmts,
+ size_t buf_stride, size_t UNUSED bkg_stride, void *_buf,
+ void UNUSED *bkg, hid_t UNUSED dxpl_id)
+{
+ H5T_t *src, *dst; /*src and dst datatypes */
+ H5T_t *src_parent; /*parent type for src */
+ hid_t src_parent_id = -1; /*ID for parent of the source */
+ H5T_path_t *tpath; /* Conversion information */
+ herr_t ret_value = SUCCEED; /* Return value */
+
+ FUNC_ENTER_PACKAGE
+
+ switch(cdata->command) {
+ case H5T_CONV_INIT:
+ /*
+ * Determine if this conversion function applies to the conversion
+ * path SRC_ID->DST_ID. If not, return failure.
+ */
+ if(NULL == (src = (H5T_t *)H5I_object(src_id)) || NULL == (dst = (H5T_t *)H5I_object(dst_id)))
+ HGOTO_ERROR(H5E_DATATYPE, H5E_BADTYPE, FAIL, "not a datatype")
+ if(H5T_ENUM != src->shared->type)
+ HGOTO_ERROR(H5E_DATATYPE, H5E_BADTYPE, FAIL, "source type is not a H5T_ENUM datatype")
+ if(H5T_INTEGER != dst->shared->type && H5T_FLOAT != dst->shared->type)
+ HGOTO_ERROR(H5E_DATATYPE, H5E_BADTYPE, FAIL, "destination is not an integer type")
+
+ cdata->need_bkg = H5T_BKG_NO;
+ break;
+
+ case H5T_CONV_FREE:
+ break;
+
+ case H5T_CONV_CONV:
+ if(NULL == (src = (H5T_t *)H5I_object(src_id)) || NULL == (dst = (H5T_t *)H5I_object(dst_id)))
+ HGOTO_ERROR(H5E_ARGS, H5E_BADTYPE, FAIL, "not a datatype")
+
+ src_parent = src->shared->parent;
+
+ if(NULL == (tpath = H5T_path_find(src_parent, dst, NULL, NULL, dxpl_id, FALSE))) {
+ HGOTO_ERROR(H5E_DATASET, H5E_UNSUPPORTED, FAIL, "unable to convert between src and dest datatype")
+ } else if(!H5T_path_noop(tpath)) {
+ if((src_parent_id = H5I_register(H5I_DATATYPE, H5T_copy(src_parent, H5T_COPY_ALL), FALSE)) < 0)
+ HGOTO_ERROR(H5E_DATASET, H5E_CANTREGISTER, FAIL, "unable to register types for conversion")
+
+ /* Convert the data */
+ if(H5T_convert(tpath, src_parent_id, dst_id, nelmts, buf_stride, bkg_stride, _buf, bkg, dxpl_id) < 0)
+ HGOTO_ERROR(H5E_DATATYPE, H5E_CANTINIT, FAIL, "datatype conversion failed")
+ }
+ break;
+
+ default:
+ /* Some other command we don't know about yet.*/
+ HGOTO_ERROR(H5E_DATATYPE, H5E_UNSUPPORTED, FAIL, "unknown conversion command")
+ } /* end switch */
+
+done:
+ /* Release the temporary datatype IDs used */
+ if(src_parent_id >= 0)
+ H5I_dec_ref(src_parent_id);
+
+ FUNC_LEAVE_NOAPI(ret_value)
+} /* end H5T__conv_enum_numeric() */
+
+
+/*-------------------------------------------------------------------------
* Function: H5T__conv_vlen
*
* Purpose: Converts between VL datatypes in memory and on disk.
diff --git a/src/H5Tpkg.h b/src/H5Tpkg.h
index b9364d6..8323e15 100644
--- a/src/H5Tpkg.h
+++ b/src/H5Tpkg.h
@@ -544,6 +544,10 @@ H5_DLL herr_t H5T__conv_enum(hid_t src_id, hid_t dst_id, H5T_cdata_t *cdata,
size_t nelmts, size_t buf_stride,
size_t bkg_stride, void *buf, void *bkg,
hid_t dset_xfer_plist);
+H5_DLL herr_t H5T__conv_enum_numeric(hid_t src_id, hid_t dst_id, H5T_cdata_t *cdata,
+ size_t nelmts, size_t buf_stride,
+ size_t bkg_stride, void *buf, void *bkg,
+ hid_t dset_xfer_plist);
H5_DLL herr_t H5T__conv_vlen(hid_t src_id, hid_t dst_id, H5T_cdata_t *cdata,
size_t nelmts, size_t buf_stride,
size_t bkg_stride, void *buf, void *bkg,
diff --git a/src/H5Tprivate.h b/src/H5Tprivate.h
index 345924c..558afaf 100644
--- a/src/H5Tprivate.h
+++ b/src/H5Tprivate.h
@@ -114,6 +114,8 @@ H5_DLL H5T_class_t H5T_get_class(const H5T_t *dt, htri_t internal);
H5_DLL htri_t H5T_detect_class(const H5T_t *dt, H5T_class_t cls, hbool_t from_api);
H5_DLL size_t H5T_get_size(const H5T_t *dt);
H5_DLL int H5T_cmp(const H5T_t *dt1, const H5T_t *dt2, hbool_t superset);
+H5_DLL herr_t H5T_encode(H5T_t *obj, unsigned char *buf, size_t *nalloc);
+H5_DLL H5T_t *H5T_decode(const unsigned char *buf);
H5_DLL herr_t H5T_debug(const H5T_t *dt, FILE * stream);
H5_DLL struct H5O_loc_t *H5T_oloc(H5T_t *dt);
H5_DLL H5G_name_t *H5T_nameof(H5T_t *dt);
diff --git a/src/H5Zprivate.h b/src/H5Zprivate.h
index c2d6f7e..c1528b3 100644
--- a/src/H5Zprivate.h
+++ b/src/H5Zprivate.h
@@ -103,6 +103,6 @@ H5_DLL herr_t H5Z_xform_destroy(H5Z_data_xform_t *data_xform_prop);
H5_DLL herr_t H5Z_xform_eval(H5Z_data_xform_t *data_xform_prop, void *array,
size_t array_size, const H5T_t *buf_type);
H5_DLL hbool_t H5Z_xform_noop(const H5Z_data_xform_t *data_xform_prop);
-H5_DLL char* H5Z_xform_extract_xform_str(const H5Z_data_xform_t *data_xform_prop);
+H5_DLL const char *H5Z_xform_extract_xform_str(const H5Z_data_xform_t *data_xform_prop);
#endif
diff --git a/src/H5Ztrans.c b/src/H5Ztrans.c
index 9fa3863..498db03 100644
--- a/src/H5Ztrans.c
+++ b/src/H5Ztrans.c
@@ -1732,11 +1732,9 @@ H5Z_xform_noop(const H5Z_data_xform_t *data_xform_prop)
*
*-------------------------------------------------------------------------
*/
-char *
+const char *
H5Z_xform_extract_xform_str(const H5Z_data_xform_t *data_xform_prop)
{
- char* ret_value;
-
FUNC_ENTER_NOAPI_NOINIT_NOERR
/* There should be no way that this can be NULL since the function
@@ -1744,8 +1742,6 @@ H5Z_xform_extract_xform_str(const H5Z_data_xform_t *data_xform_prop)
* pasing them */
assert(data_xform_prop);
- ret_value = data_xform_prop->xform_exp;
-
- FUNC_LEAVE_NOAPI(ret_value)
+ FUNC_LEAVE_NOAPI(data_xform_prop->xform_exp)
} /* H5Z_xform_extract_xform_str() */
diff --git a/src/H5err.txt b/src/H5err.txt
index b4cb28b..ab3277f 100644
--- a/src/H5err.txt
+++ b/src/H5err.txt
@@ -48,7 +48,7 @@
MAJOR, H5E_ARGS, Invalid arguments to routine
MAJOR, H5E_RESOURCE, Resource unavailable
MAJOR, H5E_INTERNAL, Internal error (too specific to document in detail)
-MAJOR, H5E_FILE, File accessability
+MAJOR, H5E_FILE, File accessibilty
MAJOR, H5E_IO, Low-level I/O
MAJOR, H5E_FUNC, Function entry/exit
MAJOR, H5E_ATOM, Object atom
@@ -81,7 +81,7 @@ MAJOR, H5E_NONE_MAJOR, No error
# Sections (for grouping minor errors)
SECTION, ARGS, Argument errors
SECTION, RESOURCE, Resource errors
-SECTION, FILEACC, File accessability errors
+SECTION, FILEACC, File accessibilty errors
SECTION, FILE, Generic low-level file I/O errors
SECTION, FUNC, Function entry/exit interface errors
SECTION, ATOM, Object atom related errors
@@ -121,7 +121,7 @@ MINOR, RESOURCE, H5E_CANTGC, Unable to garbage collect
MINOR, RESOURCE, H5E_CANTGETSIZE, Unable to compute size
MINOR, RESOURCE, H5E_OBJOPEN, Object is already open
-# File accessability errors
+# File accessibilty errors
MINOR, FILEACC, H5E_FILEEXISTS, File already exists
MINOR, FILEACC, H5E_FILEOPEN, File already open
MINOR, FILEACC, H5E_CANTCREATE, Unable to create file
diff --git a/src/H5public.h b/src/H5public.h
index 193aae1..88e514d 100644
--- a/src/H5public.h
+++ b/src/H5public.h
@@ -75,10 +75,10 @@ extern "C" {
/* Version numbers */
#define H5_VERS_MAJOR 1 /* For major interface/format changes */
#define H5_VERS_MINOR 9 /* For minor interface/format changes */
-#define H5_VERS_RELEASE 128 /* For tweaks, bug-fixes, or development */
+#define H5_VERS_RELEASE 132 /* For tweaks, bug-fixes, or development */
#define H5_VERS_SUBRELEASE "" /* For pre-releases like snap0 */
/* Empty string for real releases. */
-#define H5_VERS_INFO "HDF5 library version: 1.9.128" /* Full version string */
+#define H5_VERS_INFO "HDF5 library version: 1.9.132" /* Full version string */
#define H5check() H5check_version(H5_VERS_MAJOR,H5_VERS_MINOR, \
H5_VERS_RELEASE)
diff --git a/src/Makefile.am b/src/Makefile.am
index d42af98..2669bdd 100644
--- a/src/Makefile.am
+++ b/src/Makefile.am
@@ -86,7 +86,8 @@ libhdf5_la_SOURCES= H5.c H5checksum.c H5dbg.c H5system.c H5timer.c H5trace.c \
H5Osdspace.c H5Oshared.c H5Ostab.c \
H5Oshmesg.c H5Otest.c H5Ounknown.c \
H5P.c H5Pacpl.c H5Pdapl.c H5Pdcpl.c \
- H5Pdeprec.c H5Pdxpl.c H5Pfapl.c H5Pfcpl.c H5Pfmpl.c \
+ H5Pdeprec.c H5Pdxpl.c H5Pencdec.c \
+ H5Pfapl.c H5Pfcpl.c H5Pfmpl.c \
H5Pgcpl.c H5Pint.c \
H5Plapl.c H5Plcpl.c H5Pocpl.c H5Pocpypl.c H5Pstrcpl.c H5Ptest.c \
H5R.c H5Rdeprec.c \
diff --git a/src/Makefile.in b/src/Makefile.in
index a876365..c673bcf 100644
--- a/src/Makefile.in
+++ b/src/Makefile.in
@@ -157,20 +157,21 @@ am_libhdf5_la_OBJECTS = H5.lo H5checksum.lo H5dbg.lo H5system.lo \
H5Omessage.lo H5Omtime.lo H5Oname.lo H5Onull.lo H5Opline.lo \
H5Orefcount.lo H5Osdspace.lo H5Oshared.lo H5Ostab.lo \
H5Oshmesg.lo H5Otest.lo H5Ounknown.lo H5P.lo H5Pacpl.lo \
- H5Pdapl.lo H5Pdcpl.lo H5Pdeprec.lo H5Pdxpl.lo H5Pfapl.lo \
- H5Pfcpl.lo H5Pfmpl.lo H5Pgcpl.lo H5Pint.lo H5Plapl.lo \
- H5Plcpl.lo H5Pocpl.lo H5Pocpypl.lo H5Pstrcpl.lo H5Ptest.lo \
- H5R.lo H5Rdeprec.lo H5RC.lo H5RS.lo H5S.lo H5Sall.lo H5Sdbg.lo \
- H5Shyper.lo H5Smpio.lo H5Snone.lo H5Spoint.lo H5Sselect.lo \
- H5Stest.lo H5SL.lo H5SM.lo H5SMbtree2.lo H5SMcache.lo \
- H5SMmessage.lo H5SMtest.lo H5ST.lo H5T.lo H5Tarray.lo \
- H5Tbit.lo H5Tcommit.lo H5Tcompound.lo H5Tconv.lo H5Tcset.lo \
- H5Tdbg.lo H5Tdeprec.lo H5Tenum.lo H5Tfields.lo H5Tfixed.lo \
- H5Tfloat.lo H5Tinit.lo H5Tnative.lo H5Toffset.lo H5Toh.lo \
- H5Topaque.lo H5Torder.lo H5Tpad.lo H5Tprecis.lo H5Tstrpad.lo \
- H5Tvisit.lo H5Tvlen.lo H5TS.lo H5V.lo H5WB.lo H5Z.lo \
- H5Zdeflate.lo H5Zfletcher32.lo H5Znbit.lo H5Zshuffle.lo \
- H5Zszip.lo H5Zscaleoffset.lo H5Ztrans.lo
+ H5Pdapl.lo H5Pdcpl.lo H5Pdeprec.lo H5Pdxpl.lo H5Pencdec.lo \
+ H5Pfapl.lo H5Pfcpl.lo H5Pfmpl.lo H5Pgcpl.lo H5Pint.lo \
+ H5Plapl.lo H5Plcpl.lo H5Pocpl.lo H5Pocpypl.lo H5Pstrcpl.lo \
+ H5Ptest.lo H5R.lo H5Rdeprec.lo H5RC.lo H5RS.lo H5S.lo \
+ H5Sall.lo H5Sdbg.lo H5Shyper.lo H5Smpio.lo H5Snone.lo \
+ H5Spoint.lo H5Sselect.lo H5Stest.lo H5SL.lo H5SM.lo \
+ H5SMbtree2.lo H5SMcache.lo H5SMmessage.lo H5SMtest.lo H5ST.lo \
+ H5T.lo H5Tarray.lo H5Tbit.lo H5Tcommit.lo H5Tcompound.lo \
+ H5Tconv.lo H5Tcset.lo H5Tdbg.lo H5Tdeprec.lo H5Tenum.lo \
+ H5Tfields.lo H5Tfixed.lo H5Tfloat.lo H5Tinit.lo H5Tnative.lo \
+ H5Toffset.lo H5Toh.lo H5Topaque.lo H5Torder.lo H5Tpad.lo \
+ H5Tprecis.lo H5Tstrpad.lo H5Tvisit.lo H5Tvlen.lo H5TS.lo \
+ H5V.lo H5WB.lo H5Z.lo H5Zdeflate.lo H5Zfletcher32.lo \
+ H5Znbit.lo H5Zshuffle.lo H5Zszip.lo H5Zscaleoffset.lo \
+ H5Ztrans.lo
libhdf5_la_OBJECTS = $(am_libhdf5_la_OBJECTS)
AM_V_lt = $(am__v_lt_@AM_V@)
am__v_lt_ = $(am__v_lt_@AM_DEFAULT_V@)
@@ -521,7 +522,7 @@ CHECK_CLEANFILES = *.chkexe *.chklog *.clog
# Add libtool shared library version numbers to the HDF5 library
# See libtool versioning documentation online.
LT_VERS_INTERFACE = 6
-LT_VERS_REVISION = 118
+LT_VERS_REVISION = 122
LT_VERS_AGE = 0
H5detect_CFLAGS = -g $(AM_CFLAGS)
@@ -582,7 +583,8 @@ libhdf5_la_SOURCES = H5.c H5checksum.c H5dbg.c H5system.c H5timer.c H5trace.c \
H5Osdspace.c H5Oshared.c H5Ostab.c \
H5Oshmesg.c H5Otest.c H5Ounknown.c \
H5P.c H5Pacpl.c H5Pdapl.c H5Pdcpl.c \
- H5Pdeprec.c H5Pdxpl.c H5Pfapl.c H5Pfcpl.c H5Pfmpl.c \
+ H5Pdeprec.c H5Pdxpl.c H5Pencdec.c \
+ H5Pfapl.c H5Pfcpl.c H5Pfmpl.c \
H5Pgcpl.c H5Pint.c \
H5Plapl.c H5Plcpl.c H5Pocpl.c H5Pocpypl.c H5Pstrcpl.c H5Ptest.c \
H5R.c H5Rdeprec.c \
@@ -938,6 +940,7 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pdcpl.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pdeprec.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pdxpl.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pencdec.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pfapl.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pfcpl.Plo@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/H5Pfmpl.Plo@am__quote@
diff --git a/test/CMakeLists.txt b/test/CMakeLists.txt
index a6ff10b..5551fa3 100644
--- a/test/CMakeLists.txt
+++ b/test/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_TEST)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Define Sources
#-----------------------------------------------------------------------------
SET (TEST_LIB_SRCS
@@ -73,6 +78,47 @@ FOREACH (ref_file ${HDF5_REFERENCE_FILES})
ENDFOREACH (ref_file ${HDF5_REFERENCE_FILES})
# --------------------------------------------------------------------
+# Copy test files from test/testfiles/plist_files dir to test dir
+# --------------------------------------------------------------------
+SET (HDF5_REFERENCE_PLIST_FILES
+ acpl_be
+ acpl_le
+ dapl_be
+ dapl_le
+ dcpl_be
+ dcpl_le
+ dxpl_be
+ dxpl_le
+ fapl_be
+ fapl_le
+ fcpl_be
+ fcpl_le
+ gcpl_be
+ gcpl_le
+ lapl_be
+ lapl_le
+ lcpl_be
+ lcpl_le
+ ocpl_be
+ ocpl_le
+ ocpypl_be
+ ocpypl_le
+ strcpl_be
+ strcpl_le
+)
+
+FOREACH (plistfile ${HDF5_REFERENCE_PLIST_FILES})
+ SET (dest "${PROJECT_BINARY_DIR}/${plistfile}")
+ #MESSAGE (STATUS " Copying ${plistfile} to ${dset}")
+ ADD_CUSTOM_COMMAND (
+ TARGET ${HDF5_TEST_LIB_TARGET}
+ POST_BUILD
+ COMMAND ${CMAKE_COMMAND}
+ ARGS -E copy_if_different ${HDF5_TEST_SOURCE_DIR}/testfiles/plist_files/${plistfile} ${dest}
+ )
+ENDFOREACH (plistfile ${HDF5_REFERENCE_PLIST_FILES})
+
+# --------------------------------------------------------------------
#-- Copy all the HDF5 files from the test directory into the source directory
# --------------------------------------------------------------------
SET (HDF5_REFERENCE_TEST_FILES
@@ -309,6 +355,8 @@ SET (H5_TESTS
testmeta
#links_env
file_image
+ enc_dec_plist
+ enc_dec_plist_with_endianess
)
FOREACH (test ${H5_TESTS})
@@ -633,6 +681,7 @@ IF (HDF5_BUILD_GENERATORS AND NOT BUILD_SHARED_LIBS)
gen_specmetaread
gen_sizes_lheap
gen_file_image
+ gen_plist
)
FOREACH (gen ${H5_GENERATORS})
diff --git a/test/Makefile.am b/test/Makefile.am
index 0197e99..64088b8 100644
--- a/test/Makefile.am
+++ b/test/Makefile.am
@@ -39,8 +39,8 @@ SCRIPT_DEPEND = error_test$(EXEEXT) err_compat$(EXEEXT) links_env$(EXEEXT)
TEST_PROG= testhdf5 lheap ohdr stab gheap cache cache_api cache_tagging \
pool accum hyperslab istore bittests dt_arith \
dtypes dsets cmpd_dset filter_fail extend external efc objcopy links unlink \
- big mtime fillval mount flush1 flush2 app_ref enum \
- set_extent ttsafe dectris_tst \
+ big mtime fillval mount flush1 flush2 app_ref enum dectris_tst \
+ set_extent ttsafe enc_dec_plist enc_dec_plist_with_endianess\
getname vfd ntypes dangle dtransform reserved cross_read \
freespace mf farray earray btree2 fheap file_image
@@ -63,7 +63,7 @@ check_PROGRAMS=$(TEST_PROG) error_test err_compat tcheck_version testmeta links_
BUILD_ALL_PROGS=gen_bad_ohdr gen_bogus gen_cross gen_deflate gen_filters gen_new_array \
gen_new_fill gen_new_group gen_new_mtime gen_new_super gen_noencoder \
gen_nullspace gen_udlinks space_overflow gen_filespace gen_specmetaread \
- gen_sizes_lheap gen_file_image
+ gen_sizes_lheap gen_file_image gen_plist
if BUILD_ALL_CONDITIONAL
noinst_PROGRAMS=$(BUILD_ALL_PROGS)
diff --git a/test/Makefile.in b/test/Makefile.in
index 30bfc59..09b4f93 100644
--- a/test/Makefile.in
+++ b/test/Makefile.in
@@ -107,8 +107,9 @@ am__EXEEXT_1 = testhdf5$(EXEEXT) lheap$(EXEEXT) ohdr$(EXEEXT) \
external$(EXEEXT) efc$(EXEEXT) objcopy$(EXEEXT) links$(EXEEXT) \
unlink$(EXEEXT) big$(EXEEXT) mtime$(EXEEXT) fillval$(EXEEXT) \
mount$(EXEEXT) flush1$(EXEEXT) flush2$(EXEEXT) \
- app_ref$(EXEEXT) enum$(EXEEXT) set_extent$(EXEEXT) \
- ttsafe$(EXEEXT) dectris_tst$(EXEEXT) getname$(EXEEXT) \
+ app_ref$(EXEEXT) enum$(EXEEXT) dectris_tst$(EXEEXT) \
+ set_extent$(EXEEXT) ttsafe$(EXEEXT) enc_dec_plist$(EXEEXT) \
+ enc_dec_plist_with_endianess$(EXEEXT) getname$(EXEEXT) \
vfd$(EXEEXT) ntypes$(EXEEXT) dangle$(EXEEXT) \
dtransform$(EXEEXT) reserved$(EXEEXT) cross_read$(EXEEXT) \
freespace$(EXEEXT) mf$(EXEEXT) farray$(EXEEXT) earray$(EXEEXT) \
@@ -121,7 +122,7 @@ am__EXEEXT_2 = gen_bad_ohdr$(EXEEXT) gen_bogus$(EXEEXT) \
gen_nullspace$(EXEEXT) gen_udlinks$(EXEEXT) \
space_overflow$(EXEEXT) gen_filespace$(EXEEXT) \
gen_specmetaread$(EXEEXT) gen_sizes_lheap$(EXEEXT) \
- gen_file_image$(EXEEXT)
+ gen_file_image$(EXEEXT) gen_plist$(EXEEXT)
PROGRAMS = $(noinst_PROGRAMS)
accum_SOURCES = accum.c
accum_OBJECTS = accum.$(OBJEXT)
@@ -195,6 +196,15 @@ efc_SOURCES = efc.c
efc_OBJECTS = efc.$(OBJEXT)
efc_LDADD = $(LDADD)
efc_DEPENDENCIES = libh5test.la $(LIBHDF5)
+enc_dec_plist_SOURCES = enc_dec_plist.c
+enc_dec_plist_OBJECTS = enc_dec_plist.$(OBJEXT)
+enc_dec_plist_LDADD = $(LDADD)
+enc_dec_plist_DEPENDENCIES = libh5test.la $(LIBHDF5)
+enc_dec_plist_with_endianess_SOURCES = enc_dec_plist_with_endianess.c
+enc_dec_plist_with_endianess_OBJECTS = \
+ enc_dec_plist_with_endianess.$(OBJEXT)
+enc_dec_plist_with_endianess_LDADD = $(LDADD)
+enc_dec_plist_with_endianess_DEPENDENCIES = libh5test.la $(LIBHDF5)
enum_SOURCES = enum.c
enum_OBJECTS = enum.$(OBJEXT)
enum_LDADD = $(LDADD)
@@ -303,6 +313,10 @@ gen_nullspace_SOURCES = gen_nullspace.c
gen_nullspace_OBJECTS = gen_nullspace.$(OBJEXT)
gen_nullspace_LDADD = $(LDADD)
gen_nullspace_DEPENDENCIES = libh5test.la $(LIBHDF5)
+gen_plist_SOURCES = gen_plist.c
+gen_plist_OBJECTS = gen_plist.$(OBJEXT)
+gen_plist_LDADD = $(LDADD)
+gen_plist_DEPENDENCIES = libh5test.la $(LIBHDF5)
gen_sizes_lheap_SOURCES = gen_sizes_lheap.c
gen_sizes_lheap_OBJECTS = gen_sizes_lheap.$(OBJEXT)
gen_sizes_lheap_LDADD = $(LDADD)
@@ -458,13 +472,14 @@ am__v_CCLD_1 =
SOURCES = $(libh5test_la_SOURCES) accum.c app_ref.c big.c bittests.c \
btree2.c cache.c cache_api.c cache_tagging.c cmpd_dset.c \
cross_read.c dangle.c dectris_tst.c dsets.c dt_arith.c \
- dtransform.c dtypes.c earray.c efc.c enum.c err_compat.c \
+ dtransform.c dtypes.c earray.c efc.c enc_dec_plist.c \
+ enc_dec_plist_with_endianess.c enum.c err_compat.c \
error_test.c extend.c external.c farray.c fheap.c file_image.c \
fillval.c filter_fail.c flush1.c flush2.c freespace.c \
gen_bad_ohdr.c gen_bogus.c gen_cross.c gen_deflate.c \
gen_file_image.c gen_filespace.c gen_filters.c gen_new_array.c \
gen_new_fill.c gen_new_group.c gen_new_mtime.c gen_new_super.c \
- gen_noencoder.c gen_nullspace.c gen_sizes_lheap.c \
+ gen_noencoder.c gen_nullspace.c gen_plist.c gen_sizes_lheap.c \
gen_specmetaread.c gen_udlinks.c getname.c gheap.c hyperslab.c \
istore.c lheap.c links.c links_env.c mf.c mount.c mtime.c \
ntypes.c objcopy.c ohdr.c pool.c reserved.c set_extent.c \
@@ -473,13 +488,14 @@ SOURCES = $(libh5test_la_SOURCES) accum.c app_ref.c big.c bittests.c \
DIST_SOURCES = $(libh5test_la_SOURCES) accum.c app_ref.c big.c \
bittests.c btree2.c cache.c cache_api.c cache_tagging.c \
cmpd_dset.c cross_read.c dangle.c dectris_tst.c dsets.c \
- dt_arith.c dtransform.c dtypes.c earray.c efc.c enum.c \
+ dt_arith.c dtransform.c dtypes.c earray.c efc.c \
+ enc_dec_plist.c enc_dec_plist_with_endianess.c enum.c \
err_compat.c error_test.c extend.c external.c farray.c fheap.c \
file_image.c fillval.c filter_fail.c flush1.c flush2.c \
freespace.c gen_bad_ohdr.c gen_bogus.c gen_cross.c \
gen_deflate.c gen_file_image.c gen_filespace.c gen_filters.c \
gen_new_array.c gen_new_fill.c gen_new_group.c gen_new_mtime.c \
- gen_new_super.c gen_noencoder.c gen_nullspace.c \
+ gen_new_super.c gen_noencoder.c gen_nullspace.c gen_plist.c \
gen_sizes_lheap.c gen_specmetaread.c gen_udlinks.c getname.c \
gheap.c hyperslab.c istore.c lheap.c links.c links_env.c mf.c \
mount.c mtime.c ntypes.c objcopy.c ohdr.c pool.c reserved.c \
@@ -825,8 +841,8 @@ SCRIPT_DEPEND = error_test$(EXEEXT) err_compat$(EXEEXT) links_env$(EXEEXT)
TEST_PROG = testhdf5 lheap ohdr stab gheap cache cache_api cache_tagging \
pool accum hyperslab istore bittests dt_arith \
dtypes dsets cmpd_dset filter_fail extend external efc objcopy links unlink \
- big mtime fillval mount flush1 flush2 app_ref enum \
- set_extent ttsafe dectris_tst \
+ big mtime fillval mount flush1 flush2 app_ref enum dectris_tst \
+ set_extent ttsafe enc_dec_plist enc_dec_plist_with_endianess\
getname vfd ntypes dangle dtransform reserved cross_read \
freespace mf farray earray btree2 fheap file_image
@@ -841,7 +857,7 @@ TEST_PROG = testhdf5 lheap ohdr stab gheap cache cache_api cache_tagging \
BUILD_ALL_PROGS = gen_bad_ohdr gen_bogus gen_cross gen_deflate gen_filters gen_new_array \
gen_new_fill gen_new_group gen_new_mtime gen_new_super gen_noencoder \
gen_nullspace gen_udlinks space_overflow gen_filespace gen_specmetaread \
- gen_sizes_lheap gen_file_image
+ gen_sizes_lheap gen_file_image gen_plist
# The libh5test library provides common support code for the tests.
@@ -1017,6 +1033,12 @@ earray$(EXEEXT): $(earray_OBJECTS) $(earray_DEPENDENCIES) $(EXTRA_earray_DEPENDE
efc$(EXEEXT): $(efc_OBJECTS) $(efc_DEPENDENCIES) $(EXTRA_efc_DEPENDENCIES)
@rm -f efc$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(efc_OBJECTS) $(efc_LDADD) $(LIBS)
+enc_dec_plist$(EXEEXT): $(enc_dec_plist_OBJECTS) $(enc_dec_plist_DEPENDENCIES) $(EXTRA_enc_dec_plist_DEPENDENCIES)
+ @rm -f enc_dec_plist$(EXEEXT)
+ $(AM_V_CCLD)$(LINK) $(enc_dec_plist_OBJECTS) $(enc_dec_plist_LDADD) $(LIBS)
+enc_dec_plist_with_endianess$(EXEEXT): $(enc_dec_plist_with_endianess_OBJECTS) $(enc_dec_plist_with_endianess_DEPENDENCIES) $(EXTRA_enc_dec_plist_with_endianess_DEPENDENCIES)
+ @rm -f enc_dec_plist_with_endianess$(EXEEXT)
+ $(AM_V_CCLD)$(LINK) $(enc_dec_plist_with_endianess_OBJECTS) $(enc_dec_plist_with_endianess_LDADD) $(LIBS)
enum$(EXEEXT): $(enum_OBJECTS) $(enum_DEPENDENCIES) $(EXTRA_enum_DEPENDENCIES)
@rm -f enum$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(enum_OBJECTS) $(enum_LDADD) $(LIBS)
@@ -1098,6 +1120,9 @@ gen_noencoder$(EXEEXT): $(gen_noencoder_OBJECTS) $(gen_noencoder_DEPENDENCIES) $
gen_nullspace$(EXEEXT): $(gen_nullspace_OBJECTS) $(gen_nullspace_DEPENDENCIES) $(EXTRA_gen_nullspace_DEPENDENCIES)
@rm -f gen_nullspace$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(gen_nullspace_OBJECTS) $(gen_nullspace_LDADD) $(LIBS)
+gen_plist$(EXEEXT): $(gen_plist_OBJECTS) $(gen_plist_DEPENDENCIES) $(EXTRA_gen_plist_DEPENDENCIES)
+ @rm -f gen_plist$(EXEEXT)
+ $(AM_V_CCLD)$(LINK) $(gen_plist_OBJECTS) $(gen_plist_LDADD) $(LIBS)
gen_sizes_lheap$(EXEEXT): $(gen_sizes_lheap_OBJECTS) $(gen_sizes_lheap_DEPENDENCIES) $(EXTRA_gen_sizes_lheap_DEPENDENCIES)
@rm -f gen_sizes_lheap$(EXEEXT)
$(AM_V_CCLD)$(LINK) $(gen_sizes_lheap_OBJECTS) $(gen_sizes_lheap_LDADD) $(LIBS)
@@ -1205,6 +1230,8 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/dtypes.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/earray.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/efc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/enc_dec_plist.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/enc_dec_plist_with_endianess.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/enum.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/err_compat.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/error_test.Po@am__quote@
@@ -1232,6 +1259,7 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_new_super.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_noencoder.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_nullspace.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_plist.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_sizes_lheap.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_specmetaread.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_udlinks.Po@am__quote@
diff --git a/test/enc_dec_plist.c b/test/enc_dec_plist.c
new file mode 100644
index 0000000..26bfb84
--- /dev/null
+++ b/test/enc_dec_plist.c
@@ -0,0 +1,480 @@
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+/*
+ * Serial tests for encoding/decoding plists
+ */
+
+#include "h5test.h"
+#include "H5Pprivate.h"
+
+static int
+test_encode_decode(hid_t orig_pl)
+{
+ hid_t pl = (-1); /* Decoded property list */
+ void *temp_buf = NULL; /* Pointer to encoding buffer */
+ size_t temp_size = 0; /* Size of encoding buffer */
+
+ /* first call to encode returns only the size of the buffer needed */
+ if(H5Pencode(orig_pl, NULL, &temp_size) < 0)
+ STACK_ERROR
+
+ if(NULL == (temp_buf = (void *)HDmalloc(temp_size)))
+ TEST_ERROR
+
+ if(H5Pencode(orig_pl, temp_buf, &temp_size) < 0)
+ STACK_ERROR
+
+ if((pl = H5Pdecode(temp_buf)) < 0)
+ STACK_ERROR
+
+ if(!H5Pequal(orig_pl, pl))
+ PUTS_ERROR("encoding-decoding cycle failed\n")
+
+ if((H5Pclose(pl)) < 0)
+ STACK_ERROR
+
+ HDfree(temp_buf);
+
+ /* Success */
+ return(0);
+
+error:
+ if(pl > 0)
+ H5Pclose(pl);
+ if(temp_buf)
+ HDfree(temp_buf);
+
+ return(-1);
+} /* end test_encode_decode() */
+
+int
+main(void)
+{
+ hid_t dcpl; /* dataset create prop. list */
+ hid_t dapl; /* dataset access prop. list */
+ hid_t dxpl; /* dataset xfer prop. list */
+ hid_t gcpl; /* group create prop. list */
+ hid_t ocpypl; /* object copy prop. list */
+ hid_t ocpl; /* object create prop. list */
+ hid_t lcpl; /* link create prop. list */
+ hid_t lapl; /* link access prop. list */
+ hid_t fapl; /* file access prop. list */
+ hid_t fcpl; /* file create prop. list */
+ hid_t strcpl; /* string create prop. list */
+ hid_t acpl; /* attribute create prop. list */
+
+ hsize_t chunk_size[2] = {16384, 4}; /* chunk size */
+ double fill = 2.7f; /* Fill value */
+ hsize_t max_size[1]; /* data space maximum size */
+ size_t nslots = 521 * 2;
+ size_t nbytes = 1048576 * 10;
+ double w0 = 0.5f;
+ unsigned max_compact;
+ unsigned min_dense;
+ const char* c_to_f = "x+32";
+ H5AC_cache_config_t my_cache_config = {
+ H5AC__CURR_CACHE_CONFIG_VERSION,
+ TRUE,
+ FALSE,
+ FALSE,
+ "temp",
+ TRUE,
+ FALSE,
+ ( 2 * 2048 * 1024),
+ 0.3f,
+ (64 * 1024 * 1024),
+ (4 * 1024 * 1024),
+ 60000,
+ H5C_incr__threshold,
+ 0.8f,
+ 3.0f,
+ TRUE,
+ (8 * 1024 * 1024),
+ H5C_flash_incr__add_space,
+ 2.0f,
+ 0.25f,
+ H5C_decr__age_out_with_threshold,
+ 0.997f,
+ 0.8f,
+ TRUE,
+ (3 * 1024 * 1024),
+ 3,
+ FALSE,
+ 0.2f,
+ (256 * 2048),
+ H5AC__DEFAULT_METADATA_WRITE_STRATEGY};
+
+ if(VERBOSE_MED)
+ printf("Encode/Decode DCPLs\n");
+
+ /******* ENCODE/DECODE DCPLS *****/
+ TESTING("DCPL Encoding/Decoding");
+ if((dcpl = H5Pcreate(H5P_DATASET_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_chunk(dcpl, 2, &chunk_size)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_alloc_time(dcpl, H5D_ALLOC_TIME_LATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_fill_value(dcpl, H5T_NATIVE_DOUBLE, &fill)) < 0)
+ FAIL_STACK_ERROR
+
+ max_size[0] = 100;
+ if((H5Pset_external(dcpl, "ext1.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_external(dcpl, "ext2.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_external(dcpl, "ext3.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_external(dcpl, "ext4.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(dcpl) < 0)
+ FAIL_PUTS_ERROR("DCPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(dcpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE DAPLS *****/
+ TESTING("DAPL Encoding/Decoding");
+ if((dapl = H5Pcreate(H5P_DATASET_ACCESS)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_chunk_cache(dapl, nslots, nbytes, w0)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(dapl) < 0)
+ FAIL_PUTS_ERROR("DAPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(dapl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE OCPLS *****/
+ TESTING("OCPL Encoding/Decoding");
+ if((ocpl = H5Pcreate(H5P_OBJECT_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_attr_creation_order(ocpl, (H5P_CRT_ORDER_TRACKED | H5P_CRT_ORDER_INDEXED))) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_attr_phase_change (ocpl, 110, 105)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_filter (ocpl, H5Z_FILTER_FLETCHER32, 0, (size_t)0, NULL)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(ocpl) < 0)
+ FAIL_PUTS_ERROR("OCPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(ocpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE DXPLS *****/
+ TESTING("DXPL Encoding/Decoding");
+ if((dxpl = H5Pcreate(H5P_DATASET_XFER)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_btree_ratios(dxpl, 0.2f, 0.6f, 0.2f)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_hyper_vector_size(dxpl, 5)) < 0)
+ FAIL_STACK_ERROR
+#ifdef H5_HAVE_PARALLEL
+ if((H5Pset_dxpl_mpio(dxpl, H5FD_MPIO_COLLECTIVE)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_dxpl_mpio_collective_opt(dxpl, H5FD_MPIO_INDIVIDUAL_IO)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_dxpl_mpio_chunk_opt(dxpl, H5FD_MPIO_CHUNK_MULTI_IO)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_dxpl_mpio_chunk_opt_ratio(dxpl, 30)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_dxpl_mpio_chunk_opt_num(dxpl, 40)) < 0)
+ FAIL_STACK_ERROR
+#endif/* H5_HAVE_PARALLEL */
+ if((H5Pset_edc_check(dxpl, H5Z_DISABLE_EDC)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_data_transform(dxpl, c_to_f)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(dxpl) < 0)
+ FAIL_PUTS_ERROR("DXPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(dxpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE GCPLS *****/
+ TESTING("GCPL Encoding/Decoding");
+ if((gcpl = H5Pcreate(H5P_GROUP_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_local_heap_size_hint(gcpl, 256)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_link_phase_change(gcpl, 2, 2)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Query the group creation properties */
+ if((H5Pget_link_phase_change(gcpl, &max_compact, &min_dense)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_est_link_info(gcpl, 3, 9)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_link_creation_order(gcpl, (H5P_CRT_ORDER_TRACKED | H5P_CRT_ORDER_INDEXED))) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(gcpl) < 0)
+ FAIL_PUTS_ERROR("GCPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(gcpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE LCPLS *****/
+ TESTING("LCPL Encoding/Decoding");
+ if((lcpl = H5Pcreate(H5P_LINK_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_create_intermediate_group(lcpl, TRUE)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(lcpl) < 0)
+ FAIL_PUTS_ERROR("LCPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(lcpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE LAPLS *****/
+ TESTING("LAPL Encoding/Decoding");
+ if((lapl = H5Pcreate(H5P_LINK_ACCESS)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_nlinks(lapl, (size_t)134)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_elink_acc_flags(lapl, H5F_ACC_RDONLY)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_elink_prefix(lapl, "/tmpasodiasod")) < 0)
+ FAIL_STACK_ERROR
+
+ /* Create FAPL for the elink FAPL */
+ if((fapl = H5Pcreate(H5P_FILE_ACCESS)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_alignment(fapl, 2, 1024)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_elink_fapl(lapl, fapl)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Close the elink's FAPL */
+ if((H5Pclose(fapl)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(lapl) < 0)
+ FAIL_PUTS_ERROR("LAPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(lapl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE OCPYPLS *****/
+ TESTING("OCPYPL Encoding/Decoding");
+ if((ocpypl = H5Pcreate(H5P_OBJECT_COPY)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_copy_object(ocpypl, H5O_COPY_EXPAND_EXT_LINK_FLAG)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Padd_merge_committed_dtype_path(ocpypl, "foo")) < 0)
+ FAIL_STACK_ERROR
+ if((H5Padd_merge_committed_dtype_path(ocpypl, "bar")) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(ocpypl) < 0)
+ FAIL_PUTS_ERROR("OCPYPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(ocpypl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE FAPLS *****/
+ TESTING("FAPL Encoding/Decoding");
+ if((fapl = H5Pcreate(H5P_FILE_ACCESS)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_family_offset(fapl, 1024)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_meta_block_size(fapl, 2098452)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_sieve_buf_size(fapl, 1048576)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_alignment(fapl, 2, 1024)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_cache(fapl, 1024, 128, 10485760, 0.3f)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_elink_file_cache_size(fapl, 10485760)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_gc_references(fapl, 1)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_small_data_block_size(fapl, 2048)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_libver_bounds(fapl, H5F_LIBVER_LATEST, H5F_LIBVER_LATEST)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_fclose_degree(fapl, H5F_CLOSE_WEAK)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_multi_type(fapl, H5FD_MEM_GHEAP)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pset_mdc_config(fapl, &my_cache_config)) < 0)
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(fapl) < 0)
+ FAIL_PUTS_ERROR("FAPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(fapl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE FCPLS *****/
+ TESTING("FCPL Encoding/Decoding");
+
+ if((fcpl = H5Pcreate(H5P_FILE_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_userblock(fcpl, 1024) < 0))
+ FAIL_STACK_ERROR
+
+ if((H5Pset_istore_k(fcpl, 3) < 0))
+ FAIL_STACK_ERROR
+
+ if((H5Pset_sym_k(fcpl, 4, 5) < 0))
+ FAIL_STACK_ERROR
+
+ if((H5Pset_shared_mesg_nindexes(fcpl, 8) < 0))
+ FAIL_STACK_ERROR
+
+ if((H5Pset_shared_mesg_index(fcpl, 1, H5O_SHMESG_SDSPACE_FLAG, 32) < 0))
+ FAIL_STACK_ERROR
+
+ if((H5Pset_shared_mesg_phase_change(fcpl, 60, 20) < 0))
+ FAIL_STACK_ERROR
+
+ if((H5Pset_sizes(fcpl, 8, 4) < 0))
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(fcpl) < 0)
+ FAIL_PUTS_ERROR("FCPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(fcpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE STRCPLS *****/
+ TESTING("STRCPL Encoding/Decoding");
+
+ if((strcpl = H5Pcreate(H5P_STRING_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_char_encoding(strcpl, H5T_CSET_UTF8) < 0))
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(strcpl) < 0)
+ FAIL_PUTS_ERROR("STRCPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(strcpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ /******* ENCODE/DECODE ACPLS *****/
+ TESTING("ACPL Encoding/Decoding");
+
+ if((acpl = H5Pcreate(H5P_ATTRIBUTE_CREATE)) < 0)
+ FAIL_STACK_ERROR
+
+ if((H5Pset_char_encoding(acpl, H5T_CSET_UTF8) < 0))
+ FAIL_STACK_ERROR
+
+ /* Test encoding & decoding property list */
+ if(test_encode_decode(acpl) < 0)
+ FAIL_PUTS_ERROR("ACPL encoding/decoding failed\n")
+
+ /* release resource */
+ if((H5Pclose(acpl)) < 0)
+ FAIL_STACK_ERROR
+
+ PASSED();
+
+
+ return 0;
+
+error:
+ printf("***** Plist Encode/Decode tests FAILED! *****\n");
+ return 1;
+}
+
diff --git a/test/enc_dec_plist_with_endianess.c b/test/enc_dec_plist_with_endianess.c
new file mode 100644
index 0000000..4469604
--- /dev/null
+++ b/test/enc_dec_plist_with_endianess.c
@@ -0,0 +1,160 @@
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+/*
+ * Serial tests for encoding/decoding plists
+ */
+
+#include "h5test.h"
+#include "H5srcdir.h"
+
+static int test_plists(const char *filename1, const char *filename2);
+
+int
+main(void)
+{
+ if(VERBOSE_MED)
+ printf("Encode/Decode property list endianess\n");
+
+ /******* ENCODE/DECODE DCPLS *****/
+ TESTING("DCPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/dcpl_le", "testfiles/plist_files/dcpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE DAPLS *****/
+ TESTING("DAPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/dapl_le", "testfiles/plist_files/dapl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE DXPLS *****/
+ TESTING("DXPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/dxpl_le", "testfiles/plist_files/dxpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE GCPLS *****/
+ TESTING("GCPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/gcpl_le", "testfiles/plist_files/gcpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE LCPLS *****/
+ TESTING("LCPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/lcpl_le", "testfiles/plist_files/lcpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE LAPLS *****/
+ TESTING("LAPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/lapl_le", "testfiles/plist_files/lapl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE OCPLS *****/
+ TESTING("OCPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/ocpl_le", "testfiles/plist_files/ocpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE OCPYPLS *****/
+ TESTING("OCPYPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/ocpypl_le", "testfiles/plist_files/ocpypl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE FCPLS *****/
+ TESTING("FCPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/fcpl_le", "testfiles/plist_files/fcpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE FAPLS *****/
+ TESTING("FAPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/fapl_le", "testfiles/plist_files/fapl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE STRCPLS *****/
+ TESTING("STRCPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/strcpl_le", "testfiles/plist_files/strcpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ /******* ENCODE/DECODE ACPLS *****/
+ TESTING("ACPL Encoding/Decoding");
+ if(test_plists("testfiles/plist_files/acpl_le", "testfiles/plist_files/acpl_be") < 0)
+ FAIL_STACK_ERROR
+ PASSED();
+
+ return 0;
+
+error:
+ return 1;
+}
+
+static int
+test_plists(const char *filename1, const char *filename2)
+{
+ int fd_le, fd_be;
+ size_t size_le = 0, size_be = 0;
+ void *buf_le = NULL, *buf_be = NULL;
+ hid_t plist_le, plist_be; /* dataset create prop. list */
+ const char *testfile;
+
+ testfile = H5_get_srcdir_filename(filename1);
+ if((fd_le = HDopen(testfile, O_RDONLY, 0666)) < 0)
+ TEST_ERROR
+ size_le = HDlseek(fd_le, (HDoff_t)0, SEEK_END);
+ HDlseek(fd_le, (HDoff_t)0, SEEK_SET);
+ buf_le = (void *)HDmalloc(size_le);
+ if(HDread(fd_le, buf_le, size_le) < 0)
+ TEST_ERROR
+ HDclose(fd_le);
+
+ testfile = H5_get_srcdir_filename(filename2);
+ if((fd_be = HDopen(testfile, O_RDONLY, 0666)) < 0)
+ TEST_ERROR
+ size_be = HDlseek(fd_be, (HDoff_t)0, SEEK_END);
+ HDlseek(fd_be, (HDoff_t)0, SEEK_SET);
+ buf_be = (void *)HDmalloc(size_be);
+ if(HDread(fd_be, buf_be, size_be) < 0)
+ TEST_ERROR
+ HDclose(fd_be);
+
+ if((plist_le = H5Pdecode(buf_le)) < 0)
+ FAIL_STACK_ERROR
+ if((plist_be = H5Pdecode(buf_be)) < 0)
+ FAIL_STACK_ERROR
+
+ if(!H5Pequal(plist_le, plist_be))
+ FAIL_PUTS_ERROR("PLIST encoding/decoding comparison failed\n")
+
+ if((H5Pclose(plist_le)) < 0)
+ FAIL_STACK_ERROR
+ if((H5Pclose(plist_be)) < 0)
+ FAIL_STACK_ERROR
+
+ HDfree(buf_le);
+ HDfree(buf_be);
+
+ return 1;
+
+error:
+ printf("***** Plist Encode/Decode tests FAILED! *****\n");
+ return -1;
+}
+
diff --git a/test/enum.c b/test/enum.c
index 3684102..29b702d 100644
--- a/test/enum.c
+++ b/test/enum.c
@@ -109,9 +109,9 @@ test_named(hid_t file)
/*-------------------------------------------------------------------------
- * Function: test_noconv
+ * Function: test_conv
*
- * Purpose: Tests creation of datasets when no conversion is present.
+ * Purpose: Tests writing and read data
*
* Return: Success: 0
*
@@ -119,24 +119,32 @@ test_named(hid_t file)
*
* Programmer: Robb Matzke
* Monday, January 4, 1999
+ *
+ * Raymond Lu
+ * 12 October 2012
+ * I added tests for enum-integer and enum-float conversions
*-------------------------------------------------------------------------
*/
static int
-test_noconv(hid_t file)
+test_conv(hid_t file)
{
hid_t cwg=-1, type=-1, space=-1, dset=-1;
c_e1 val;
+ /* Some values are out of range for testing. The library should accept them */
static c_e1 data1[]={E1_RED, E1_GREEN, E1_BLUE, E1_GREEN, E1_WHITE,
E1_WHITE, E1_BLACK, E1_GREEN, E1_BLUE, E1_RED,
E1_RED, E1_BLUE, E1_GREEN, E1_BLACK, E1_WHITE,
- E1_RED, E1_WHITE, E1_GREEN, E1_GREEN, E1_BLUE};
+ E1_RED, E1_WHITE, (c_e1)0, (c_e1)-1, (c_e1)-2};
c_e1 data2[NELMTS(data1)];
+ short data_short[NELMTS(data1)];
+ int data_int[NELMTS(data1)];
+ double data_double[NELMTS(data1)];
hsize_t ds_size[1]={NELMTS(data1)};
size_t i;
- TESTING("no-conversion datasets");
+ TESTING("enumeration conversions");
- if((cwg = H5Gcreate2(file, "test_noconv", H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT)) < 0) FAIL_STACK_ERROR
+ if((cwg = H5Gcreate2(file, "test_conv", H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT)) < 0) FAIL_STACK_ERROR
if((type = H5Tcreate(H5T_ENUM, sizeof(c_e1))) < 0) FAIL_STACK_ERROR
if(H5Tenum_insert(type, "RED", CPTR(val, E1_RED )) < 0) FAIL_STACK_ERROR
@@ -146,20 +154,96 @@ test_noconv(hid_t file)
if(H5Tenum_insert(type, "BLACK", CPTR(val, E1_BLACK)) < 0) FAIL_STACK_ERROR
if((space = H5Screate_simple(1, ds_size, NULL)) < 0) FAIL_STACK_ERROR
- if((dset = H5Dcreate2(cwg, "color_table", type, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT)) < 0) FAIL_STACK_ERROR
+
+ /***************************************
+ * Dataset of enumeration type
+ ***************************************/
+ /* Create a dataset of enum type and write enum data to it */
+ if((dset = H5Dcreate2(cwg, "color_table1", type, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT)) < 0) FAIL_STACK_ERROR
if(H5Dwrite(dset, type, space, space, H5P_DEFAULT, data1) < 0) FAIL_STACK_ERROR
+
+ /* Test reading back the data with no conversion */
if(H5Dread(dset, type, space, space, H5P_DEFAULT, data2) < 0) FAIL_STACK_ERROR
for(i = 0; i < (size_t)ds_size[0]; i++)
if(data1[i] != data2[i]) {
H5_FAILED();
- printf(" data1[%lu]=%d, data2[%lu]=%d (should be same)\n",
+ printf(" 1. data1[%lu]=%d, data2[%lu]=%d (should be same)\n",
(unsigned long)i, (int)(data1[i]),
(unsigned long)i, (int)(data2[i]));
goto error;
} /* end if */
+ /* Test converting the data to integer. Read enum data back as integer */
+ if(H5Dread(dset, H5T_NATIVE_SHORT, space, space, H5P_DEFAULT, data_short) < 0) FAIL_STACK_ERROR
+
+ for(i = 0; i < (size_t)ds_size[0]; i++)
+ if((short)data1[i] != data_short[i]) {
+ H5_FAILED();
+ printf(" 2. data1[%lu]=%d, data_short[%lu]=%d (should be same)\n",
+ (unsigned long)i, (int)(data1[i]),
+ (unsigned long)i, (int)(data_short[i]));
+ goto error;
+ } /* end if */
+
+ /* Test converting the data to floating number. Read enum data back as floating number */
+ if(H5Dread(dset, H5T_NATIVE_DOUBLE, space, space, H5P_DEFAULT, data_double) < 0) FAIL_STACK_ERROR
+
+ for(i = 0; i < (size_t)ds_size[0]; i++)
+ if((int)data1[i] != (int)data_double[i]) {
+ H5_FAILED();
+ printf(" 3. data1[%lu]=%d, data_double[%lu]=%d (should be same)\n",
+ (unsigned long)i, (int)(data1[i]),
+ (unsigned long)i, (int)(data_double[i]));
+ goto error;
+ } /* end if */
+
+ if(H5Dclose(dset) < 0) FAIL_STACK_ERROR
+
+ /***************************************
+ * Dataset of integer type
+ ***************************************/
+ /* Create a dataset of native integer and write enum data to it */
+ if((dset = H5Dcreate2(cwg, "color_table2", H5T_NATIVE_INT, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT)) < 0) FAIL_STACK_ERROR
+
+ if(H5Dwrite(dset, type, space, space, H5P_DEFAULT, data1) < 0) FAIL_STACK_ERROR
+
+ /* Test reading back the data with no conversion */
+ if(H5Dread(dset, H5T_NATIVE_INT, space, space, H5P_DEFAULT, data_int) < 0) FAIL_STACK_ERROR
+
+ for(i = 0; i < (size_t)ds_size[0]; i++)
+ if((int)data1[i] != data_int[i]) {
+ H5_FAILED();
+ printf(" 4. data1[%lu]=%d, data_int[%lu]=%d (should be same)\n",
+ (unsigned long)i, (int)(data1[i]),
+ (unsigned long)i, (int)(data_int[i]));
+ goto error;
+ } /* end if */
+
if(H5Dclose(dset) < 0) FAIL_STACK_ERROR
+
+ /***************************************
+ * Dataset of double type
+ ***************************************/
+ /* Create a dataset of native double and write enum data to it */
+ if((dset = H5Dcreate2(cwg, "color_table3", H5T_NATIVE_DOUBLE, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT)) < 0) FAIL_STACK_ERROR
+
+ if(H5Dwrite(dset, type, space, space, H5P_DEFAULT, data1) < 0) FAIL_STACK_ERROR
+
+ /* Test reading back the data with no conversion */
+ if(H5Dread(dset, H5T_NATIVE_DOUBLE, space, space, H5P_DEFAULT, data_double) < 0) FAIL_STACK_ERROR
+
+ for(i = 0; i < (size_t)ds_size[0]; i++)
+ if((int)data1[i] != (int)data_double[i]) {
+ H5_FAILED();
+ printf(" 5. data1[%lu]=%d, data_double[%lu]=%d (should be same)\n",
+ (unsigned long)i, (int)(data1[i]),
+ (unsigned long)i, (int)(data_double[i]));
+ goto error;
+ } /* end if */
+
+ if(H5Dclose(dset) < 0) FAIL_STACK_ERROR
+
if(H5Sclose(space) < 0) FAIL_STACK_ERROR
if(H5Tclose(type) < 0) FAIL_STACK_ERROR
if(H5Gclose(cwg) < 0) FAIL_STACK_ERROR
@@ -572,7 +656,7 @@ main(void)
/* Tests */
nerrors += test_named(file);
- nerrors += test_noconv(file);
+ nerrors += test_conv(file);
nerrors += test_tr1(file);
nerrors += test_tr2(file);
nerrors += test_value_dsnt_exist();
diff --git a/test/file_image.c b/test/file_image.c
index 9d7a48c..c734db8 100644
--- a/test/file_image.c
+++ b/test/file_image.c
@@ -163,6 +163,7 @@ error:
return retval;
} /* end test_properties() */
+
/******************************************************************************
* Function: malloc_cb
*
@@ -185,6 +186,7 @@ malloc_cb(size_t size, H5FD_file_image_op_t op, void *udata)
return HDmalloc(size);
}
+
/******************************************************************************
* Function: memcpy_cb
*
@@ -207,6 +209,7 @@ memcpy_cb(void *dest, const void *src, size_t size, H5FD_file_image_op_t op, voi
return HDmemcpy(dest, src, size);
}
+
/******************************************************************************
* Function: realloc_cb
*
@@ -229,6 +232,7 @@ realloc_cb(void *ptr, size_t size, H5FD_file_image_op_t op, void *udata)
return HDrealloc(ptr,size);
}
+
/******************************************************************************
* Function: free_cb
*
@@ -250,6 +254,7 @@ free_cb(void *ptr, H5FD_file_image_op_t op, void *udata)
return(SUCCEED);
}
+
/******************************************************************************
* Function: udata_copy_cb
*
@@ -273,6 +278,7 @@ udata_copy_cb(void *udata)
return udata;
}
+
/******************************************************************************
* Function: udata_free_cb
*
@@ -296,6 +302,7 @@ udata_free_cb(void *udata)
return(SUCCEED);
}
+
/******************************************************************************
* Function: reset_udata
*
@@ -314,6 +321,7 @@ reset_udata(udata_t *u)
u->malloc_src = u->memcpy_src = u->realloc_src = u->free_src = H5FD_FILE_IMAGE_OP_NO_OP;
}
+
/******************************************************************************
* Function: test_callbacks
*
@@ -502,6 +510,7 @@ error:
return 1;
} /* test_callbacks() */
+
/******************************************************************************
* Function: test_core
*
@@ -647,6 +656,7 @@ error:
return 1;
} /* end test_core() */
+
/******************************************************************************
* Function: test_get_file_image
*
@@ -899,6 +909,7 @@ error:
return 1;
} /* end test_get_file_image() */
+
/******************************************************************************
* Function: test_get_file_image_error_rejection
*
@@ -1282,7 +1293,7 @@ main(void)
/* test H5Fget_file_image() with sec2 driver */
fapl = H5Pcreate(H5P_FILE_ACCESS);
- if(0 > H5Pset_fapl_sec2(fapl))
+ if(H5Pset_fapl_sec2(fapl) < 0)
errors++;
else
errors += test_get_file_image("H5Fget_file_image() with sec2 driver",
@@ -1290,7 +1301,7 @@ main(void)
/* test H5Fget_file_image() with stdio driver */
fapl = H5Pcreate(H5P_FILE_ACCESS);
- if(0 > H5Pset_fapl_stdio(fapl))
+ if(H5Pset_fapl_stdio(fapl) < 0)
errors++;
else
errors += test_get_file_image("H5Fget_file_image() with stdio driver",
@@ -1298,7 +1309,7 @@ main(void)
/* test H5Fget_file_image() with core driver */
fapl = H5Pcreate(H5P_FILE_ACCESS);
- if(0 > H5Pset_fapl_core(fapl, (size_t)(64 *1024), TRUE))
+ if(H5Pset_fapl_core(fapl, (size_t)(64 *1024), TRUE) < 0)
errors++;
else
errors += test_get_file_image("H5Fget_file_image() with core driver",
diff --git a/test/gen_plist.c b/test/gen_plist.c
new file mode 100644
index 0000000..b4da261
--- /dev/null
+++ b/test/gen_plist.c
@@ -0,0 +1,435 @@
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+/*
+ * generate plist file
+ */
+
+#include <assert.h>
+#include <fcntl.h>
+#include <stdlib.h>
+#include <stdio.h>
+#include <unistd.h>
+#include "H5private.h"
+#include "hdf5.h"
+
+static int encode_plist(hid_t plist_id, int little_endian, const char *filename_le, const char *filename_be);
+
+int
+main(void)
+{
+ hid_t dcpl1; /* dataset create prop. list */
+ hid_t dapl1; /* dataset access prop. list */
+ hid_t dxpl1; /* dataset xfer prop. list */
+ hid_t gcpl1; /* group create prop. list */
+ hid_t ocpypl1; /* object copy prop. list */
+ hid_t ocpl1; /* object create prop. list */
+ hid_t lcpl1; /* link create prop. list */
+ hid_t lapl1; /* link access prop. list */
+ hid_t fapl1; /* file access prop. list */
+ hid_t fcpl1; /* file create prop. list */
+ hid_t strcpl1; /* string create prop. list */
+ hid_t acpl1; /* attribute create prop. list */
+
+ herr_t ret = 0;
+ hsize_t chunk_size = 16384; /* chunk size */
+ int fill = 2; /* Fill value */
+ hsize_t max_size[1]; /* data space maximum size */
+ size_t nslots = 521 * 2;
+ size_t nbytes = 1048576 * 10;
+ double w0 = 0.5f;
+ unsigned max_compact;
+ unsigned min_dense;
+ const char* c_to_f = "x+32";
+ int little_endian;
+ H5AC_cache_config_t my_cache_config = {
+ H5AC__CURR_CACHE_CONFIG_VERSION,
+ 1 /*TRUE*/,
+ 0 /*FALSE*/,
+ 0 /*FALSE*/,
+ "temp",
+ 1 /*TRUE*/,
+ 0 /*FALSE*/,
+ ( 2 * 2048 * 1024),
+ 0.3f,
+ (64 * 1024 * 1024),
+ (4 * 1024 * 1024),
+ 60000,
+ H5C_incr__threshold,
+ 0.8f,
+ 3.0f,
+ 1 /*TRUE*/,
+ (8 * 1024 * 1024),
+ H5C_flash_incr__add_space,
+ 2.0f,
+ 0.25f,
+ H5C_decr__age_out_with_threshold,
+ 0.997f,
+ 0.8f,
+ 1 /*TRUE*/,
+ (3 * 1024 * 1024),
+ 3,
+ 0 /*FALSE*/,
+ 0.2f,
+ (256 * 2048),
+ H5AC_METADATA_WRITE_STRATEGY__PROCESS_0_ONLY};
+
+ /* check endianess */
+ {
+ short int word = 0x0001;
+ char *byte = (char *) &word;
+
+ if(byte[0] == 1)
+ /* little endian */
+ little_endian = 1;
+ else
+ /* big endian */
+ little_endian = 0;
+ }
+
+ /* Explicitly initialize the library, since we are including the private header file */
+ H5open();
+
+ /******* ENCODE/DECODE DCPLS *****/
+ if((dcpl1 = H5Pcreate(H5P_DATASET_CREATE)) < 0)
+ assert(dcpl1 > 0);
+
+ if((ret = H5Pset_chunk(dcpl1, 1, &chunk_size)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_alloc_time(dcpl1, H5D_ALLOC_TIME_LATE)) < 0)
+ assert(ret > 0);
+
+ ret = H5Tconvert(H5T_NATIVE_INT, H5T_STD_I32BE, (size_t)1, &fill, NULL, H5P_DEFAULT);
+ assert(ret >= 0);
+ if((ret = H5Pset_fill_value(dcpl1, H5T_STD_I32BE, &fill)) < 0)
+ assert(ret > 0);
+
+ max_size[0] = 100;
+ if((ret = H5Pset_external(dcpl1, "ext1.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_external(dcpl1, "ext2.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_external(dcpl1, "ext3.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_external(dcpl1, "ext4.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4))) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(dcpl1, little_endian, "testfiles/plist_files/dcpl_le", "testfiles/plist_files/dcpl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(dcpl1)) < 0)
+ assert(ret > 0);
+
+
+ /******* ENCODE/DECODE DAPLS *****/
+ if((dapl1 = H5Pcreate(H5P_DATASET_ACCESS)) < 0)
+ assert(dapl1 > 0);
+
+ if((ret = H5Pset_chunk_cache(dapl1, nslots, nbytes, w0)) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(dapl1, little_endian, "testfiles/plist_files/dapl_le", "testfiles/plist_files/dapl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(dapl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE DXPLS *****/
+ if((dxpl1 = H5Pcreate(H5P_DATASET_XFER)) < 0)
+ assert(dxpl1 > 0);
+ if((ret = H5Pset_btree_ratios(dxpl1, 0.2f, 0.6f, 0.2f)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_hyper_vector_size(dxpl1, 5)) < 0)
+ assert(ret > 0);
+#ifdef H5_HAVE_PARALLEL
+ if((ret = H5Pset_dxpl_mpio(dxpl1, H5FD_MPIO_COLLECTIVE)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_dxpl_mpio_collective_opt(dxpl1, H5FD_MPIO_INDIVIDUAL_IO)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_dxpl_mpio_chunk_opt(dxpl1, H5FD_MPIO_CHUNK_MULTI_IO)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_dxpl_mpio_chunk_opt_ratio(dxpl1, 30)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_dxpl_mpio_chunk_opt_num(dxpl1, 40)) < 0)
+ assert(ret > 0);
+#endif/* H5_HAVE_PARALLEL */
+ if((ret = H5Pset_edc_check(dxpl1, H5Z_DISABLE_EDC)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_data_transform(dxpl1, c_to_f)) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(dxpl1, little_endian, "testfiles/plist_files/dxpl_le", "testfiles/plist_files/dxpl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(dxpl1)) < 0)
+ assert(ret > 0);
+
+
+ /******* ENCODE/DECODE GCPLS *****/
+ if((gcpl1 = H5Pcreate(H5P_GROUP_CREATE)) < 0)
+ assert(gcpl1 > 0);
+
+ if((ret = H5Pset_local_heap_size_hint(gcpl1, 256)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_link_phase_change(gcpl1, 2, 2)) < 0)
+ assert(ret > 0);
+
+ /* Query the group creation properties */
+ if((ret = H5Pget_link_phase_change(gcpl1, &max_compact, &min_dense)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_est_link_info(gcpl1, 3, 9)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_link_creation_order(gcpl1, (H5P_CRT_ORDER_TRACKED | H5P_CRT_ORDER_INDEXED))) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(gcpl1, little_endian, "testfiles/plist_files/gcpl_le", "testfiles/plist_files/gcpl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(gcpl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE LCPLS *****/
+ if((lcpl1 = H5Pcreate(H5P_LINK_CREATE)) < 0)
+ assert(lcpl1 > 0);
+
+ if((ret = H5Pset_create_intermediate_group(lcpl1, 1 /*TRUE*/)) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(lcpl1, little_endian, "testfiles/plist_files/lcpl_le", "testfiles/plist_files/lcpl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(lcpl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE OCPYLS *****/
+ if((ocpypl1 = H5Pcreate(H5P_OBJECT_COPY)) < 0)
+ assert(ocpypl1 > 0);
+
+ ret = H5Pset_copy_object(ocpypl1, H5O_COPY_EXPAND_EXT_LINK_FLAG);
+ assert(ret >= 0);
+
+ ret = H5Padd_merge_committed_dtype_path(ocpypl1, "foo");
+ assert(ret >= 0);
+
+ ret = H5Padd_merge_committed_dtype_path(ocpypl1, "bar");
+ assert(ret >= 0);
+
+ if((ret = encode_plist(ocpypl1, little_endian, "testfiles/plist_files/ocpypl_le", "testfiles/plist_files/ocpypl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(ocpypl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE OCPLS *****/
+ if((ocpl1 = H5Pcreate(H5P_OBJECT_CREATE)) < 0)
+ assert(ocpl1 > 0);
+
+ if((ret = H5Pset_attr_creation_order(ocpl1, (H5P_CRT_ORDER_TRACKED | H5P_CRT_ORDER_INDEXED))) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_attr_phase_change (ocpl1, 110, 105)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_filter (ocpl1, H5Z_FILTER_FLETCHER32, 0, (size_t)0, NULL)) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(ocpl1, little_endian, "testfiles/plist_files/ocpl_le", "testfiles/plist_files/ocpl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(ocpl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE LAPLS *****/
+ if((lapl1 = H5Pcreate(H5P_LINK_ACCESS)) < 0)
+ assert(lapl1 > 0);
+
+ if((ret = H5Pset_nlinks(lapl1, (size_t)134)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_elink_acc_flags(lapl1, H5F_ACC_RDONLY)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_elink_prefix(lapl1, "/tmpasodiasod")) < 0)
+ assert(ret > 0);
+
+ /* Create FAPL for the elink FAPL */
+ if((fapl1 = ret = H5Pcreate(ret = H5P_FILE_ACCESS)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_alignment(fapl1, 2, 1024)) < 0)
+ assert(ret > 0);
+
+ if((ret = H5Pset_elink_fapl(lapl1, fapl1)) < 0)
+ assert(ret > 0);
+
+ /* Close the elink's FAPL */
+ if((ret = H5Pclose(fapl1)) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(lapl1, little_endian, "testfiles/plist_files/lapl_le", "testfiles/plist_files/lapl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(lapl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE FAPLS *****/
+ if((fapl1 = H5Pcreate(H5P_FILE_ACCESS)) < 0)
+ assert(fapl1 > 0);
+
+ if((ret = H5Pset_family_offset(fapl1, 1024)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_meta_block_size(fapl1, 2098452)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_sieve_buf_size(fapl1, 1048576)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_alignment(fapl1, 2, 1024)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_cache(fapl1, 1024, 128, 10485760, 0.3f)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_elink_file_cache_size(fapl1, 10485760)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_gc_references(fapl1, 1)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_small_data_block_size(fapl1, 2048)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_libver_bounds(fapl1, H5F_LIBVER_LATEST, H5F_LIBVER_LATEST)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_fclose_degree(fapl1, H5F_CLOSE_WEAK)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_multi_type(fapl1, H5FD_MEM_GHEAP)) < 0)
+ assert(ret > 0);
+ if((ret = H5Pset_mdc_config(fapl1, &my_cache_config)) < 0)
+ assert(ret > 0);
+
+ if((ret = encode_plist(fapl1, little_endian, "testfiles/plist_files/fapl_le", "testfiles/plist_files/fapl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(fapl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE FCPLS *****/
+ if((fcpl1 = H5Pcreate(H5P_FILE_CREATE)) < 0)
+ assert(fcpl1 > 0);
+
+ if((ret = H5Pset_userblock(fcpl1, 1024) < 0))
+ assert(ret > 0);
+
+ if((ret = H5Pset_istore_k(fcpl1, 3) < 0))
+ assert(ret > 0);
+
+ if((ret = H5Pset_sym_k(fcpl1, 4, 5) < 0))
+ assert(ret > 0);
+
+ if((ret = H5Pset_shared_mesg_nindexes(fcpl1, 8) < 0))
+ assert(ret > 0);
+
+ if((ret = H5Pset_shared_mesg_index(fcpl1, 1, H5O_SHMESG_SDSPACE_FLAG, 32) < 0))
+ assert(ret > 0);
+
+ if((ret = H5Pset_shared_mesg_phase_change(fcpl1, 60, 20) < 0))
+ assert(ret > 0);
+
+ if((ret = H5Pset_sizes(fcpl1, 8, 4) < 0))
+ assert(ret > 0);
+
+ if((ret = encode_plist(fcpl1, little_endian, "testfiles/plist_files/fcpl_le", "testfiles/plist_files/fcpl_be")) < 0)
+ assert(ret > 0);
+
+ /* release resource */
+ if((ret = H5Pclose(fcpl1)) < 0)
+ assert(ret > 0);
+
+ /******* ENCODE/DECODE STRCPLS *****/
+ strcpl1 = H5Pcreate(H5P_STRING_CREATE);
+ assert(strcpl1 > 0);
+
+ ret = H5Pset_char_encoding(strcpl1, H5T_CSET_UTF8);
+ assert(ret >= 0);
+
+ ret = encode_plist(strcpl1, little_endian, "testfiles/plist_files/strcpl_le", "testfiles/plist_files/strcpl_be");
+ assert(ret > 0);
+
+ /* release resource */
+ ret = H5Pclose(strcpl1);
+ assert(ret >= 0);
+
+ /******* ENCODE/DECODE ACPLS *****/
+ acpl1 = H5Pcreate(H5P_ATTRIBUTE_CREATE);
+ assert(acpl1 > 0);
+
+ ret = H5Pset_char_encoding(acpl1, H5T_CSET_UTF8);
+ assert(ret >= 0);
+
+ ret = encode_plist(acpl1, little_endian, "testfiles/plist_files/acpl_le", "testfiles/plist_files/acpl_be");
+ assert(ret > 0);
+
+ /* release resource */
+ ret = H5Pclose(acpl1);
+ assert(ret >= 0);
+
+ return 0;
+}
+
+static int
+encode_plist(hid_t plist_id, int little_endian, const char *filename_le, const char *filename_be)
+{
+ int fd = 0; /* file descriptor */
+ herr_t ret = 0;
+ void *temp_buf = NULL;
+ size_t temp_size = 0;
+ ssize_t write_size;
+
+ /* first call to encode returns only the size of the buffer needed */
+ if((ret = H5Pencode(plist_id, NULL, &temp_size)) < 0)
+ assert(ret > 0);
+
+ temp_buf = (void *)HDmalloc(temp_size);
+ assert(temp_buf);
+
+ if((ret = H5Pencode(plist_id, temp_buf, &temp_size)) < 0)
+ assert(ret > 0);
+
+ if(little_endian)
+ fd = HDopen(filename_le, O_RDWR | O_CREAT | O_TRUNC, 0666);
+ else
+ fd = HDopen(filename_be, O_RDWR | O_CREAT | O_TRUNC, 0666);
+ assert(fd > 0);
+
+ write_size = HDwrite(fd, temp_buf, temp_size);
+ assert(write_size == (ssize_t)temp_size);
+
+ HDclose(fd);
+
+ HDfree(temp_buf);
+
+ return 1;
+}
+
diff --git a/test/h5test.c b/test/h5test.c
index ea30fad..91497e3 100644
--- a/test/h5test.c
+++ b/test/h5test.c
@@ -408,7 +408,7 @@ h5_fixname(const char *base_name, hid_t fapl, char *fullname, size_t size)
if (!fullname[0])
/* We didn't append the prefix yet */
- HDstrncpy(fullname, prefix, MIN(strlen(prefix), size));
+ HDstrncpy(fullname, prefix, MIN(HDstrlen(prefix), size));
if (HDstrlen(fullname) + HDstrlen(base_name) + 1 < size) {
/*
@@ -751,7 +751,7 @@ h5_set_info_object(void)
/* copy key/value pair into temporary buffer */
len = strcspn(valp, ";");
next = &valp[len];
- key_val = calloc(1, len + 1);
+ key_val = (char *)calloc(1, len + 1);
/* increment the next pointer past the terminating semicolon */
if (*next == ';')
@@ -766,7 +766,7 @@ h5_set_info_object(void)
if (!*namep) continue; /* was all white space, so move to next k/v pair */
/* eat up any ending white spaces */
- endp = &namep[strlen(namep) - 1];
+ endp = &namep[HDstrlen(namep) - 1];
while (endp && (*endp == ' ' || *endp == '\t'))
*endp-- = '\0';
@@ -1061,7 +1061,7 @@ getenv_all(MPI_Comm comm, int root, const char* name)
if(mpi_rank == root) {
env = HDgetenv(name);
if(env) {
- len = HDstrlen(env);
+ len = (int)HDstrlen(env);
MPI_Bcast(&len, 1, MPI_INT, root, comm);
MPI_Bcast(env, len, MPI_CHAR, root, comm);
}
@@ -1075,9 +1075,9 @@ getenv_all(MPI_Comm comm, int root, const char* name)
MPI_Bcast(&len, 1, MPI_INT, root, comm);
if(len >= 0) {
if(env == NULL)
- env = (char*) HDmalloc(len+1);
- else if(strlen(env) < len)
- env = (char*) HDrealloc(env, len+1);
+ env = (char*) HDmalloc((size_t)len+1);
+ else if(HDstrlen(env) < (size_t)len)
+ env = (char*) HDrealloc(env, (size_t)len+1);
MPI_Bcast(env, len, MPI_CHAR, root, comm);
env[len] = '\0';
@@ -1129,7 +1129,11 @@ h5_make_local_copy(const char *origfilename, const char *local_copy_name)
#ifdef H5_VMS
HDstrcat(filename, origfilename);
#else
- char * srcdir = HDgetenv("srcdir"); /* The source directory */
+ const char * srcdir = HDgetenv("srcdir"); /* The source directory */
+
+ /* Check for using the srcdir from configure time */
+ if(NULL == srcdir)
+ srcdir = config_srcdir;
if(srcdir && ((HDstrlen(srcdir) +
HDstrlen(origfilename) + 6) < FILENAME_BUF_SIZE)) {
diff --git a/test/h5test.h b/test/h5test.h
index dd38546..7813b51 100644
--- a/test/h5test.h
+++ b/test/h5test.h
@@ -104,6 +104,7 @@ H5TEST_DLLVAR MPI_Info h5_io_info_g; /* MPI INFO object for IO */
#define H5_FAILED() {puts("*FAILED*");fflush(stdout);}
#define H5_WARNING() {puts("*WARNING*");fflush(stdout);}
#define SKIPPED() {puts(" -SKIP-");fflush(stdout);}
+#define PUTS_ERROR(s) {puts(s); AT(); goto error;}
#define TEST_ERROR {H5_FAILED(); AT(); goto error;}
#define STACK_ERROR {H5Eprint2(H5E_DEFAULT, stdout); goto error;}
#define FAIL_STACK_ERROR {H5_FAILED(); AT(); H5Eprint2(H5E_DEFAULT, stdout); \
@@ -175,7 +176,7 @@ H5TEST_DLL void ParseTestVerbosity(char *argv);
H5TEST_DLL int GetTestNumErrs(void);
H5TEST_DLL void IncTestNumErrs(void);
H5TEST_DLL const void *GetTestParameters(void);
-H5TEST_DLL int TestErrPrintf(const char *format, ...);
+H5TEST_DLL int TestErrPrintf(const char *format, ...) __attribute__ ((format (printf, 1, 2)));
H5TEST_DLL void SetTest(const char *testname, int action);
H5TEST_DLL void TestAlarmOn(void);
H5TEST_DLL void TestAlarmOff(void);
diff --git a/test/links.c b/test/links.c
index 966802a..d77b371 100644
--- a/test/links.c
+++ b/test/links.c
@@ -1884,7 +1884,7 @@ external_link_root(hid_t fapl, hbool_t new_format)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0) TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0) TEST_ERROR
+ H5F_sfile_assert_num(0);
/* Open first file again with read-only access and check on objects created */
if((fid = H5Fopen(filename1, H5F_ACC_RDONLY, fapl)) < 0) TEST_ERROR
@@ -1908,7 +1908,7 @@ external_link_root(hid_t fapl, hbool_t new_format)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0) TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0) TEST_ERROR
+ H5F_sfile_assert_num(0);
/* Verify that new objects can't be created through a read-only external
* link.
@@ -1925,7 +1925,7 @@ external_link_root(hid_t fapl, hbool_t new_format)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0) TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0) TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -6754,8 +6754,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that only 1 file is open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Open and close the target of the external link */
if((oid = H5Oopen(fid1, "link_to_2", H5P_DEFAULT)) < 0)
@@ -6764,16 +6763,14 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that both files are now open */
- if(H5F_sfile_assert_num(2) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(2);
/* Close file 1 */
if(H5Fclose(fid1) < 0)
TEST_ERROR
/* Verify that both files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -6795,8 +6792,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that only 1 file is open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Open and close the target of the external link */
if((oid = H5Oopen(fid1, "link_to_2", H5P_DEFAULT)) < 0)
@@ -6805,24 +6801,21 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that both files are now open */
- if(H5F_sfile_assert_num(2) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(2);
/* Release file 1's EFC */
if(H5Fclear_elink_file_cache(fid1) < 0)
TEST_ERROR
/* Verify that only the parent file is now open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Close file 1 */
if(H5Fclose(fid1) < 0)
TEST_ERROR
/* Verify that both files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -6858,8 +6851,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that only 1 file is open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Open and close one branch of the tree */
if((oid = H5Oopen(fid1, "link_to_2/link_to_3", H5P_DEFAULT)) < 0)
@@ -6868,8 +6860,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that files 2 and 3 are now open */
- if(H5F_sfile_assert_num(3) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Open and close the other branch of the tree */
if((oid = H5Oopen(fid1, "link_to_2/link_to_4", H5P_DEFAULT)) < 0)
@@ -6878,16 +6869,14 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that all files are now open */
- if(H5F_sfile_assert_num(4) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(4);
/* Close file 1 */
if(H5Fclose(fid1) < 0)
TEST_ERROR
/* Verify that all files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -6923,8 +6912,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that only 1 file is open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Open and close one branch of the tree */
if((oid = H5Oopen(fid1, "link_to_2/link_to_3", H5P_DEFAULT)) < 0)
@@ -6933,8 +6921,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that files 2 and 3 are now open */
- if(H5F_sfile_assert_num(3) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Open and close the other branch of the tree */
if((oid = H5Oopen(fid1, "link_to_2/link_to_4", H5P_DEFAULT)) < 0)
@@ -6943,24 +6930,21 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that all files are now open */
- if(H5F_sfile_assert_num(4) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(4);
/* Release file 1's EFC */
if(H5Fclear_elink_file_cache(fid1) < 0)
TEST_ERROR
/* Verify that only file 1 is now open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Close file 1 */
if(H5Fclose(fid1) < 0)
TEST_ERROR
/* Verify that all files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
#ifndef H5_CANNOT_OPEN_TWICE
/*
@@ -6992,8 +6976,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that only 1 file is open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Open and close one complete cycle */
if((oid = H5Oopen(fid1, "link_to_2/link_to_3/link_to_1", H5P_DEFAULT)) < 0)
@@ -7002,16 +6985,14 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that all files are now open */
- if(H5F_sfile_assert_num(3) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Close file 1 */
if(H5Fclose(fid1) < 0)
TEST_ERROR
/* Verify that all files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -7043,8 +7024,7 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that only 1 file is open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Open and close one complete cycle */
if((oid = H5Oopen(fid1, "link_to_2/link_to_3/link_to_1", H5P_DEFAULT)) < 0)
@@ -7053,24 +7033,21 @@ external_file_cache(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that all files are now open */
- if(H5F_sfile_assert_num(3) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Release file 1's EFC */
if(H5Fclear_elink_file_cache(fid1) < 0)
TEST_ERROR
/* Verify that only file 1 is now open */
- if(H5F_sfile_assert_num(1) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Close file 1 */
if(H5Fclose(fid1) < 0)
TEST_ERROR
/* Verify that all files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
#endif /* H5_CANNOT_OPEN_TWICE */
/* Close fapl */
@@ -7165,8 +7142,7 @@ external_open_twice(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that both files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -7212,8 +7188,7 @@ external_open_twice(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that both files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -7263,8 +7238,7 @@ external_open_twice(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that both files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/*
@@ -7312,8 +7286,7 @@ external_open_twice(hid_t fapl, hbool_t new_format)
TEST_ERROR
/* Verify that both files are now closed */
- if(H5F_sfile_assert_num(0) < 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
diff --git a/test/mount.c b/test/mount.c
index b7180fa..2502bbe 100644
--- a/test/mount.c
+++ b/test/mount.c
@@ -1181,7 +1181,7 @@ test_close(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0) TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0) TEST_ERROR
+ H5F_sfile_assert_num(0);
/* Build the virtual file again */
if((file1 = H5Fopen(filename1, H5F_ACC_RDWR, fapl)) < 0 ||
@@ -1198,7 +1198,7 @@ test_close(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0) TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0) TEST_ERROR
+ H5F_sfile_assert_num(0);
/* Shut down */
PASSED();
@@ -1810,8 +1810,7 @@ test_missing_unmount(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -1946,8 +1945,7 @@ test_hold_open_file(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -2103,8 +2101,7 @@ test_hold_open_group(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -2249,8 +2246,7 @@ test_fcdegree_same(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -2391,8 +2387,7 @@ test_fcdegree_semi(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -2530,8 +2525,7 @@ test_fcdegree_strong(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -2733,8 +2727,7 @@ test_acc_perm(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -2957,8 +2950,7 @@ test_mult_mount(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -3179,8 +3171,7 @@ test_nested_survive(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -3295,8 +3286,7 @@ test_close_parent(hid_t fapl)
TEST_ERROR
/* Both underlying shared files should be open still */
- if(H5F_sfile_assert_num(2) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(2);
/* Check the name of "M" is still defined */
*name = '\0';
@@ -3317,16 +3307,14 @@ test_close_parent(hid_t fapl)
TEST_ERROR
/* Just file #2's underlying shared file should be open still */
- if(H5F_sfile_assert_num(1) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(1);
/* Close group in file #2, letting file #2 close */
if(H5Gclose(gidM) < 0)
TEST_ERROR
/* All underlying shared file structs should be closed */
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -3703,16 +3691,14 @@ test_cut_graph(hid_t fapl)
TEST_ERROR
/* Check that all seven underlying files are still opened */
- if(H5F_sfile_assert_num(7) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(7);
/* Close "M" in file #5, which should close files 2, 4 & 5 */
if(H5Gclose(gidM) < 0)
TEST_ERROR
/* Check that only four underlying files are still opened */
- if(H5F_sfile_assert_num(4) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(4);
/* Unmount file #3 from file #1, cutting the graph */
if(H5Funmount(gidQ, "/B") < 0)
@@ -3720,8 +3706,7 @@ test_cut_graph(hid_t fapl)
/* Check that only three underlying files are still opened */
/* (File #1 should close after being cut off from the graph) */
- if(H5F_sfile_assert_num(3) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Check the name of "Q" is defined in its file */
*name = '\0';
@@ -3749,8 +3734,7 @@ test_cut_graph(hid_t fapl)
TEST_ERROR
/* Verify that all underlying shared files have been closed now */
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -3917,16 +3901,14 @@ test_symlink(hid_t fapl)
TEST_ERROR
/* Verify that all 3 underlying shared files are still open */
- if(H5F_sfile_assert_num(3) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Close object opened through soft link */
if(H5Gclose(gidL) < 0)
TEST_ERROR
/* Verify that all underlying shared files have been closed now */
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -4036,8 +4018,7 @@ test_sharedacc(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -4129,16 +4110,14 @@ test_sharedclose(hid_t fapl)
TEST_ERROR
/* Check that file #3 is still open */
- if(H5F_sfile_assert_num(3) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(3);
/* Close group B/C in file #1b. This should close file #1b and #3. */
if(H5Gclose(gid3) < 0)
TEST_ERROR
/* Check that file #3 has been closed */
- if(H5F_sfile_assert_num(2) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(2);
/* Unmount file 2 and close the rest of the handles */
if(H5Funmount(fid1a, "A") < 0)
@@ -4153,8 +4132,7 @@ test_sharedclose(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
/* Create file #1 & its group */
if((fid1a = H5Fcreate(filename1, H5F_ACC_TRUNC, H5P_DEFAULT, H5P_DEFAULT)) < 0)
@@ -4197,8 +4175,7 @@ test_sharedclose(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0)
TEST_ERROR
- if(H5F_sfile_assert_num(0) != 0)
- TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
@@ -4324,7 +4301,7 @@ test_multisharedclose(hid_t fapl)
/* Check that all file IDs have been closed */
if(H5I_nmembers(H5I_FILE) != 0) TEST_ERROR
- if(H5F_sfile_assert_num(0) < 0) TEST_ERROR
+ H5F_sfile_assert_num(0);
PASSED();
return 0;
diff --git a/test/testfiles/plist_files/acpl_be b/test/testfiles/plist_files/acpl_be
new file mode 100644
index 0000000..ba342d9
--- /dev/null
+++ b/test/testfiles/plist_files/acpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/acpl_le b/test/testfiles/plist_files/acpl_le
new file mode 100644
index 0000000..ba342d9
--- /dev/null
+++ b/test/testfiles/plist_files/acpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/dapl_be b/test/testfiles/plist_files/dapl_be
new file mode 100644
index 0000000..4df4e7f
--- /dev/null
+++ b/test/testfiles/plist_files/dapl_be
Binary files differ
diff --git a/test/testfiles/plist_files/dapl_le b/test/testfiles/plist_files/dapl_le
new file mode 100644
index 0000000..4df4e7f
--- /dev/null
+++ b/test/testfiles/plist_files/dapl_le
Binary files differ
diff --git a/test/testfiles/plist_files/dcpl_be b/test/testfiles/plist_files/dcpl_be
new file mode 100644
index 0000000..667c67f
--- /dev/null
+++ b/test/testfiles/plist_files/dcpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/dcpl_le b/test/testfiles/plist_files/dcpl_le
new file mode 100644
index 0000000..667c67f
--- /dev/null
+++ b/test/testfiles/plist_files/dcpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/dxpl_be b/test/testfiles/plist_files/dxpl_be
new file mode 100644
index 0000000..5ff2ea0
--- /dev/null
+++ b/test/testfiles/plist_files/dxpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/dxpl_le b/test/testfiles/plist_files/dxpl_le
new file mode 100644
index 0000000..5ff2ea0
--- /dev/null
+++ b/test/testfiles/plist_files/dxpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/fapl_be b/test/testfiles/plist_files/fapl_be
new file mode 100644
index 0000000..8fcefa2
--- /dev/null
+++ b/test/testfiles/plist_files/fapl_be
Binary files differ
diff --git a/test/testfiles/plist_files/fapl_le b/test/testfiles/plist_files/fapl_le
new file mode 100644
index 0000000..8fcefa2
--- /dev/null
+++ b/test/testfiles/plist_files/fapl_le
Binary files differ
diff --git a/test/testfiles/plist_files/fcpl_be b/test/testfiles/plist_files/fcpl_be
new file mode 100644
index 0000000..ffa5242
--- /dev/null
+++ b/test/testfiles/plist_files/fcpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/fcpl_le b/test/testfiles/plist_files/fcpl_le
new file mode 100644
index 0000000..ffa5242
--- /dev/null
+++ b/test/testfiles/plist_files/fcpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/gcpl_be b/test/testfiles/plist_files/gcpl_be
new file mode 100644
index 0000000..1eec32c
--- /dev/null
+++ b/test/testfiles/plist_files/gcpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/gcpl_le b/test/testfiles/plist_files/gcpl_le
new file mode 100644
index 0000000..1eec32c
--- /dev/null
+++ b/test/testfiles/plist_files/gcpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/lapl_be b/test/testfiles/plist_files/lapl_be
new file mode 100644
index 0000000..30f52a4
--- /dev/null
+++ b/test/testfiles/plist_files/lapl_be
Binary files differ
diff --git a/test/testfiles/plist_files/lapl_le b/test/testfiles/plist_files/lapl_le
new file mode 100644
index 0000000..30f52a4
--- /dev/null
+++ b/test/testfiles/plist_files/lapl_le
Binary files differ
diff --git a/test/testfiles/plist_files/lcpl_be b/test/testfiles/plist_files/lcpl_be
new file mode 100644
index 0000000..4584e5c
--- /dev/null
+++ b/test/testfiles/plist_files/lcpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/lcpl_le b/test/testfiles/plist_files/lcpl_le
new file mode 100644
index 0000000..4584e5c
--- /dev/null
+++ b/test/testfiles/plist_files/lcpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/ocpl_be b/test/testfiles/plist_files/ocpl_be
new file mode 100644
index 0000000..bc46636
--- /dev/null
+++ b/test/testfiles/plist_files/ocpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/ocpl_le b/test/testfiles/plist_files/ocpl_le
new file mode 100644
index 0000000..bc46636
--- /dev/null
+++ b/test/testfiles/plist_files/ocpl_le
Binary files differ
diff --git a/test/testfiles/plist_files/ocpypl_be b/test/testfiles/plist_files/ocpypl_be
new file mode 100644
index 0000000..a0d826d
--- /dev/null
+++ b/test/testfiles/plist_files/ocpypl_be
Binary files differ
diff --git a/test/testfiles/plist_files/ocpypl_le b/test/testfiles/plist_files/ocpypl_le
new file mode 100644
index 0000000..a0d826d
--- /dev/null
+++ b/test/testfiles/plist_files/ocpypl_le
Binary files differ
diff --git a/test/testfiles/plist_files/strcpl_be b/test/testfiles/plist_files/strcpl_be
new file mode 100644
index 0000000..4190a63
--- /dev/null
+++ b/test/testfiles/plist_files/strcpl_be
Binary files differ
diff --git a/test/testfiles/plist_files/strcpl_le b/test/testfiles/plist_files/strcpl_le
new file mode 100644
index 0000000..4190a63
--- /dev/null
+++ b/test/testfiles/plist_files/strcpl_le
Binary files differ
diff --git a/test/testframe.c b/test/testframe.c
index 6fbace1..5835b73 100644
--- a/test/testframe.c
+++ b/test/testframe.c
@@ -124,12 +124,6 @@ AddTest(const char *TheName, void (*TheCall) (void), void (*Cleanup) (void), con
*/
void TestInit(const char *ProgName, void (*private_usage)(void), int (*private_parser)(int ac, char *av[]))
{
-#if !(defined MAC)
- /* Un-buffer the stdout and stderr */
- setbuf(stderr, NULL);
- setbuf(stdout, NULL);
-#endif
-
/*
* Turn off automatic error reporting since we do it ourselves. Besides,
* half the functions this test calls are private, so automatic error
diff --git a/test/testhdf5.h b/test/testhdf5.h
index 149b4c8..c92c0f0 100644
--- a/test/testhdf5.h
+++ b/test/testhdf5.h
@@ -125,7 +125,17 @@
} while(0)
/* Used to document process through a test */
-#define MESSAGE(V,A) {if (HDGetTestVerbosity()>(V)) print_func A;}
+#if defined(H5_HAVE_PARALLEL) && defined(H5_PARALLEL_TEST)
+#define MESSAGE(V,A) { \
+ int mpi_rank; \
+ \
+ MPI_Comm_rank(MPI_COMM_WORLD, &mpi_rank); \
+ if(mpi_rank == 0 && HDGetTestVerbosity() > (V)) \
+ print_func A ; \
+}
+#else /* H5_HAVE_PARALLEL */
+#define MESSAGE(V,A) {if (HDGetTestVerbosity() > (V)) print_func A;}
+#endif /* H5_HAVE_PARALLEL */
/* Used to indicate an error that is complex to check for */
#define ERROR(where) do { \
diff --git a/test/tgenprop.c b/test/tgenprop.c
index 3dbaa14..f304f11 100644
--- a/test/tgenprop.c
+++ b/test/tgenprop.c
@@ -743,11 +743,11 @@ test_genprop_basic_list_prop(void)
/* Add temporary properties */
- /* Insert first temporary property into class (with no callbacks) */
+ /* Insert first temporary property into list (with no callbacks) */
ret = H5Pinsert2(lid1, PROP3_NAME, PROP3_SIZE, PROP3_DEF_VALUE, NULL, NULL, NULL, NULL, NULL, NULL);
CHECK_I(ret, "H5Pinsert2");
- /* Insert second temporary property into class (with no callbacks) */
+ /* Insert second temporary property into list (with no callbacks) */
ret = H5Pinsert2(lid1, PROP4_NAME, PROP4_SIZE, PROP4_DEF_VALUE, NULL, NULL, NULL, NULL, NULL, NULL);
CHECK_I(ret, "H5Pinsert2");
@@ -1215,10 +1215,10 @@ test_genprop_list_callback(void)
/* The compare callback should have been called once on property 1 (to check
* if the create callback modified the value) */
- VERIFY(prop1_cb_info.cmp_count, 1, "H5Pequal");
+ VERIFY(prop1_cb_info.cmp_count, 1, "H5Pcreate");
/* The compare callback should not have been called on property 3, as there
* is no create callback */
- VERIFY(prop3_cb_info.cmp_count, 0, "H5Pequal");
+ VERIFY(prop3_cb_info.cmp_count, 0, "H5Pcreate");
/* Verify creation callback information for properties tracked */
VERIFY(prop1_cb_info.crt_count, 1, "H5Pcreate");
@@ -1233,7 +1233,7 @@ test_genprop_list_callback(void)
VERIFY(prop1_value, *PROP1_DEF_VALUE, "H5Pget");
/* The compare callback should have been called once (to check if the get
* callback modified the value) */
- VERIFY(prop1_cb_info.cmp_count, 2, "H5Pequal");
+ VERIFY(prop1_cb_info.cmp_count, 2, "H5Pget");
ret = H5Pget(lid1, PROP2_NAME,&prop2_value);
CHECK_I(ret, "H5Pget");
/* Verify the floating-poing value in this way to avoid compiler warning. */
@@ -1248,7 +1248,7 @@ test_genprop_list_callback(void)
TestErrPrintf("Property #3 doesn't match!, line=%d\n",__LINE__);
/* The compare callback should not have been called, as there is no get
* callback for this property */
- VERIFY(prop3_cb_info.cmp_count, 0, "H5Pequal");
+ VERIFY(prop3_cb_info.cmp_count, 0, "H5Pget");
ret = H5Pget(lid1, PROP4_NAME,&prop4_value);
CHECK_I(ret, "H5Pget");
/* Verify the floating-poing value in this way to avoid compiler warning. */
@@ -1278,7 +1278,7 @@ test_genprop_list_callback(void)
/* The compare callback should have been called once (to check if the new
* value needed to be copied onto the property list) */
- VERIFY(prop1_cb_info.cmp_count, 3, "H5Pequal");
+ VERIFY(prop1_cb_info.cmp_count, 3, "H5Pset");
/* Set value of property #3 to different value */
ret = H5Pset(lid1, PROP3_NAME,prop3_new_value);
@@ -1286,7 +1286,7 @@ test_genprop_list_callback(void)
/* The compare callback should have been called once (to check if the new
* value needed to be copied onto the property list) */
- VERIFY(prop3_cb_info.cmp_count, 1, "H5Pequal");
+ VERIFY(prop3_cb_info.cmp_count, 1, "H5Pset");
/* Check new value of tracked properties */
ret = H5Pget(lid1, PROP1_NAME,&prop1_value);
@@ -1625,6 +1625,7 @@ test_genprop_equal(void)
hid_t cid1; /* Generic Property class ID */
hid_t lid1; /* Generic Property list ID */
hid_t lid2; /* Generic Property list ID */
+ int prop1_new_value = 20; /* Property #1 new value */
herr_t ret; /* Generic return value */
/* Output message about test being performed */
@@ -1651,13 +1652,114 @@ test_genprop_equal(void)
CHECK_I(lid2, "H5Pcopy");
/* Check that the lists are equal */
- ret = H5Pequal(lid1,lid2);
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 1, "H5Pequal");
+
+ /* Set property in first list to another value */
+ ret = H5Pset(lid1, PROP1_NAME, &prop1_new_value);
+ CHECK_I(ret, "H5Pset");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Set property in first list back to default */
+ ret = H5Pset(lid1, PROP1_NAME, PROP1_DEF_VALUE);
+ CHECK_I(ret, "H5Pset");
+
+ /* Check that the lists are still equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 1, "H5Pequal");
+
+ /* Insert first temporary property into first list (with no callbacks) */
+ ret = H5Pinsert2(lid1, PROP3_NAME, PROP3_SIZE, PROP3_DEF_VALUE, NULL, NULL, NULL, NULL, NULL, NULL);
+ CHECK_I(ret, "H5Pinsert2");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Insert first temporary property into second list (with no callbacks) */
+ ret = H5Pinsert2(lid2, PROP3_NAME, PROP3_SIZE, PROP3_DEF_VALUE, NULL, NULL, NULL, NULL, NULL, NULL);
+ CHECK_I(ret, "H5Pinsert2");
+
+ /* Check that the lists are equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 1, "H5Pequal");
+
+ /* Insert second temporary property into second list (with no callbacks) */
+ ret = H5Pinsert2(lid2, PROP4_NAME, PROP4_SIZE, PROP4_DEF_VALUE, NULL, NULL, NULL, NULL, NULL, NULL);
+ CHECK_I(ret, "H5Pinsert2");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Insert second temporary property into first list (with no callbacks) */
+ ret = H5Pinsert2(lid1, PROP4_NAME, PROP4_SIZE, PROP4_DEF_VALUE, NULL, NULL, NULL, NULL, NULL, NULL);
+ CHECK_I(ret, "H5Pinsert2");
+
+ /* Check that the lists are equal */
+ ret = H5Pequal(lid1, lid2);
VERIFY(ret, 1, "H5Pequal");
+ /* Remove first temporary property from first list */
+ ret = H5Premove(lid1, PROP3_NAME);
+ CHECK_I(ret, "H5Premove");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Remove second temporary property from second list */
+ ret = H5Premove(lid2, PROP4_NAME);
+ CHECK_I(ret, "H5Premove");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Remove first temporary property from second list */
+ ret = H5Premove(lid2, PROP3_NAME);
+ CHECK_I(ret, "H5Premove");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Remove first permanent property from first list */
+ ret = H5Premove(lid1, PROP1_NAME);
+ CHECK_I(ret, "H5Premove");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Remove second temporary property from first list */
+ ret = H5Premove(lid1, PROP4_NAME);
+ CHECK_I(ret, "H5Premove");
+
+ /* Check that the lists are not equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 0, "H5Pequal");
+
+ /* Remove first permanent property from second list */
+ ret = H5Premove(lid2, PROP1_NAME);
+ CHECK_I(ret, "H5Premove");
+
+ /* Check that the lists are equal */
+ ret = H5Pequal(lid1, lid2);
+ VERIFY(ret, 1, "H5Pequal");
+
+ /* Close property lists */
+ ret = H5Pclose(lid1);
+ CHECK_I(ret, "H5Pclose");
+ ret = H5Pclose(lid2);
+ CHECK_I(ret, "H5Pclose");
+
/* Close class */
ret = H5Pclose_class(cid1);
CHECK_I(ret, "H5Pclose_class");
-
} /* ent test_genprop_equal() */
/****************************************************************
diff --git a/testpar/CMakeLists.txt b/testpar/CMakeLists.txt
index f42af9f..88c47f5 100644
--- a/testpar/CMakeLists.txt
+++ b/testpar/CMakeLists.txt
@@ -1,6 +1,11 @@
cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_TEST_PAR)
+#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
INCLUDE_DIRECTORIES (${HDF5_TEST_SRC_DIR})
INCLUDE_DIRECTORIES (${HDF5_TOOLS_SRC_DIR}/lib )
#-----------------------------------------------------------------------------
@@ -18,6 +23,7 @@ SET (testphdf5_SRCS
${HDF5_TEST_PAR_SOURCE_DIR}/t_span_tree.c
${HDF5_TEST_PAR_SOURCE_DIR}/t_chunk_alloc.c
${HDF5_TEST_PAR_SOURCE_DIR}/t_filter_read.c
+ ${HDF5_TEST_PAR_SOURCE_DIR}/t_prop.c
)
#-- Adding test for testhdf5
diff --git a/testpar/Makefile.am b/testpar/Makefile.am
index b2fb97c..e934f08 100644
--- a/testpar/Makefile.am
+++ b/testpar/Makefile.am
@@ -32,7 +32,8 @@ check_PROGRAMS = $(TEST_PROG_PARA)
check_SCRIPTS= $(TEST_SCRIPT)
testphdf5_SOURCES=testphdf5.c t_dset.c t_file.c t_file_image.c t_mdset.c \
- t_ph5basic.c t_coll_chunk.c t_span_tree.c t_chunk_alloc.c t_filter_read.c
+ t_ph5basic.c t_coll_chunk.c t_span_tree.c t_chunk_alloc.c t_filter_read.c \
+ t_prop.c
# The tests all depend on the hdf5 library and the test library
LDADD = $(LIBH5TEST) $(LIBHDF5)
diff --git a/testpar/Makefile.in b/testpar/Makefile.in
index 5b44e6e..394b3b1 100644
--- a/testpar/Makefile.in
+++ b/testpar/Makefile.in
@@ -117,7 +117,7 @@ am_testphdf5_OBJECTS = testphdf5.$(OBJEXT) t_dset.$(OBJEXT) \
t_file.$(OBJEXT) t_file_image.$(OBJEXT) t_mdset.$(OBJEXT) \
t_ph5basic.$(OBJEXT) t_coll_chunk.$(OBJEXT) \
t_span_tree.$(OBJEXT) t_chunk_alloc.$(OBJEXT) \
- t_filter_read.$(OBJEXT)
+ t_filter_read.$(OBJEXT) t_prop.$(OBJEXT)
testphdf5_OBJECTS = $(am_testphdf5_OBJECTS)
testphdf5_LDADD = $(LDADD)
testphdf5_DEPENDENCIES = $(LIBH5TEST) $(LIBHDF5)
@@ -463,7 +463,8 @@ TEST_PROG_PARA = t_mpi t_posix_compliant testphdf5 t_cache t_pflush1 t_pflush2 t
TEST_SCRIPT_PARA = testph5.sh
check_SCRIPTS = $(TEST_SCRIPT)
testphdf5_SOURCES = testphdf5.c t_dset.c t_file.c t_file_image.c t_mdset.c \
- t_ph5basic.c t_coll_chunk.c t_span_tree.c t_chunk_alloc.c t_filter_read.c
+ t_ph5basic.c t_coll_chunk.c t_span_tree.c t_chunk_alloc.c t_filter_read.c \
+ t_prop.c
# The tests all depend on the hdf5 library and the test library
@@ -576,6 +577,7 @@ distclean-compile:
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/t_pflush2.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/t_ph5basic.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/t_posix_compliant.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/t_prop.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/t_shapesame.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/t_span_tree.Po@am__quote@
@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/testphdf5.Po@am__quote@
diff --git a/testpar/t_dset.c b/testpar/t_dset.c
index d9139d3..22eefbc 100644
--- a/testpar/t_dset.c
+++ b/testpar/t_dset.c
@@ -3107,12 +3107,12 @@ actual_io_mode_tests(void) {
static void
test_no_collective_cause_mode(int selection_mode)
{
- int no_collective_cause_local_write = 0;
- int no_collective_cause_local_read = 0;
- int no_collective_cause_local_expected = 0;
- int no_collective_cause_global_write = 0;
- int no_collective_cause_global_read = 0;
- int no_collective_cause_global_expected = 0;
+ uint32_t no_collective_cause_local_write = 0;
+ uint32_t no_collective_cause_local_read = 0;
+ uint32_t no_collective_cause_local_expected = 0;
+ uint32_t no_collective_cause_global_write = 0;
+ uint32_t no_collective_cause_global_read = 0;
+ uint32_t no_collective_cause_global_expected = 0;
hsize_t coord[NELM][RANK];
const char * filename;
@@ -3145,6 +3145,18 @@ test_no_collective_cause_mode(int selection_mode)
#endif
/* set to global value as default */
int l_facc_type = facc_type;
+ char message[256];
+
+ /* Set up MPI parameters */
+ MPI_Comm_size(MPI_COMM_WORLD, &mpi_size);
+ MPI_Comm_rank(MPI_COMM_WORLD, &mpi_rank);
+
+ MPI_Barrier(MPI_COMM_WORLD);
+
+ HDassert(mpi_size >= 1);
+
+ mpi_comm = MPI_COMM_WORLD;
+ mpi_info = MPI_INFO_NULL;
/* Create the dataset creation plist */
dcpl = H5Pcreate(H5P_DATASET_CREATE);
@@ -3193,15 +3205,6 @@ test_no_collective_cause_mode(int selection_mode)
VRFY((sid >= 0), "H5Screate_simple succeeded");
}
- /* Set up MPI parameters */
- MPI_Comm_size(MPI_COMM_WORLD, &mpi_size);
- MPI_Comm_rank(MPI_COMM_WORLD, &mpi_rank);
-
-
- HDassert(mpi_size >= 1);
-
- mpi_comm = MPI_COMM_WORLD;
- mpi_info = MPI_INFO_NULL;
filename = (const char *)GetTestParameters();
HDassert(filename != NULL);
@@ -3399,17 +3402,12 @@ test_no_collective_cause_mode(int selection_mode)
"reading and writing are the same for global cause of Broken Collective I/O");
/* Test values */
- if(no_collective_cause_local_expected != (unsigned) -1 && no_collective_cause_global_expected != (unsigned) -1) {
- char message[100];
- sprintf(message, "Local cause of Broken Collective I/O has the correct value for %s.\n",test_name);
- VRFY((no_collective_cause_local_write == no_collective_cause_local_expected), message);
- sprintf(message, "Global cause of Broken Collective I/O has the correct value for %s.\n",test_name);
- VRFY((no_collective_cause_global_write == no_collective_cause_global_expected), message);
- } else {
- HDfprintf(stderr, "%s %d -> (%d,%d)\n", test_name, mpi_rank,
- test_no_collective_cause_mode, no_collective_cause_local_write);
- }
-
+ memset (message, 0, sizeof (message));
+ sprintf(message, "Local cause of Broken Collective I/O has the correct value for %s.\n",test_name);
+ VRFY((no_collective_cause_local_write == no_collective_cause_local_expected), message);
+ memset (message, 0, sizeof (message));
+ sprintf(message, "Global cause of Broken Collective I/O has the correct value for %s.\n",test_name);
+ VRFY((no_collective_cause_global_write == no_collective_cause_global_expected), message);
/* Release some resources */
if (sid)
@@ -3463,10 +3461,10 @@ test_no_collective_cause_mode(int selection_mode)
static void
test_no_collective_cause_mode_filter(int selection_mode)
{
- int no_collective_cause_local_read = 0;
- int no_collective_cause_local_expected = 0;
- int no_collective_cause_global_read = 0;
- int no_collective_cause_global_expected = 0;
+ uint32_t no_collective_cause_local_read = 0;
+ uint32_t no_collective_cause_local_expected = 0;
+ uint32_t no_collective_cause_global_read = 0;
+ uint32_t no_collective_cause_global_expected = 0;
const char * filename;
const char * test_name;
@@ -3495,7 +3493,18 @@ test_no_collective_cause_mode_filter(int selection_mode)
#ifdef H5_HAVE_FILTER_FLETCHER32
H5Z_filter_t filter_info;
#endif
+ char message[256];
+
+ /* Set up MPI parameters */
+ MPI_Comm_size(MPI_COMM_WORLD, &mpi_size);
+ MPI_Comm_rank(MPI_COMM_WORLD, &mpi_rank);
+
+ MPI_Barrier(MPI_COMM_WORLD);
+
+ HDassert(mpi_size >= 1);
+ mpi_comm = MPI_COMM_WORLD;
+ mpi_info = MPI_INFO_NULL;
/* Create the dataset creation plist */
dcpl = H5Pcreate(H5P_DATASET_CREATE);
@@ -3523,15 +3532,6 @@ test_no_collective_cause_mode_filter(int selection_mode)
sid = H5Screate_simple (RANK, dims, NULL);
VRFY((sid >= 0), "H5Screate_simple succeeded");
- /* Set up MPI parameters */
- MPI_Comm_size(MPI_COMM_WORLD, &mpi_size);
- MPI_Comm_rank(MPI_COMM_WORLD, &mpi_rank);
-
-
- HDassert(mpi_size >= 1);
-
- mpi_comm = MPI_COMM_WORLD;
- mpi_info = MPI_INFO_NULL;
filename = (const char *)GetTestParameters();
HDassert(filename != NULL);
@@ -3651,16 +3651,12 @@ test_no_collective_cause_mode_filter(int selection_mode)
VRFY((ret >= 0), "retriving no collective cause succeeded" );
/* Test values */
- if(no_collective_cause_local_expected != (unsigned) -1 && no_collective_cause_global_expected != (unsigned) -1) {
- char message[100];
- sprintf(message, "Local cause of Broken Collective I/O has the correct value for %s.\n",test_name);
- VRFY((no_collective_cause_local_read == no_collective_cause_local_expected), message);
- sprintf(message, "Global cause of Broken Collective I/O has the correct value for %s.\n",test_name);
- VRFY((no_collective_cause_global_read == no_collective_cause_global_expected), message);
- } else {
- HDfprintf(stderr, "%s %d -> (%d,%d)\n", test_name, mpi_rank,
- test_no_collective_cause_mode_filter, no_collective_cause_local_read);
- }
+ memset (message, 0, sizeof (message));
+ sprintf(message, "Local cause of Broken Collective I/O has the correct value for %s.\n",test_name);
+ VRFY((no_collective_cause_local_read == (uint32_t)no_collective_cause_local_expected), message);
+ memset (message, 0, sizeof (message));
+ sprintf(message, "Global cause of Broken Collective I/O has the correct value for %s.\n",test_name);
+ VRFY((no_collective_cause_global_read == (uint32_t)no_collective_cause_global_expected), message);
/* Release some resources */
if (sid)
diff --git a/testpar/t_prop.c b/testpar/t_prop.c
new file mode 100644
index 0000000..4601316
--- /dev/null
+++ b/testpar/t_prop.c
@@ -0,0 +1,452 @@
+/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ * Copyright by The HDF Group. *
+ * Copyright by the Board of Trustees of the University of Illinois. *
+ * All rights reserved. *
+ * *
+ * This file is part of HDF5. The full HDF5 copyright notice, including *
+ * terms governing use, modification, and redistribution, is contained in *
+ * the files COPYING and Copyright.html. COPYING can be found at the root *
+ * of the source code distribution tree; Copyright.html can be found at the *
+ * root level of an installed copy of the electronic HDF5 document set and *
+ * is linked from the top-level documents page. It can also be found at *
+ * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
+ * access to either file, you may request a copy from help@hdfgroup.org. *
+ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
+
+/*
+ * Parallel tests for encoding/decoding plists sent between processes
+ */
+
+#include "testphdf5.h"
+#include "H5Pprivate.h"
+
+static int
+test_encode_decode(hid_t orig_pl, int mpi_rank, int recv_proc)
+{
+ MPI_Request req[2];
+ MPI_Status status;
+ hid_t pl; /* Decoded property list */
+ void *buf = NULL;
+ size_t buf_size = 0;
+ herr_t ret; /* Generic return value */
+
+ if(mpi_rank == 0) {
+ /* first call to encode returns only the size of the buffer needed */
+ ret = H5Pencode(orig_pl, NULL, &buf_size);
+ VRFY((ret >= 0), "H5Pencode succeeded");
+
+ buf = (uint8_t *)HDmalloc(buf_size);
+
+ ret = H5Pencode(orig_pl, buf, &buf_size);
+ VRFY((ret >= 0), "H5Pencode succeeded");
+
+ MPI_Isend(&buf_size, 1, MPI_INT, recv_proc, 123, MPI_COMM_WORLD, &req[0]);
+ MPI_Isend(buf, (int)buf_size, MPI_BYTE, recv_proc, 124, MPI_COMM_WORLD, &req[1]);
+ } /* end if */
+ if(mpi_rank == recv_proc) {
+ MPI_Recv(&buf_size, 1, MPI_INT, 0, 123, MPI_COMM_WORLD, &status);
+ buf = (uint8_t *)HDmalloc(buf_size);
+ MPI_Recv(buf, (int)buf_size, MPI_BYTE, 0, 124, MPI_COMM_WORLD, &status);
+
+ pl = H5Pdecode(buf);
+ VRFY((pl >= 0), "H5Pdecode succeeded");
+
+ VRFY(H5Pequal(orig_pl, pl), "Property List Equal Succeeded");
+
+ ret = H5Pclose(pl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+ } /* end if */
+
+ if(0 == mpi_rank)
+ MPI_Waitall(2, req, MPI_STATUSES_IGNORE);
+
+ if(NULL != buf)
+ HDfree(buf);
+
+ MPI_Barrier(MPI_COMM_WORLD);
+
+ return(0);
+}
+
+
+
+void
+test_plist_ed(void)
+{
+ hid_t dcpl; /* dataset create prop. list */
+ hid_t dapl; /* dataset access prop. list */
+ hid_t dxpl; /* dataset transfer prop. list */
+ hid_t gcpl; /* group create prop. list */
+ hid_t lcpl; /* link create prop. list */
+ hid_t lapl; /* link access prop. list */
+ hid_t ocpypl; /* object copy prop. list */
+ hid_t ocpl; /* object create prop. list */
+ hid_t fapl; /* file access prop. list */
+ hid_t fcpl; /* file create prop. list */
+ hid_t strcpl; /* string create prop. list */
+ hid_t acpl; /* attribute create prop. list */
+
+ int mpi_size, mpi_rank, recv_proc;
+
+ hsize_t chunk_size = 16384; /* chunk size */
+ double fill = 2.7f; /* Fill value */
+ size_t nslots = 521*2;
+ size_t nbytes = 1048576 * 10;
+ double w0 = 0.5f;
+ unsigned max_compact;
+ unsigned min_dense;
+ hsize_t max_size[1]; /*data space maximum size */
+ const char* c_to_f = "x+32";
+ H5AC_cache_config_t my_cache_config = {
+ H5AC__CURR_CACHE_CONFIG_VERSION,
+ TRUE,
+ FALSE,
+ FALSE,
+ "temp",
+ TRUE,
+ FALSE,
+ ( 2 * 2048 * 1024),
+ 0.3f,
+ (64 * 1024 * 1024),
+ (4 * 1024 * 1024),
+ 60000,
+ H5C_incr__threshold,
+ 0.8f,
+ 3.0f,
+ TRUE,
+ (8 * 1024 * 1024),
+ H5C_flash_incr__add_space,
+ 2.0f,
+ 0.25f,
+ H5C_decr__age_out_with_threshold,
+ 0.997f,
+ 0.8f,
+ TRUE,
+ (3 * 1024 * 1024),
+ 3,
+ FALSE,
+ 0.2f,
+ (256 * 2048),
+ H5AC__DEFAULT_METADATA_WRITE_STRATEGY};
+
+ herr_t ret; /* Generic return value */
+
+
+ if(VERBOSE_MED)
+ printf("Encode/Decode DCPLs\n");
+
+ /* set up MPI parameters */
+ MPI_Comm_size(MPI_COMM_WORLD, &mpi_size);
+ MPI_Comm_rank(MPI_COMM_WORLD, &mpi_rank);
+
+ if(mpi_size == 1)
+ recv_proc = 0;
+ else
+ recv_proc = 1;
+
+ dcpl = H5Pcreate(H5P_DATASET_CREATE);
+ VRFY((dcpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_chunk(dcpl, 1, &chunk_size);
+ VRFY((ret >= 0), "H5Pset_chunk succeeded");
+
+ ret = H5Pset_alloc_time(dcpl, H5D_ALLOC_TIME_LATE);
+ VRFY((ret >= 0), "H5Pset_alloc_time succeeded");
+
+ ret = H5Pset_fill_value(dcpl, H5T_NATIVE_DOUBLE, &fill);
+ VRFY((ret>=0), "set fill-value succeeded");
+
+ max_size[0] = 100;
+ ret = H5Pset_external(dcpl, "ext1.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4));
+ VRFY((ret>=0), "set external succeeded");
+ ret = H5Pset_external(dcpl, "ext2.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4));
+ VRFY((ret>=0), "set external succeeded");
+ ret = H5Pset_external(dcpl, "ext3.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4));
+ VRFY((ret>=0), "set external succeeded");
+ ret = H5Pset_external(dcpl, "ext4.data", (off_t)0,
+ (hsize_t)(max_size[0] * sizeof(int)/4));
+ VRFY((ret>=0), "set external succeeded");
+
+ ret = test_encode_decode(dcpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(dcpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE DAPLS *****/
+ dapl = H5Pcreate(H5P_DATASET_ACCESS);
+ VRFY((dapl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_chunk_cache(dapl, nslots, nbytes, w0);
+ VRFY((ret >= 0), "H5Pset_chunk_cache succeeded");
+
+ ret = test_encode_decode(dapl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(dapl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE OCPLS *****/
+ ocpl = H5Pcreate(H5P_OBJECT_CREATE);
+ VRFY((ocpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_attr_creation_order(ocpl, (H5P_CRT_ORDER_TRACKED | H5P_CRT_ORDER_INDEXED));
+ VRFY((ret >= 0), "H5Pset_attr_creation_order succeeded");
+
+ ret = H5Pset_attr_phase_change(ocpl, 110, 105);
+ VRFY((ret >= 0), "H5Pset_attr_phase_change succeeded");
+
+ ret = H5Pset_filter(ocpl, H5Z_FILTER_FLETCHER32, 0, (size_t)0, NULL);
+ VRFY((ret >= 0), "H5Pset_filter succeeded");
+
+ ret = test_encode_decode(ocpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(ocpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE DXPLS *****/
+ dxpl = H5Pcreate(H5P_DATASET_XFER);
+ VRFY((dxpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_btree_ratios(dxpl, 0.2f, 0.6f, 0.2f);
+ VRFY((ret >= 0), "H5Pset_btree_ratios succeeded");
+
+ ret = H5Pset_hyper_vector_size(dxpl, 5);
+ VRFY((ret >= 0), "H5Pset_hyper_vector_size succeeded");
+
+ ret = H5Pset_dxpl_mpio(dxpl, H5FD_MPIO_COLLECTIVE);
+ VRFY((ret >= 0), "H5Pset_dxpl_mpio succeeded");
+
+ ret = H5Pset_dxpl_mpio_collective_opt(dxpl, H5FD_MPIO_INDIVIDUAL_IO);
+ VRFY((ret >= 0), "H5Pset_dxpl_mpio_collective_opt succeeded");
+
+ ret = H5Pset_dxpl_mpio_chunk_opt(dxpl, H5FD_MPIO_CHUNK_MULTI_IO);
+ VRFY((ret >= 0), "H5Pset_dxpl_mpio_chunk_opt succeeded");
+
+ ret = H5Pset_dxpl_mpio_chunk_opt_ratio(dxpl, 30);
+ VRFY((ret >= 0), "H5Pset_dxpl_mpio_chunk_opt_ratio succeeded");
+
+ ret = H5Pset_dxpl_mpio_chunk_opt_num(dxpl, 40);
+ VRFY((ret >= 0), "H5Pset_dxpl_mpio_chunk_opt_num succeeded");
+
+ ret = H5Pset_edc_check(dxpl, H5Z_DISABLE_EDC);
+ VRFY((ret >= 0), "H5Pset_edc_check succeeded");
+
+ ret = H5Pset_data_transform(dxpl, c_to_f);
+ VRFY((ret >= 0), "H5Pset_data_transform succeeded");
+
+ ret = test_encode_decode(dxpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(dxpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE GCPLS *****/
+ gcpl = H5Pcreate(H5P_GROUP_CREATE);
+ VRFY((gcpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_local_heap_size_hint(gcpl, 256);
+ VRFY((ret >= 0), "H5Pset_local_heap_size_hint succeeded");
+
+ ret = H5Pset_link_phase_change(gcpl, 2, 2);
+ VRFY((ret >= 0), "H5Pset_link_phase_change succeeded");
+
+ /* Query the group creation properties */
+ ret = H5Pget_link_phase_change(gcpl, &max_compact, &min_dense);
+ VRFY((ret >= 0), "H5Pget_est_link_info succeeded");
+
+ ret = H5Pset_est_link_info(gcpl, 3, 9);
+ VRFY((ret >= 0), "H5Pset_est_link_info succeeded");
+
+ ret = H5Pset_link_creation_order(gcpl, (H5P_CRT_ORDER_TRACKED | H5P_CRT_ORDER_INDEXED));
+ VRFY((ret >= 0), "H5Pset_link_creation_order succeeded");
+
+ ret = test_encode_decode(gcpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(gcpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE LCPLS *****/
+ lcpl = H5Pcreate(H5P_LINK_CREATE);
+ VRFY((lcpl >= 0), "H5Pcreate succeeded");
+
+ ret= H5Pset_create_intermediate_group(lcpl, TRUE);
+ VRFY((ret >= 0), "H5Pset_create_intermediate_group succeeded");
+
+ ret = test_encode_decode(lcpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(lcpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE LAPLS *****/
+ lapl = H5Pcreate(H5P_LINK_ACCESS);
+ VRFY((lapl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_nlinks(lapl, (size_t)134);
+ VRFY((ret >= 0), "H5Pset_nlinks succeeded");
+
+ ret = H5Pset_elink_acc_flags(lapl, H5F_ACC_RDONLY);
+ VRFY((ret >= 0), "H5Pset_elink_acc_flags succeeded");
+
+ ret = H5Pset_elink_prefix(lapl, "/tmpasodiasod");
+ VRFY((ret >= 0), "H5Pset_nlinks succeeded");
+
+ /* Create FAPL for the elink FAPL */
+ fapl = H5Pcreate(H5P_FILE_ACCESS);
+ VRFY((fapl >= 0), "H5Pcreate succeeded");
+ ret = H5Pset_alignment(fapl, 2, 1024);
+ VRFY((ret >= 0), "H5Pset_alignment succeeded");
+
+ ret = H5Pset_elink_fapl(lapl, fapl);
+ VRFY((ret >= 0), "H5Pset_elink_fapl succeeded");
+
+ /* Close the elink's FAPL */
+ ret = H5Pclose(fapl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+ ret = test_encode_decode(lapl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(lapl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE OCPYPLS *****/
+ ocpypl = H5Pcreate(H5P_OBJECT_COPY);
+ VRFY((ocpypl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_copy_object(ocpypl, H5O_COPY_EXPAND_EXT_LINK_FLAG);
+ VRFY((ret >= 0), "H5Pset_copy_object succeeded");
+
+ ret = H5Padd_merge_committed_dtype_path(ocpypl, "foo");
+ VRFY((ret >= 0), "H5Padd_merge_committed_dtype_path succeeded");
+
+ ret = H5Padd_merge_committed_dtype_path(ocpypl, "bar");
+ VRFY((ret >= 0), "H5Padd_merge_committed_dtype_path succeeded");
+
+ ret = test_encode_decode(ocpypl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(ocpypl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE FAPLS *****/
+ fapl = H5Pcreate(H5P_FILE_ACCESS);
+ VRFY((fapl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_family_offset(fapl, 1024);
+ VRFY((ret >= 0), "H5Pset_family_offset succeeded");
+
+ ret = H5Pset_meta_block_size(fapl, 2098452);
+ VRFY((ret >= 0), "H5Pset_meta_block_size succeeded");
+
+ ret = H5Pset_sieve_buf_size(fapl, 1048576);
+ VRFY((ret >= 0), "H5Pset_sieve_buf_size succeeded");
+
+ ret = H5Pset_alignment(fapl, 2, 1024);
+ VRFY((ret >= 0), "H5Pset_alignment succeeded");
+
+ ret = H5Pset_cache(fapl, 1024, 128, 10485760, 0.3f);
+ VRFY((ret >= 0), "H5Pset_cache succeeded");
+
+ ret = H5Pset_elink_file_cache_size(fapl, 10485760);
+ VRFY((ret >= 0), "H5Pset_elink_file_cache_size succeeded");
+
+ ret = H5Pset_gc_references(fapl, 1);
+ VRFY((ret >= 0), "H5Pset_gc_references succeeded");
+
+ ret = H5Pset_small_data_block_size(fapl, 2048);
+ VRFY((ret >= 0), "H5Pset_small_data_block_size succeeded");
+
+ ret = H5Pset_libver_bounds(fapl, H5F_LIBVER_LATEST, H5F_LIBVER_LATEST);
+ VRFY((ret >= 0), "H5Pset_libver_bounds succeeded");
+
+ ret = H5Pset_fclose_degree(fapl, H5F_CLOSE_WEAK);
+ VRFY((ret >= 0), "H5Pset_fclose_degree succeeded");
+
+ ret = H5Pset_multi_type(fapl, H5FD_MEM_GHEAP);
+ VRFY((ret >= 0), "H5Pset_multi_type succeeded");
+
+ ret = H5Pset_mdc_config(fapl, &my_cache_config);
+ VRFY((ret >= 0), "H5Pset_mdc_config succeeded");
+
+ ret = test_encode_decode(fapl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(fapl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE FCPLS *****/
+ fcpl = H5Pcreate(H5P_FILE_CREATE);
+ VRFY((fcpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_userblock(fcpl, 1024);
+ VRFY((ret >= 0), "H5Pset_userblock succeeded");
+
+ ret = H5Pset_istore_k(fcpl, 3);
+ VRFY((ret >= 0), "H5Pset_istore_k succeeded");
+
+ ret = H5Pset_sym_k(fcpl, 4, 5);
+ VRFY((ret >= 0), "H5Pset_sym_k succeeded");
+
+ ret = H5Pset_shared_mesg_nindexes(fcpl, 8);
+ VRFY((ret >= 0), "H5Pset_shared_mesg_nindexes succeeded");
+
+ ret = H5Pset_shared_mesg_index(fcpl, 1, H5O_SHMESG_SDSPACE_FLAG, 32);
+ VRFY((ret >= 0), "H5Pset_shared_mesg_index succeeded");
+
+ ret = H5Pset_shared_mesg_phase_change(fcpl, 60, 20);
+ VRFY((ret >= 0), "H5Pset_shared_mesg_phase_change succeeded");
+
+ ret = H5Pset_sizes(fcpl, 8, 4);
+ VRFY((ret >= 0), "H5Pset_sizes succeeded");
+
+ ret = test_encode_decode(fcpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(fcpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE STRCPLS *****/
+ strcpl = H5Pcreate(H5P_STRING_CREATE);
+ VRFY((strcpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_char_encoding(strcpl, H5T_CSET_UTF8);
+ VRFY((ret >= 0), "H5Pset_char_encoding succeeded");
+
+ ret = test_encode_decode(strcpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(strcpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+
+
+ /******* ENCODE/DECODE ACPLS *****/
+ acpl = H5Pcreate(H5P_ATTRIBUTE_CREATE);
+ VRFY((acpl >= 0), "H5Pcreate succeeded");
+
+ ret = H5Pset_char_encoding(acpl, H5T_CSET_UTF8);
+ VRFY((ret >= 0), "H5Pset_char_encoding succeeded");
+
+ ret = test_encode_decode(acpl, mpi_rank, recv_proc);
+ VRFY((ret >= 0), "test_encode_decode succeeded");
+
+ ret = H5Pclose(acpl);
+ VRFY((ret >= 0), "H5Pclose succeeded");
+}
+
diff --git a/testpar/testpar.h b/testpar/testpar.h
index ce11204..2c99103 100644
--- a/testpar/testpar.h
+++ b/testpar/testpar.h
@@ -18,6 +18,9 @@
#ifndef TESTPAR_H
#define TESTPAR_H
+/* Indicate that these are parallel tests, for the testing framework */
+#define H5_PARALLEL_TEST
+
#include "h5test.h"
/* Constants definitions */
diff --git a/testpar/testphdf5.c b/testpar/testphdf5.c
index a4df46e..97a377e 100644
--- a/testpar/testphdf5.c
+++ b/testpar/testphdf5.c
@@ -510,6 +510,9 @@ int main(int argc, char **argv)
"test cause for broken collective io",
PARATESTFILE);
+ AddTest("edpl", test_plist_ed, NULL,
+ "encode/decode Property Lists", NULL);
+
if((mpi_size < 2) && MAINPROCESS) {
printf("File Image Ops daisy chain test needs at least 2 processes.\n");
printf("File Image Ops daisy chain test will be skipped \n");
diff --git a/testpar/testphdf5.h b/testpar/testphdf5.h
index 29ad411..2219dc9 100644
--- a/testpar/testphdf5.h
+++ b/testpar/testphdf5.h
@@ -234,6 +234,7 @@ extern int facc_type; /*Test file access type */
extern int dxfer_coll_type;
/* Test program prototypes */
+void test_plist_ed(void);
void multiple_dset_write(void);
void multiple_group_write(void);
void multiple_group_read(void);
diff --git a/tools/CMakeLists.txt b/tools/CMakeLists.txt
index 6cdfcac..b48a1b9 100644
--- a/tools/CMakeLists.txt
+++ b/tools/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_TOOLS)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Setup include Directories
#-----------------------------------------------------------------------------
INCLUDE_DIRECTORIES (${HDF5_TOOLS_SOURCE_DIR}/lib)
diff --git a/tools/h5copy/h5copygentest.c b/tools/h5copy/h5copygentest.c
index e658e92..e45d24a 100644
--- a/tools/h5copy/h5copygentest.c
+++ b/tools/h5copy/h5copygentest.c
@@ -284,14 +284,14 @@ static void gent_nested_vl(hid_t loc_id)
/* allocate and initialize VL dataset to write */
buf[0].len = 1;
buf[0].p = malloc( 1 * sizeof(hvl_t));
- tvl = buf[0].p;
+ tvl = (hvl_t *)buf[0].p;
tvl->p = malloc( 1 * sizeof(int) );
tvl->len = 1;
((int *)tvl->p)[0]=1;
buf[1].len = 1;
buf[1].p = malloc( 1 * sizeof(hvl_t));
- tvl = buf[1].p;
+ tvl = (hvl_t *)buf[1].p;
tvl->p = malloc( 2 * sizeof(int) );
tvl->len = 2;
((int *)tvl->p)[0]=2;
@@ -642,7 +642,7 @@ out:
* Purpose: Testing with various objects
*
*------------------------------------------------------------------------*/
-static void Test_Obj_Copy()
+static void Test_Obj_Copy(void)
{
hid_t fid=0;
@@ -673,7 +673,7 @@ out:
* Purpose: Testing with various references
*
*------------------------------------------------------------------------*/
-static void Test_Ref_Copy()
+static void Test_Ref_Copy(void)
{
hid_t fid=0;
herr_t status;
@@ -839,7 +839,7 @@ out:
* Purpose: gerenate external link files
*
*------------------------------------------------------------------------*/
-static void Test_Extlink_Copy()
+static void Test_Extlink_Copy(void)
{
hid_t fid1=0;
hid_t fid2=0;
diff --git a/tools/h5diff/testfiles/h5diff_220.txt b/tools/h5diff/testfiles/h5diff_220.txt
index cadbb6d..0092fc1 100644
--- a/tools/h5diff/testfiles/h5diff_220.txt
+++ b/tools/h5diff/testfiles/h5diff_220.txt
@@ -1,8 +1,6 @@
Not comparable: </g1/dset1> is of class H5T_INTEGER and </g1/dset1> is of class H5T_STRING
attribute: <attr of </g1/dset1>> and <attr of </g1/dset1>>
3 differences found
-dataset: </g1/dset1> and </g1/dset1>
-3 differences found
dataset: </g1/dset2> and </g1/dset2>
3 differences found
EXIT CODE: 1
diff --git a/tools/h5diff/testfiles/h5diff_221.txt b/tools/h5diff/testfiles/h5diff_221.txt
index 621f5c3..5f10860 100644
--- a/tools/h5diff/testfiles/h5diff_221.txt
+++ b/tools/h5diff/testfiles/h5diff_221.txt
@@ -1,3 +1,5 @@
+dataset: </g2/dset1> and </g2/dset1>
+3 differences found
Not comparable: <attr1> is of class H5T_INTEGER and <attr1> is of class H5T_STRING
Not comparable: <attr2> has rank 1, dimensions [3], max dimensions [3]
and <attr2> has rank 1, dimensions [4], max dimensions [4]
@@ -5,8 +7,6 @@ Not comparable: <attr3> has rank 1, dimensions [3], max dimensions [3]
and <attr3> has rank 2, dimensions [3x1], max dimensions [3x1]
attribute: <attr4 of </g2/dset1>> and <attr4 of </g2/dset1>>
3 differences found
-dataset: </g2/dset1> and </g2/dset1>
-6 differences found
dataset: </g2/dset2> and </g2/dset2>
3 differences found
EXIT CODE: 1
diff --git a/tools/h5diff/testfiles/h5diff_222.txt b/tools/h5diff/testfiles/h5diff_222.txt
index 53c9464..77447da 100644
--- a/tools/h5diff/testfiles/h5diff_222.txt
+++ b/tools/h5diff/testfiles/h5diff_222.txt
@@ -4,10 +4,10 @@ Not comparable: </diffobjtypes/obj3> is of type H5G_TYPE and </diffobjtypes/obj3
Not comparable: </g1/dset1> is of class H5T_INTEGER and </g1/dset1> is of class H5T_STRING
attribute: <attr of </g1/dset1>> and <attr of </g1/dset1>>
3 differences found
-dataset: </g1/dset1> and </g1/dset1>
-3 differences found
dataset: </g1/dset2> and </g1/dset2>
3 differences found
+dataset: </g2/dset1> and </g2/dset1>
+3 differences found
Not comparable: <attr1> is of class H5T_INTEGER and <attr1> is of class H5T_STRING
Not comparable: <attr2> has rank 1, dimensions [3], max dimensions [3]
and <attr2> has rank 1, dimensions [4], max dimensions [4]
@@ -15,8 +15,6 @@ Not comparable: <attr3> has rank 1, dimensions [3], max dimensions [3]
and <attr3> has rank 2, dimensions [3x1], max dimensions [3x1]
attribute: <attr4 of </g2/dset1>> and <attr4 of </g2/dset1>>
3 differences found
-dataset: </g2/dset1> and </g2/dset1>
-6 differences found
dataset: </g2/dset2> and </g2/dset2>
3 differences found
EXIT CODE: 1
diff --git a/tools/h5diff/testfiles/h5diff_59.txt b/tools/h5diff/testfiles/h5diff_59.txt
index aeefa3a..996a7b2 100644
--- a/tools/h5diff/testfiles/h5diff_59.txt
+++ b/tools/h5diff/testfiles/h5diff_59.txt
@@ -2,10 +2,10 @@ dataset: </dset11a> and </dset11b>
Warning: different storage datatype
</dset11a> has file datatype H5T_STD_U16LE
</dset11b> has file datatype H5T_STD_U32LE
+0 differences found
Warning: different storage datatype
<attr> has file datatype H5T_STD_U16LE
<attr> has file datatype H5T_STD_U32LE
attribute: <attr of </dset11a>> and <attr of </dset11b>>
0 differences found
-0 differences found
EXIT CODE: 0
diff --git a/tools/h5diff/testfiles/h5diff_70.txt b/tools/h5diff/testfiles/h5diff_70.txt
index 47b057c..0a6b0c0 100644
--- a/tools/h5diff/testfiles/h5diff_70.txt
+++ b/tools/h5diff/testfiles/h5diff_70.txt
@@ -678,6 +678,7 @@ position vlen3D of </> vlen3D of </> difference
59 differences found
dataset: </dset> and </dset>
Not comparable: </dset> or </dset> is an empty dataset
+0 differences found
attribute: <VLstring of </dset>> and <VLstring of </dset>>
size: [2] [2]
position VLstring of </dset> VLstring of </dset> difference
@@ -1353,7 +1354,6 @@ position vlen3D of </dset> vlen3D of </dset> difference
[ 3 2 1 ] 58 0 58
[ 3 2 1 ] 59 0 59
59 differences found
-519 differences found
group : </g1> and </g1>
0 differences found
attribute: <VLstring of </g1>> and <VLstring of </g1>>
diff --git a/tools/h5diff/testfiles/h5diff_700.txt b/tools/h5diff/testfiles/h5diff_700.txt
index 00c5b07..1cf71dd 100644
--- a/tools/h5diff/testfiles/h5diff_700.txt
+++ b/tools/h5diff/testfiles/h5diff_700.txt
@@ -681,6 +681,7 @@ position vlen3D of </> vlen3D of </> difference
dataset: </dset> and </dset>
Not comparable: </dset> or </dset> is an empty dataset
+0 differences found
Attributes status: 33 common, 0 only in obj1, 0 only in obj2
attribute: <VLstring of </dset>> and <VLstring of </dset>>
size: [2] [2]
@@ -1357,7 +1358,6 @@ position vlen3D of </dset> vlen3D of </dset> difference
[ 3 2 1 ] 58 0 58
[ 3 2 1 ] 59 0 59
59 differences found
-519 differences found
group : </g1> and </g1>
0 differences found
diff --git a/tools/h5diff/testfiles/h5diff_701.txt b/tools/h5diff/testfiles/h5diff_701.txt
index a4b436f..405ab2f 100644
--- a/tools/h5diff/testfiles/h5diff_701.txt
+++ b/tools/h5diff/testfiles/h5diff_701.txt
@@ -713,6 +713,7 @@ position vlen3D of </> vlen3D of </> difference
dataset: </dset> and </dset>
Not comparable: </dset> or </dset> is an empty dataset
+0 differences found
obj1 obj2
--------------------------------------
x x VLstring
@@ -1424,7 +1425,6 @@ position vlen3D of </dset> vlen3D of </dset> difference
[ 3 2 1 ] 58 0 58
[ 3 2 1 ] 59 0 59
59 differences found
-519 differences found
group : </g1> and </g1>
0 differences found
diff --git a/tools/h5diff/testfiles/h5diff_702.txt b/tools/h5diff/testfiles/h5diff_702.txt
index 00c5b07..1cf71dd 100644
--- a/tools/h5diff/testfiles/h5diff_702.txt
+++ b/tools/h5diff/testfiles/h5diff_702.txt
@@ -681,6 +681,7 @@ position vlen3D of </> vlen3D of </> difference
dataset: </dset> and </dset>
Not comparable: </dset> or </dset> is an empty dataset
+0 differences found
Attributes status: 33 common, 0 only in obj1, 0 only in obj2
attribute: <VLstring of </dset>> and <VLstring of </dset>>
size: [2] [2]
@@ -1357,7 +1358,6 @@ position vlen3D of </dset> vlen3D of </dset> difference
[ 3 2 1 ] 58 0 58
[ 3 2 1 ] 59 0 59
59 differences found
-519 differences found
group : </g1> and </g1>
0 differences found
diff --git a/tools/h5diff/testfiles/h5diff_703.txt b/tools/h5diff/testfiles/h5diff_703.txt
index a4b436f..405ab2f 100644
--- a/tools/h5diff/testfiles/h5diff_703.txt
+++ b/tools/h5diff/testfiles/h5diff_703.txt
@@ -713,6 +713,7 @@ position vlen3D of </> vlen3D of </> difference
dataset: </dset> and </dset>
Not comparable: </dset> or </dset> is an empty dataset
+0 differences found
obj1 obj2
--------------------------------------
x x VLstring
@@ -1424,7 +1425,6 @@ position vlen3D of </dset> vlen3D of </dset> difference
[ 3 2 1 ] 58 0 58
[ 3 2 1 ] 59 0 59
59 differences found
-519 differences found
group : </g1> and </g1>
0 differences found
diff --git a/tools/h5diff/testfiles/h5diff_705.txt b/tools/h5diff/testfiles/h5diff_705.txt
index 1609189..2e52f18 100644
--- a/tools/h5diff/testfiles/h5diff_705.txt
+++ b/tools/h5diff/testfiles/h5diff_705.txt
@@ -1,5 +1,6 @@
dataset: </dset> and </dset>
+0 differences found
obj1 obj2
--------------------------------------
x float2
@@ -13,5 +14,4 @@ position integer1 of </dset> integer1 of </dset> difference
[ 0 ] 1 2 1
[ 1 ] 2 3 1
2 differences found
-2 differences found
EXIT CODE: 1
diff --git a/tools/h5diff/testfiles/h5diff_710.txt b/tools/h5diff/testfiles/h5diff_710.txt
index 862c062..10a8501 100644
--- a/tools/h5diff/testfiles/h5diff_710.txt
+++ b/tools/h5diff/testfiles/h5diff_710.txt
@@ -17,6 +17,7 @@ group : </> and </>
Attributes status: 0 common, 0 only in obj1, 0 only in obj2
dataset: </dset> and </dset>
+0 differences found
obj1 obj2
--------------------------------------
x float2
@@ -30,7 +31,6 @@ position integer1 of </dset> integer1 of </dset> difference
[ 0 ] 1 2 1
[ 1 ] 2 3 1
2 differences found
-2 differences found
group : </g> and </g>
0 differences found
diff --git a/tools/h5dump/CMakeLists.txt b/tools/h5dump/CMakeLists.txt
index 0327949..8766eb6 100644
--- a/tools/h5dump/CMakeLists.txt
+++ b/tools/h5dump/CMakeLists.txt
@@ -159,6 +159,8 @@ IF (BUILD_TESTING)
${HDF5_TOOLS_SRC_DIR}/testfiles/tperror.ddl
${HDF5_TOOLS_SRC_DIR}/testfiles/treference.ddl
${HDF5_TOOLS_SRC_DIR}/testfiles/tsaf.ddl
+ ${HDF5_TOOLS_SRC_DIR}/testfiles/tscalarintsize.ddl
+ ${HDF5_TOOLS_SRC_DIR}/testfiles/tscalarattrintsize.ddl
${HDF5_TOOLS_SRC_DIR}/testfiles/tscaleoffset.ddl
${HDF5_TOOLS_SRC_DIR}/testfiles/tshuffle.ddl
${HDF5_TOOLS_SRC_DIR}/testfiles/tslink-1.ddl
@@ -260,6 +262,8 @@ IF (BUILD_TESTING)
${HDF5_TOOLS_SRC_DIR}/testfiles/torderattr.h5
${HDF5_TOOLS_SRC_DIR}/testfiles/tordergr.h5
${HDF5_TOOLS_SRC_DIR}/testfiles/tsaf.h5
+ ${HDF5_TOOLS_SRC_DIR}/testfiles/tscalarintsize.h5
+ ${HDF5_TOOLS_SRC_DIR}/testfiles/tscalarattrintsize.h5
${HDF5_TOOLS_SRC_DIR}/testfiles/tslink.h5
${HDF5_TOOLS_SRC_DIR}/testfiles/tsplit_file-m.h5
${HDF5_TOOLS_SRC_DIR}/testfiles/tsplit_file-r.h5
@@ -1016,6 +1020,10 @@ IF (BUILD_TESTING)
treference.out.err
tsaf.out
tsaf.out.err
+ tscalarintsize.out
+ tscalarintsize.out.err
+ tscalarattrintsize.out
+ tscalarattrintsize.out.err
tscaleoffset.out
tscaleoffset.out.err
tshuffle.out
@@ -1079,10 +1087,16 @@ IF (BUILD_TESTING)
ADD_H5_TEST (packedbits 0 --enable-error-stack packedbits.h5)
# test for compound signed/unsigned datasets
ADD_H5_TEST (tcmpdintsize 0 --enable-error-stack tcmpdintsize.h5)
+ # test for signed/unsigned scalar datasets
+ # TODO: failed on mac with intel compiler. (Allen will work on it)
+ #ADD_H5_TEST (tscalarintsize 0 --enable-error-stack tscalarintsize.h5)
# test for signed/unsigned attributes
ADD_H5_TEST (tattrintsize 0 --enable-error-stack tattrintsize.h5)
# test for compound signed/unsigned attributes
ADD_H5_TEST (tcmpdattrintsize 0 --enable-error-stack tcmpdattrintsize.h5)
+ # test for signed/unsigned scalar attributes
+ # TODO: failed on mac with intel compiler. (Allen will work on it)
+ #ADD_H5_TEST (tscalarattrintsize 0 --enable-error-stack tscalarattrintsize.h5)
# test for displaying groups
ADD_H5_TEST (tgroup-1 0 --enable-error-stack tgroup.h5)
# test for displaying the selected groups
diff --git a/tools/h5dump/h5dump.c b/tools/h5dump/h5dump.c
index 2921fb9..34b8bc4 100644
--- a/tools/h5dump/h5dump.c
+++ b/tools/h5dump/h5dump.c
@@ -932,7 +932,7 @@ parse_mask_list(const char *h_list)
static void
free_handler(struct handler_t *hand, int len)
{
- register int i;
+ int i;
if(hand) {
for (i = 0; i < len; i++) {
@@ -997,7 +997,7 @@ parse_command_line(int argc, const char *argv[])
/* this will be plenty big enough to hold the info */
if((hand = (struct handler_t *)HDcalloc((size_t)argc, sizeof(struct handler_t)))==NULL) {
- goto error;
+ goto error;
}
/* parse command line options */
@@ -1054,7 +1054,7 @@ parse_start:
break;
case 'w':
h5tools_nCols = HDatoi(opt_arg);
- if (h5tools_nCols==0) {
+ if (h5tools_nCols <= 0) {
h5tools_nCols = 65535;
}
last_was_dset = FALSE;
@@ -1293,7 +1293,7 @@ parse_start:
if (s->count.data) {
HDfree(s->count.data);
s->count.data = NULL;
- }
+ }
parse_hsize_list(opt_arg, &s->count);
break;
case 'k':
@@ -1356,6 +1356,7 @@ error:
return hand;
}
+
/*-------------------------------------------------------------------------
* Function: main
@@ -1423,6 +1424,7 @@ main(int argc, const char *argv[])
/* Initialize h5tools lib */
h5tools_init();
+
/* Disable tools error reporting */
H5Eget_auto2(H5tools_ERR_STACK_g, &tools_func, &tools_edata);
H5Eset_auto2(H5tools_ERR_STACK_g, NULL, NULL);
@@ -1449,28 +1451,28 @@ main(int argc, const char *argv[])
"to display selected objects");
h5tools_setstatus(EXIT_FAILURE);
goto done;
- }
+ }
else if (display_bb) {
error_msg("option \"%s\" not available for XML\n", "--boot-block");
h5tools_setstatus(EXIT_FAILURE);
goto done;
- }
+ }
else if (display_oid == 1) {
error_msg("option \"%s\" not available for XML\n", "--object-ids");
h5tools_setstatus(EXIT_FAILURE);
goto done;
- }
+ }
else if (display_char == TRUE) {
error_msg("option \"%s\" not available for XML\n", "--string");
h5tools_setstatus(EXIT_FAILURE);
goto done;
- }
+ }
else if (usingdasho) {
error_msg("option \"%s\" not available for XML\n", "--output");
h5tools_setstatus(EXIT_FAILURE);
goto done;
}
- }
+ }
else {
if (xml_dtd_uri) {
warn_msg("option \"%s\" only applies with XML: %s\n", "--xml-dtd", xml_dtd_uri);
diff --git a/tools/h5dump/h5dump_xml.c b/tools/h5dump/h5dump_xml.c
index 1a29659..28264e7 100644
--- a/tools/h5dump/h5dump_xml.c
+++ b/tools/h5dump/h5dump_xml.c
@@ -3761,7 +3761,8 @@ xml_dump_dataset(hid_t did, const char *name, struct subset_t UNUSED * sset)
/* Print information about storage layout */
if (H5D_CHUNKED == H5Pget_layout(dcpl)) {
maxdims = H5Sget_simple_extent_ndims(space);
- chsize = (hsize_t *) HDmalloc(maxdims * sizeof(hsize_t));
+ HDassert(maxdims >= 0);
+ chsize = (hsize_t *)HDmalloc((size_t)maxdims * sizeof(hsize_t));
ctx.indent_level++;
dump_indent += COL;
diff --git a/tools/h5dump/h5dump_xml.h b/tools/h5dump/h5dump_xml.h
index 28485f8..258fd38 100644
--- a/tools/h5dump/h5dump_xml.h
+++ b/tools/h5dump/h5dump_xml.h
@@ -102,14 +102,6 @@ static h5tool_format_t xml_dataformat = {
extern "C" {
#endif
-/* internal functions used by XML option */
-static void xml_print_datatype(hid_t, unsigned);
-static void xml_print_enum(hid_t);
-static int xml_print_refs(hid_t, int);
-static int xml_print_strs(hid_t, int);
-static char *xml_escape_the_string(const char *, int);
-static char *xml_escape_the_name(const char *);
-
/* The dump functions of the dump_function_table */
/* XML format: same interface, alternative output */
diff --git a/tools/h5dump/h5dumpgentest.c b/tools/h5dump/h5dumpgentest.c
index 63329f4..1bbb9f9 100644
--- a/tools/h5dump/h5dumpgentest.c
+++ b/tools/h5dump/h5dumpgentest.c
@@ -102,6 +102,8 @@
#define FILE70 "tcmpdintsize.h5"
#define FILE71 "tcmpdattrintsize.h5"
#define FILE72 "tnestedcmpddt.h5"
+#define FILE73 "tscalarintsize.h5"
+#define FILE74 "tscalarattrintsize.h5"
/*-------------------------------------------------------------------------
* prototypes
@@ -301,6 +303,23 @@ typedef struct s1_t {
/* Name of dataset to create in datafile */
#define F71_DATASETNAME "CompoundAttrIntSize"
+/* "FILE73" macros and for FILE69 */
+#define F73_ARRAY_RANK 2
+#define F73_XDIM 8
+#define F73_DATASETU08 "DU08BITS"
+#define F73_DATASETS08 "DS08BITS"
+#define F73_YDIM8 8
+#define F73_DATASETU16 "DU16BITS"
+#define F73_DATASETS16 "DS16BITS"
+#define F73_YDIM16 16
+#define F73_DATASETU32 "DU32BITS"
+#define F73_DATASETS32 "DS32BITS"
+#define F73_YDIM32 32
+#define F73_DATASETU64 "DU64BITS"
+#define F73_DATASETS64 "DS64BITS"
+#define F73_YDIM64 64
+#define F73_DUMMYDBL "DummyDBL"
+
static void
gent_group(void)
{
@@ -8097,6 +8116,404 @@ static void gent_nested_compound_dt(void) { /* test nested data type */
}
/*-------------------------------------------------------------------------
+ * Function: gent_intscalars
+ *
+ * Purpose: Generate a file to be used in the h5dump tests.
+ * Four datasets of 1, 2, 4 and 8 bytes of unsigned int types are created.
+ * Four more datasets of 1, 2, 4 and 8 bytes of signed int types are created.
+ * Fill them with raw data such that no bit will be all zero in a dataset.
+ * A dummy dataset of double type is created for failure test.
+ *-------------------------------------------------------------------------
+ */
+static void
+gent_intscalars(void)
+{
+ hid_t fid, dataset, space, tid;
+ hsize_t dims[2];
+ uint8_t dsetu8[F73_XDIM][F73_YDIM8], valu8bits;
+ uint16_t dsetu16[F73_XDIM][F73_YDIM16], valu16bits;
+ uint32_t dsetu32[F73_XDIM][F73_YDIM32], valu32bits;
+ uint64_t dsetu64[F73_XDIM][F73_YDIM64], valu64bits;
+ int8_t dset8[F73_XDIM][F73_YDIM8], val8bits;
+ int16_t dset16[F73_XDIM][F73_YDIM16], val16bits;
+ int32_t dset32[F73_XDIM][F73_YDIM32], val32bits;
+ int64_t dset64[F73_XDIM][F73_YDIM64], val64bits;
+ double dsetdbl[F73_XDIM][F73_YDIM8];
+ unsigned int i, j;
+
+ fid = H5Fcreate(FILE73, H5F_ACC_TRUNC, H5P_DEFAULT, H5P_DEFAULT);
+
+ /* Dataset of 8 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM8;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U8LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETU08, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu8bits = (uint8_t) ~0u; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu8[i][0] = valu8bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu8[i][j] = dsetu8[i][j-1] << 1;
+ }
+ valu8bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dsetu8);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 16 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM16;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U16LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETU16, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu16bits = (uint16_t) ~0u; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu16[i][0] = valu16bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu16[i][j] = dsetu16[i][j-1] << 1;
+ }
+ valu16bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dsetu16);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 32 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM32;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U32LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETU32, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu32bits = (uint32_t) ~0u; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu32[i][0] = valu32bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu32[i][j] = dsetu32[i][j-1] << 1;
+ }
+ valu32bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dsetu32);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 64 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM64;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U64LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETU64, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu64bits = (uint64_t) ~0Lu; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu64[i][0] = valu64bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu64[i][j] = dsetu64[i][j-1] << 1;
+ }
+ valu64bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dsetu64);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 8 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM8;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I8LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETS08, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ val8bits = (int8_t) ~0; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset8[i][0] = val8bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset8[i][j] = dset8[i][j-1] << 1;
+ }
+ val8bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dset8);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 16 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM16;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I16LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETS16, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ val16bits = (int16_t) ~0; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset16[i][0] = val16bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset16[i][j] = dset16[i][j-1] << 1;
+ }
+ val16bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dset16);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 32 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM32;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I32LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETS32, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ val32bits = (int32_t) ~0; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset32[i][0] = val32bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset32[i][j] = dset32[i][j-1] << 1;
+ }
+ val32bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dset32);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Dataset of 64 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM64;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I64LE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DATASETS64, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ val64bits = (int64_t) ~0L; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset64[i][0] = val64bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset64[i][j] = dset64[i][j-1] << 1;
+ }
+ val64bits <<= 1;
+ }
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dset64);
+ H5Sclose(space);
+ H5Dclose(dataset);
+
+ /* Double Dummy set for failure tests */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM8;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_NATIVE_DOUBLE, F73_ARRAY_RANK, dims);
+ dataset = H5Dcreate2(fid, F73_DUMMYDBL, tid, space, H5P_DEFAULT, H5P_DEFAULT, H5P_DEFAULT);
+
+ for(i = 0; i < dims[0]; i++)
+ for(j = 0; j < dims[1]; j++)
+ dsetdbl[i][j] = 0.0001 * j + i;
+
+ H5Dwrite(dataset, tid, H5S_ALL, H5S_ALL, H5P_DEFAULT, dsetdbl);
+
+ H5Sclose(space);
+ H5Dclose(dataset);
+ H5Fclose(fid);
+}
+
+/*-------------------------------------------------------------------------
+ * Function: gent_attr_packedbits
+ *
+ * Purpose: Generate a file to be used in the h5dump packed bits tests.
+ * Four attributes of 1, 2, 4 and 8 bytes of unsigned int types are created.
+ * Four more datasets of 1, 2, 4 and 8 bytes of signed int types are created.
+ * Fill them with raw data such that no bit will be all zero in a dataset.
+ * A dummy dataset of double type is created for failure test.
+ * Use file to test Signed/Unsigned datatypes and keep in sync with gent_packedbits()
+ *-------------------------------------------------------------------------
+ */
+static void
+gent_attr_intscalars(void)
+{
+ hid_t fid, attr, space, root, tid;
+ hsize_t dims[2];
+ uint8_t dsetu8[F73_XDIM][F73_YDIM8], valu8bits;
+ uint16_t dsetu16[F73_XDIM][F73_YDIM16], valu16bits;
+ uint32_t dsetu32[F73_XDIM][F73_YDIM32], valu32bits;
+ uint64_t dsetu64[F73_XDIM][F73_YDIM64], valu64bits;
+ int8_t dset8[F73_XDIM][F73_YDIM8], val8bits;
+ int16_t dset16[F73_XDIM][F73_YDIM16], val16bits;
+ int32_t dset32[F73_XDIM][F73_YDIM32], val32bits;
+ int64_t dset64[F73_XDIM][F73_YDIM64], val64bits;
+ double dsetdbl[F73_XDIM][F73_YDIM8];
+ unsigned int i, j;
+
+ fid = H5Fcreate(FILE74, H5F_ACC_TRUNC, H5P_DEFAULT, H5P_DEFAULT);
+ root = H5Gopen2(fid, "/", H5P_DEFAULT);
+
+ /* Attribute of 8 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM8;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U8LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETU08, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu8bits = (uint8_t) ~0u; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu8[i][0] = valu8bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu8[i][j] = dsetu8[i][j-1] << 1;
+ }
+ valu8bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dsetu8);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 16 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM16;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U16LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETU16, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu16bits = (uint16_t) ~0u; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu16[i][0] = valu16bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu16[i][j] = dsetu16[i][j-1] << 1;
+ }
+ valu16bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dsetu16);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 32 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM32;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U32LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETU32, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu32bits = (uint32_t) ~0u; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu32[i][0] = valu32bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu32[i][j] = dsetu32[i][j-1] << 1;
+ }
+ valu32bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dsetu32);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 64 bits unsigned int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM64;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_U64LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETU64, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ valu64bits = (uint64_t) ~0Lu; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dsetu64[i][0] = valu64bits;
+ for(j = 1; j < dims[1]; j++) {
+ dsetu64[i][j] = dsetu64[i][j-1] << 1;
+ }
+ valu64bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dsetu64);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 8 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM8;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I8LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETS08, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ val8bits = (int8_t) ~0; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset8[i][0] = val8bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset8[i][j] = dset8[i][j-1] << 1;
+ }
+ val8bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dset8);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 16 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM16;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I16LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETS16, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ val16bits = (int16_t) ~0; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset16[i][0] = val16bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset16[i][j] = dset16[i][j-1] << 1;
+ }
+ val16bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dset16);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 32 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM32;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I32LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETS32, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ val32bits = (int32_t) ~0; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset32[i][0] = val32bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset32[i][j] = dset32[i][j-1] << 1;
+ }
+ val32bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dset32);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Attribute of 64 bits signed int */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM64;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_STD_I64LE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DATASETS64, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ val64bits = (int64_t) ~0L; /* all 1s */
+ for(i = 0; i < dims[0]; i++){
+ dset64[i][0] = val64bits;
+ for(j = 1; j < dims[1]; j++) {
+ dset64[i][j] = dset64[i][j-1] << 1;
+ }
+ val64bits <<= 1;
+ }
+
+ H5Awrite(attr, tid, dset64);
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ /* Double Dummy set for failure tests */
+ dims[0] = F73_XDIM; dims[1] = F73_YDIM8;
+ space = H5Screate(H5S_SCALAR);
+ tid = H5Tarray_create2(H5T_NATIVE_DOUBLE, F73_ARRAY_RANK, dims);
+ attr = H5Acreate2(root, F73_DUMMYDBL, tid, space, H5P_DEFAULT, H5P_DEFAULT);
+
+ for(i = 0; i < dims[0]; i++)
+ for(j = 0; j < dims[1]; j++)
+ dsetdbl[i][j] = 0.0001 * j + i;
+
+ H5Awrite(attr, tid, dsetdbl);
+
+ H5Sclose(space);
+ H5Aclose(attr);
+
+ H5Gclose(root);
+ H5Fclose(fid);
+}
+
+/*-------------------------------------------------------------------------
* Function: main
*
*-------------------------------------------------------------------------
@@ -8178,6 +8595,8 @@ int main(void)
gent_compound_attr_intsizes();
gent_nested_compound_dt();
+ gent_intscalars();
+ gent_attr_intscalars();
return 0;
}
diff --git a/tools/h5dump/testh5dump.sh.in b/tools/h5dump/testh5dump.sh.in
index 2373f6f..c90c364 100644
--- a/tools/h5dump/testh5dump.sh.in
+++ b/tools/h5dump/testh5dump.sh.in
@@ -143,6 +143,8 @@ $SRC_H5DUMP_TESTFILES/zerodim.h5
$SRC_H5DUMP_TESTFILES/torderattr.h5
$SRC_H5DUMP_TESTFILES/tordergr.h5
$SRC_H5DUMP_TESTFILES/tsaf.h5
+$SRC_H5DUMP_TESTFILES/tscalarintsize.h5
+$SRC_H5DUMP_TESTFILES/tscalarattrintsize.h5
$SRC_H5DUMP_TESTFILES/tslink.h5
$SRC_H5DUMP_TESTFILES/tsplit_file-m.h5
$SRC_H5DUMP_TESTFILES/tsplit_file-r.h5
@@ -277,6 +279,8 @@ $SRC_H5DUMP_TESTFILES/torderlinks2.ddl
$SRC_H5DUMP_TESTFILES/tperror.ddl
$SRC_H5DUMP_TESTFILES/treference.ddl
$SRC_H5DUMP_TESTFILES/tsaf.ddl
+$SRC_H5DUMP_TESTFILES/tscalarintsize.ddl
+$SRC_H5DUMP_TESTFILES/tscalarattrintsize.ddl
$SRC_H5DUMP_TESTFILES/tscaleoffset.ddl
$SRC_H5DUMP_TESTFILES/tshuffle.ddl
$SRC_H5DUMP_TESTFILES/tslink-1.ddl
@@ -678,10 +682,14 @@ TOOLTEST twidedisplay.ddl --enable-error-stack -w0 packedbits.h5
TOOLTEST packedbits.ddl --enable-error-stack packedbits.h5
# test for compound signed/unsigned datasets
TOOLTEST tcmpdintsize.ddl --enable-error-stack tcmpdintsize.h5
+# test for signed/unsigned scalar datasets
+TOOLTEST tscalarintsize.ddl --enable-error-stack tscalarintsize.h5
# test for signed/unsigned attributes
TOOLTEST tattrintsize.ddl --enable-error-stack tattrintsize.h5
# test for compound signed/unsigned attributes
TOOLTEST tcmpdattrintsize.ddl --enable-error-stack tcmpdattrintsize.h5
+# test for signed/unsigned scalar attributes
+TOOLTEST tscalarattrintsize.ddl --enable-error-stack tscalarattrintsize.h5
# test for displaying groups
TOOLTEST tgroup-1.ddl --enable-error-stack tgroup.h5
# test for displaying the selected groups
diff --git a/tools/h5import/h5import.c b/tools/h5import/h5import.c
index 34870fb..9497e58 100644
--- a/tools/h5import/h5import.c
+++ b/tools/h5import/h5import.c
@@ -32,6 +32,43 @@
#define READ_OPEN_FLAGS "r"
#endif
+/* Local function declarations */
+static int gtoken(char *s);
+static int process(struct Options *opt);
+static int processConfigurationFile(char *infile, struct Input *in);
+static int mapKeywordToIndex(char *key);
+static int parsePathInfo(struct path_info *path, char *strm);
+static int parseDimensions(struct Input *in, char *strm);
+static int getInputSize(struct Input *in, int ival);
+static int getInputClass(struct Input *in, char * strm);
+static int getInputClassType(struct Input *in, char * strm);
+static int InputClassStrToInt(char *temp);
+static int getRank(struct Input *in, FILE *strm);
+static int getDimensionSizes(struct Input *in, FILE *strm);
+static int getOutputSize(struct Input *in, FILE *strm);
+static int getOutputClass(struct Input *in, FILE *strm);
+static int OutputClassStrToInt(char *temp);
+static int getOutputArchitecture(struct Input *in, FILE *strm);
+static int OutputArchStrToInt(const char *temp);
+static int getOutputByteOrder(struct Input *in, FILE *strm);
+static int OutputByteOrderStrToInt(const char *temp);
+static int getChunkedDimensionSizes(struct Input *in, FILE *strm);
+static int getCompressionType(struct Input *in, FILE *strm);
+static int CompressionTypeStrToInt(char *temp);
+static int getCompressionParameter(struct Input *in, FILE *strm);
+static int getExternalFilename(struct Input *in, FILE *strm);
+static int getMaximumDimensionSizes(struct Input *in, FILE *strm);
+static int processDataFile(char *infile, struct Input *in, hid_t file_id);
+static int readIntegerData(FILE *strm, struct Input *in);
+static int readFloatData(FILE *strm, struct Input *in);
+static int allocateIntegerStorage(struct Input *in);
+static int allocateFloatStorage(struct Input *in);
+static int readUIntegerData(FILE *strm, struct Input *in);
+static int allocateUIntegerStorage(struct Input *in);
+static int validateConfigurationParameters(struct Input *in);
+static int processStrData(FILE *strm, struct Input *in, hid_t file_id);
+static int processStrHDFData(FILE *strm, struct Input *in, hid_t file_id);
+
int main(int argc, char *argv[])
{
struct Options opt;
@@ -301,7 +338,7 @@ static int gtoken(char *s)
static int processDataFile(char *infile, struct Input *in, hid_t file_id)
{
- FILE *strm;
+ FILE *strm = NULL;
const char *err1 = "Unable to open the input file %s for reading.\n";
const char *err2 = "Error in allocating integer data storage.\n";
const char *err3 = "Error in allocating floating-point data storage.\n";
@@ -311,6 +348,7 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
const char *err7 = "Error in reading unsigned integer data.\n";
const char *err10 = "Unrecognized input class type.\n";
const char *err11 = "Error in reading string data.\n";
+ int retval = -1;
/*-------------------------------------------------------------------------
* special case for opening binary classes in H5_HAVE_WIN32_API
@@ -323,7 +361,7 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
if ((strm = HDfopen(infile, READ_OPEN_FLAGS)) == NULL) {
(void) HDfprintf(stderr, err1, infile);
- return (-1);
+ goto error;
}
}
/*-------------------------------------------------------------------------
@@ -333,7 +371,7 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
else {
if ((strm = HDfopen(infile, "r")) == NULL) {
(void) HDfprintf(stderr, err1, infile);
- return (-1);
+ goto error;
}
}
@@ -342,14 +380,12 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
case 4: /* IN */
if (allocateIntegerStorage(in) == -1) {
(void) HDfprintf(stderr, err2, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (readIntegerData(strm, in) == -1) {
(void) HDfprintf(stderr, err4, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
break;
@@ -358,15 +394,13 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
case 3: /* FP */
if (allocateFloatStorage(in) == -1) {
(void) HDfprintf(stderr, err3, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (readFloatData(strm, in) == -1) {
(void) HDfprintf(stderr, err5, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
break;
@@ -374,15 +408,13 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
if (in->h5dumpInput) {
if (processStrHDFData(strm, in, file_id) == -1) {
(void) HDfprintf(stderr, err11, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
}
else {
if (processStrData(strm, in, file_id) == -1) {
(void) HDfprintf(stderr, err11, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
}
@@ -392,23 +424,26 @@ static int processDataFile(char *infile, struct Input *in, hid_t file_id)
case 7: /* UIN */
if (allocateUIntegerStorage(in) == -1) {
(void) HDfprintf(stderr, err6, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (readUIntegerData(strm, in) == -1) {
(void) HDfprintf(stderr, err7, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
break;
default:
(void) HDfprintf(stderr, "%s", err10);
- HDfclose(strm);
- return (-1);
+ goto error;
}
- HDfclose(strm);
- return (0);
+
+ /* Set success return value */
+ retval = 0;
+
+error:
+ if(strm)
+ HDfclose(strm);
+ return(retval);
}
static int readIntegerData(FILE *strm, struct Input *in)
@@ -1251,12 +1286,13 @@ static int allocateFloatStorage(struct Input *in)
static int processConfigurationFile(char *infile, struct Input *in)
{
- FILE *strm;
+ FILE *strm = NULL;
char key[255];
int kindex;
char temp[255];
int ival;
int scanret;
+ int retval = -1;
const char *err1 = "Unable to open the configuration file: %s for reading.\n";
const char *err2 = "Unknown keyword in configuration file: %s\n";
@@ -1303,7 +1339,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
if ((strm = HDfopen(infile, "r")) == NULL) {
(void) HDfprintf(stderr, err1, infile);
- return (-1);
+ goto error;
}
scanret = fscanf(strm, "%s", key);
@@ -1323,21 +1359,18 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (in->configOptionVector[PATH] == 1) {
(void) HDfprintf(stderr, err3a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASET %s found\n", temp);
#endif
if (parsePathInfo(&in->path, temp) == -1) {
(void) HDfprintf(stderr, err3b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[PATH] = 1;
scanret = fscanf(strm, "%s", temp); /* start bracket */
@@ -1351,22 +1384,19 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (in->configOptionVector[INPUT_CLASS] == 1) {
(void) HDfprintf(stderr, err4a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE %s found\n", temp);
#endif
if ((kindex = getInputClassType(in, temp)) == -1) {
(void) HDfprintf(stderr, err4b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE type %d inputClass\n", in->inputClass);
@@ -1396,23 +1426,20 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) { /* start bracket */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING %s found\n", temp);
#endif
if (fscanf(strm, "%s", temp) != 1) { /* string properties */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
while (get_next_prop) {
if(!HDstrcmp("STRSIZE", temp)) { /* STRSIZE */
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err19);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING STRSIZE %s found\n", temp);
@@ -1422,8 +1449,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
ival = HDstrtol(more, &more, 10);
if (getInputSize(in, ival) == -1) {
(void) HDfprintf(stderr, err5b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING %d InputSize\n", in->inputSize);
@@ -1433,8 +1459,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
else if(!HDstrcmp("STRPAD", temp)) { /* STRPAD */
if (fscanf(strm, "%s", temp) != 1) { /* STRPAD type */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING STRPAD %s found\n", temp);
@@ -1443,8 +1468,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
else if(!HDstrcmp("CSET", key)) { /* CSET */
if (fscanf(strm, "%s", temp) != 1) { /* CSET type */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING CSET %s found\n", temp);
@@ -1454,8 +1478,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
else if(!HDstrcmp("CTYPE", temp)) { /* CTYPE */
if (fscanf(strm, "%s", temp) != 1) { /* CTYPE type */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING CTYPE %s found\n", temp);
@@ -1463,8 +1486,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
} /* if(!HDstrcmp("CSET", key)) */
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATATYPE STRING %s found\n", temp);
@@ -1483,16 +1505,14 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if(!HDstrcmp("SCALAR", temp)) { /* SCALAR */
in->rank = 0;
} /* if(!HDstrcmp("SCALAR", key)) */
else if(!HDstrcmp("NULL", temp)) { /* NULL */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
} /* else if(!HDstrcmp("NULL", key)) */
else if(!HDstrcmp("SIMPLE", temp)) { /* SIMPLE */
int icount = 0;
@@ -1501,16 +1521,14 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) { /* start bracket */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
#endif
if (fscanf(strm, "%s", temp) != 1) { /* start paren */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
@@ -1521,8 +1539,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
if (fscanf(strm, "%s", temp) != 1) { /* Dimension with optional comma */
(void) HDfprintf(stderr, err16c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
@@ -1532,8 +1549,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
temp_dims[icount] = HDstrtoull(more, &more, 10);
if (fscanf(strm, "%s", temp) != 1) { /* Dimension or end paren */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
@@ -1547,15 +1563,13 @@ static int processConfigurationFile(char *infile, struct Input *in)
icount++;
if (icount > MAX_NUM_DIMENSION) {
(void) HDfprintf(stderr, "Invalid value for rank.\n");
- HDfclose(strm);
- return (-1);
+ goto error;
}
}
} /* while (get_next_dim) */
if ((in->sizeOfDimension = (hsize_t *) HDmalloc ((size_t) in->rank * sizeof(hsize_t))) == NULL) {
- (void) HDfprintf(stderr, "Unable to allocate dynamic memory.\n");
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %d rank\n", in->rank);
@@ -1574,26 +1588,22 @@ static int processConfigurationFile(char *infile, struct Input *in)
} /* if(!HDstrcmp("(", key)) start paren */
else {
(void) HDfprintf(stderr, err5b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
#endif
if(!HDstrcmp("/", temp)) { /* / max dims */
if ((in->maxsizeOfDimension = (hsize_t *) HDmalloc ((size_t) in->rank * sizeof(hsize_t))) == NULL) {
- (void) HDfprintf(stderr, "Unable to allocate dynamic memory.\n");
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) { /* start paren */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
@@ -1607,8 +1617,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) { /* max dim with optional comma */
(void) HDfprintf(stderr, err16c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
@@ -1627,8 +1636,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
}
if (fscanf(strm, "%s", temp) != 1) { /* max dim or end paren */
(void) HDfprintf(stderr, err16c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump DATASPACE SIMPLE %s found\n", temp);
@@ -1640,8 +1648,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
i++;
if (i > MAX_NUM_DIMENSION) {
(void) HDfprintf(stderr, "Invalid value for rank.\n");
- HDfclose(strm);
- return (-1);
+ goto error;
}
}
} /* while (get_next_dim) */
@@ -1656,8 +1663,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
} /* if(!HDstrcmp("(", key)) start paren */
else {
(void) HDfprintf(stderr, err16c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
scanret = fscanf(strm, "%s", temp); /* end bracket */
#ifdef H5DEBUGIMPORT
@@ -1667,8 +1673,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
} /* else if(!HDstrcmp("SIMPLE", key)) */
else {
(void) HDfprintf(stderr, err5b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
} /* else if(!HDstrcmp("DATASPACE", key)) RANK and DIMENSIONS */
else if(!HDstrcmp("STORAGE_LAYOUT", key)) { /* CHUNKED-DIMENSION-SIZES */
@@ -1677,16 +1682,14 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) { /* start bracket */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT %s found\n", temp);
#endif
if (fscanf(strm, "%s", temp) != 1) { /* CHUNKED */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT %s found\n", temp);
@@ -1694,12 +1697,11 @@ static int processConfigurationFile(char *infile, struct Input *in)
if(!HDstrcmp("CHUNKED", temp)) { /* CHUNKED */
if ((in->sizeOfChunk = (hsize_t *) HDmalloc ((size_t) in->rank * sizeof(hsize_t))) == NULL) {
(void) HDfprintf(stderr, "Unable to allocate dynamic memory.\n");
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) { /* start paren */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT CHUNKED %s found\n", temp);
@@ -1710,8 +1712,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
if (fscanf(strm, "%s", temp) != 1) { /* Dimension with optional comma */
(void) HDfprintf(stderr, err16c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT CHUNKED %s found\n", temp);
@@ -1721,8 +1722,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
in->sizeOfChunk[icount] = HDstrtoull(more, &more, 10);
if (fscanf(strm, "%s", temp) != 1) { /* Dimension or end paren */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT CHUNKED %s found\n", temp);
@@ -1735,8 +1735,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
icount++;
if (icount > MAX_NUM_DIMENSION) {
(void) HDfprintf(stderr, "Invalid value for rank.\n");
- HDfclose(strm);
- return (-1);
+ goto error;
}
}
} /* while (get_next_dim) */
@@ -1751,13 +1750,11 @@ static int processConfigurationFile(char *infile, struct Input *in)
} /* if(!HDstrcmp("(", key)) start paren */
else {
(void) HDfprintf(stderr, err5b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) { /* SIZE */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT CHUNKED %s found\n", temp);
@@ -1765,8 +1762,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
if(!HDstrcmp("SIZE", temp)) { /* SIZE */
if (fscanf(strm, "%d", (&ival)) != 1) {
(void) HDfprintf(stderr, "%s", err19);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT CHUNKED SIZE %d found\n", ival);
@@ -1775,8 +1771,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
while (HDstrcmp("}", temp)) {
if (fscanf(strm, "%s", temp) != 1) { /* end bracket */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump STORAGE_LAYOUT CHUNKED %s found\n", temp);
@@ -1791,16 +1786,14 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) { /* start bracket */
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS %s found\n", temp);
#endif
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS %s found\n", temp);
@@ -1811,32 +1804,28 @@ static int processConfigurationFile(char *infile, struct Input *in)
#endif
if (fscanf(strm, "%s", temp) != 1) { /* DEFLATE */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS COMPRESSION %s found\n", temp);
#endif
if (fscanf(strm, "%s", temp) != 1) { /* bgin bracket */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS COMPRESSION %s found\n", temp);
#endif
if (fscanf(strm, "%s", temp) != 1) { /* LEVEL */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS COMPRESSION %s found\n", temp);
#endif
if (fscanf(strm, "%d", (&ival)) != 1) {
(void) HDfprintf(stderr, "%s", err19);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS COMPRESSION LEVEL %d found\n", ival);
@@ -1844,8 +1833,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
in->compressionParam = ival;
if (fscanf(strm, "%s", temp) != 1) { /* end bracket */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS COMPRESSION %s found\n", temp);
@@ -1867,8 +1855,7 @@ static int processConfigurationFile(char *infile, struct Input *in)
}
if (fscanf(strm, "%s", temp) != 1) { /* end bracket */
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
#ifdef H5DEBUGIMPORT
printf("h5dump FILTERS %s found\n", temp);
@@ -1909,25 +1896,21 @@ static int processConfigurationFile(char *infile, struct Input *in)
while (scanret == 1) {
if ((kindex = mapKeywordToIndex(key)) == -1) {
(void) HDfprintf(stderr, err2, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
switch (kindex) {
case 0: /* PATH */
if (in->configOptionVector[PATH] == 1) {
(void) HDfprintf(stderr, err3a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (parsePathInfo(&in->path, temp) == -1) {
(void) HDfprintf(stderr, err3b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[PATH] = 1;
break;
@@ -1935,19 +1918,16 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 1: /* INPUT-CLASS */
if (in->configOptionVector[INPUT_CLASS] == 1) {
(void) HDfprintf(stderr, err4a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%s", temp) != 1) {
(void) HDfprintf(stderr, "%s", err18);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getInputClass(in, temp) == -1) {
(void) HDfprintf(stderr, err4b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[INPUT_CLASS] = 1;
@@ -1967,18 +1947,15 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 2: /* INPUT-SIZE */
if (in->configOptionVector[INPUT_SIZE] == 1) {
(void) HDfprintf(stderr, err5a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (fscanf(strm, "%d", (&ival)) != 1) {
(void) HDfprintf(stderr, "%s", err19);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getInputSize(in, ival) == -1) {
(void) HDfprintf(stderr, err5b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[INPUT_SIZE] = 1;
@@ -1990,14 +1967,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 3: /* RANK */
if (in->configOptionVector[RANK] == 1) {
(void) HDfprintf(stderr, err6a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getRank(in, strm) == -1) {
(void) HDfprintf(stderr, err6b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[RANK] = 1;
break;
@@ -2005,19 +1980,16 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 4: /* DIMENSION-SIZES */
if (in->configOptionVector[DIM] == 1) {
(void) HDfprintf(stderr, err7a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (in->configOptionVector[RANK] == 0) {
(void) HDfprintf(stderr, err7b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getDimensionSizes(in, strm) == -1) {
(void) HDfprintf(stderr, err7c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[DIM] = 1;
break;
@@ -2025,14 +1997,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 5: /* OUTPUT-CLASS */
if (in->configOptionVector[OUTPUT_CLASS] == 1) {
(void) HDfprintf(stderr, err8a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getOutputClass(in, strm) == -1) {
(void) HDfprintf(stderr, err8b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[OUTPUT_CLASS] = 1;
break;
@@ -2040,14 +2010,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 6: /* OUTPUT-SIZE */
if (in->configOptionVector[OUTPUT_SIZE] == 1) {
(void) HDfprintf(stderr, err9a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getOutputSize(in, strm) == -1) {
(void) HDfprintf(stderr, err9b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[OUTPUT_SIZE] = 1;
break;
@@ -2055,14 +2023,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 7: /* OUTPUT-ARCHITECTURE */
if (in->configOptionVector[OUTPUT_ARCH] == 1) {
(void) HDfprintf(stderr, err10a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getOutputArchitecture(in, strm) == -1) {
(void) HDfprintf(stderr, err10b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[OUTPUT_ARCH] = 1;
break;
@@ -2070,14 +2036,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 8: /* OUTPUT-BYTE-ORDER */
if (in->configOptionVector[OUTPUT_B_ORDER] == 1) {
(void) HDfprintf(stderr, err11a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getOutputByteOrder(in, strm) == -1) {
(void) HDfprintf(stderr, err11b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[OUTPUT_B_ORDER] = 1;
break;
@@ -2085,20 +2049,17 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 9: /* CHUNKED-DIMENSION-SIZES */
if (in->configOptionVector[CHUNK] == 1) {
(void) HDfprintf(stderr, err12a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
/* cant appear before dimension sizes have been provided */
if (in->configOptionVector[DIM] == 0) {
(void) HDfprintf(stderr, err12b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getChunkedDimensionSizes(in, strm) == -1) {
(void) HDfprintf(stderr, err12c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[CHUNK] = 1;
break;
@@ -2106,14 +2067,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 10: /* COMPRESSION-TYPE */
if (in->configOptionVector[COMPRESS] == 1) {
(void) HDfprintf(stderr, err13a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getCompressionType(in, strm) == -1) {
(void) HDfprintf(stderr, err13b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[COMPRESS] = 1;
@@ -2126,14 +2085,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 11: /* COMPRESSION-PARAM */
if (in->configOptionVector[COMPRESS_PARAM] == 1) {
(void) HDfprintf(stderr, err14a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getCompressionParameter(in, strm) == -1) {
(void) HDfprintf(stderr, err14b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[COMPRESS_PARAM] = 1;
@@ -2146,14 +2103,12 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 12: /* EXTERNAL-STORAGE */
if (in->configOptionVector[EXTERNALSTORE] == 1) {
(void) HDfprintf(stderr, err15a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getExternalFilename(in, strm) == -1) {
(void) HDfprintf(stderr, err15b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[EXTERNALSTORE] = 1;
break;
@@ -2161,19 +2116,16 @@ static int processConfigurationFile(char *infile, struct Input *in)
case 13: /* MAXIMUM-DIMENSIONS */
if (in->configOptionVector[EXTEND] == 1) {
(void) HDfprintf(stderr, err16a, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
/* cant appear before dimension sizes have been provided */
if (in->configOptionVector[DIM] == 0) {
(void) HDfprintf(stderr, err16b, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
if (getMaximumDimensionSizes(in, strm) == -1) {
(void) HDfprintf(stderr, err16c, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
in->configOptionVector[EXTEND] = 1;
break;
@@ -2192,11 +2144,16 @@ static int processConfigurationFile(char *infile, struct Input *in)
if (validateConfigurationParameters(in) == -1) {
(void) HDfprintf(stderr, err17, infile);
- HDfclose(strm);
- return (-1);
+ goto error;
}
- HDfclose(strm);
- return (0);
+
+ /* Set success return value */
+ retval = 0;
+
+error:
+ if(strm)
+ HDfclose(strm);
+ return(retval);
}
static int validateConfigurationParameters(struct Input *in)
@@ -3279,7 +3236,7 @@ static int getOutputArchitecture(struct Input *in, FILE *strm)
return (0);
}
-static int OutputArchStrToInt(char *temp)
+static int OutputArchStrToInt(const char *temp)
{
int i;
char outputArchKeywordTable[8][15] = { "NATIVE", "STD", "IEEE", "INTEL",
@@ -3311,7 +3268,7 @@ static int getOutputByteOrder(struct Input *in, FILE *strm)
return (0);
}
-static int OutputByteOrderStrToInt(char *temp)
+static int OutputByteOrderStrToInt(const char *temp)
{
int i;
char outputByteOrderKeywordTable[2][15] = { "BE", "LE" };
@@ -3716,6 +3673,10 @@ hid_t createInputDataType(struct Input *in)
case 64:
new_type = H5Tcopy(H5T_NATIVE_LLONG);
break;
+
+ default:
+ (void) HDfprintf(stderr, "%s", err1);
+ return (-1);
}
break;
@@ -3730,6 +3691,10 @@ hid_t createInputDataType(struct Input *in)
case 64:
new_type = H5Tcopy(H5T_NATIVE_DOUBLE);
break;
+
+ default:
+ (void) HDfprintf(stderr, "%s", err1);
+ return (-1);
}
break;
@@ -3754,6 +3719,10 @@ hid_t createInputDataType(struct Input *in)
case 64:
new_type = H5Tcopy(H5T_NATIVE_ULLONG);
break;
+
+ default:
+ (void) HDfprintf(stderr, "%s", err1);
+ return (-1);
}
break;
diff --git a/tools/h5import/h5import.h b/tools/h5import/h5import.h
index c686624..c242483 100644
--- a/tools/h5import/h5import.h
+++ b/tools/h5import/h5import.h
@@ -191,43 +191,8 @@ void usage(char *);
void setDefaultValues(struct Input *in, int count);
void help(char *);
-static int gtoken(char *s);
-static int process(struct Options *opt);
-static int processConfigurationFile(char *infile, struct Input *in);
-static int mapKeywordToIndex(char *key);
-static int parsePathInfo(struct path_info *path, char *strm);
-static int parseDimensions(struct Input *in, char *strm);
-static int getInputSize(struct Input *in, int ival);
-static int getInputClass(struct Input *in, char * strm);
-static int getInputClassType(struct Input *in, char * strm);
-static int InputClassStrToInt(char *temp);
-static int getRank(struct Input *in, FILE *strm);
-static int getDimensionSizes(struct Input *in, FILE *strm);
-static int getOutputSize(struct Input *in, FILE *strm);
-static int getOutputClass(struct Input *in, FILE *strm);
-static int OutputClassStrToInt(char *temp);
-static int getOutputArchitecture(struct Input *in, FILE *strm);
-static int OutputArchStrToInt(char *temp);
-static int getOutputByteOrder(struct Input *in, FILE *strm);
-static int OutputByteOrderStrToInt(char *temp);
-static int getChunkedDimensionSizes(struct Input *in, FILE *strm);
-static int getCompressionType(struct Input *in, FILE *strm);
-static int CompressionTypeStrToInt(char *temp);
-static int getCompressionParameter(struct Input *in, FILE *strm);
-static int getExternalFilename(struct Input *in, FILE *strm);
-static int getMaximumDimensionSizes(struct Input *in, FILE *strm);
-static int processDataFile(char *infile, struct Input *in, hid_t file_id);
-static int readIntegerData(FILE *strm, struct Input *in);
-static int readFloatData(FILE *strm, struct Input *in);
-static int allocateIntegerStorage(struct Input *in);
-static int allocateFloatStorage(struct Input *in);
hid_t createOutputDataType(struct Input *in);
hid_t createInputDataType(struct Input *in);
-static int readUIntegerData(FILE *strm, struct Input *in);
-static int allocateUIntegerStorage(struct Input *in);
-static int validateConfigurationParameters(struct Input *in);
-static int processStrData(FILE *strm, struct Input *in, hid_t file_id);
-static int processStrHDFData(FILE *strm, struct Input *in, hid_t file_id);
#endif /* H5IMPORT_H__ */
diff --git a/tools/h5repack/CMakeLists.txt b/tools/h5repack/CMakeLists.txt
index 3ee1cba..7351fd5 100644
--- a/tools/h5repack/CMakeLists.txt
+++ b/tools/h5repack/CMakeLists.txt
@@ -800,6 +800,28 @@ ADD_H5_VERIFY_TEST (chunk2conti "TEST" 0 h5repack_layout3.h5 chunk_unlimit1 CONT
ADD_H5_TEST (chunk2compa "TEST" h5repack_layout3.h5 -l chunk_unlimit1:COMPA)
ADD_H5_VERIFY_TEST (chunk2compa "TEST" 0 h5repack_layout3.h5 chunk_unlimit1 CHUNK)
+#--------------------------------------------------------------------------
+# Test -f for some specific cases. Chunked dataset with unlimited max dims.
+# (HDFFV-8012)
+#--------------------------------------------------------------------------
+# - should not fail
+# - should not change max dims from unlimit
+
+# chunk dim is bigger than dataset dim. ( dset size < 64k )
+ADD_H5_TEST (error1 "TEST" h5repack_layout3.h5 -f chunk_unlimit1:NONE)
+ADD_H5_VERIFY_TEST (error1 "TEST" 0 h5repack_layout3.h5 chunk_unlimit1 H5S_UNLIMITED)
+
+# chunk dim is bigger than dataset dim. ( dset size > 64k )
+ADD_H5_TEST (error2 "TEST" h5repack_layout3.h5 -f chunk_unlimit2:NONE)
+ADD_H5_VERIFY_TEST (error2 "TEST" 0 h5repack_layout3.h5 chunk_unlimit2 H5S_UNLIMITED)
+
+# chunk dims are smaller than dataset dims. ( dset size < 64k )
+ADD_H5_TEST (error3 "TEST" h5repack_layout3.h5 -f chunk_unlimit3:NONE)
+ADD_H5_VERIFY_TEST (error3 "TEST" 0 h5repack_layout3.h5 chunk_unlimit3 H5S_UNLIMITED)
+
+# file input - should not fail
+ADD_H5_TEST (error4 "TEST" h5repack_layout3.h5 -f NONE)
+
# Native option
# Do not use FILE1, as the named dtype will be converted to native, and h5diff will
# report a difference.
diff --git a/tools/h5repack/h5repack.c b/tools/h5repack/h5repack.c
index 292f4ec..38a000a 100644
--- a/tools/h5repack/h5repack.c
+++ b/tools/h5repack/h5repack.c
@@ -95,6 +95,7 @@ h5repack_init(pack_opt_t *options, int verbose, H5F_file_space_type_t strategy,
HDmemset(options, 0, sizeof(pack_opt_t));
options->min_comp = 1024;
options->verbose = verbose;
+ options->layout_g = H5D_LAYOUT_ERROR;
for ( n = 0; n < H5_REPACK_MAX_NFILTERS; n++)
{
diff --git a/tools/h5repack/h5repack.sh.in b/tools/h5repack/h5repack.sh.in
index 2614dd6..a732ffb 100644
--- a/tools/h5repack/h5repack.sh.in
+++ b/tools/h5repack/h5repack.sh.in
@@ -818,6 +818,27 @@ VERIFY_LAYOUT_DSET h5repack_layout3.h5 chunk_unlimit1 CONTI
TOOLTEST_MAIN h5repack_layout3.h5 -l chunk_unlimit1:COMPA
VERIFY_LAYOUT_DSET h5repack_layout3.h5 chunk_unlimit1 CHUNK
+#--------------------------------------------------------------------------
+# Test -f for some specific cases. Chunked dataset with unlimited max dims.
+# (HDFFV-8012)
+#--------------------------------------------------------------------------
+# - should not fail
+# - should not change max dims from unlimit
+
+# chunk dim is bigger than dataset dim. ( dset size < 64k )
+TOOLTEST_MAIN h5repack_layout3.h5 -f chunk_unlimit1:NONE
+VERIFY_LAYOUT_DSET h5repack_layout3.h5 chunk_unlimit1 H5S_UNLIMITED
+# chunk dim is bigger than dataset dim. ( dset size > 64k )
+TOOLTEST_MAIN h5repack_layout3.h5 -f chunk_unlimit2:NONE
+VERIFY_LAYOUT_DSET h5repack_layout3.h5 chunk_unlimit2 H5S_UNLIMITED
+
+# chunk dims are smaller than dataset dims. ( dset size < 64k )
+TOOLTEST_MAIN h5repack_layout3.h5 -f chunk_unlimit3:NONE
+VERIFY_LAYOUT_DSET h5repack_layout3.h5 chunk_unlimit3 H5S_UNLIMITED
+
+# file input - should not fail
+TOOLTEST h5repack_layout3.h5 -f NONE
+
# Native option
# Do not use FILE1, as the named dtype will be converted to native, and h5diff will
# report a difference.
diff --git a/tools/h5repack/h5repack_copy.c b/tools/h5repack/h5repack_copy.c
index cf55d7f..02337fd 100644
--- a/tools/h5repack/h5repack_copy.c
+++ b/tools/h5repack/h5repack_copy.c
@@ -773,6 +773,7 @@ int do_copy_objects(hid_t fidin,
void *hslab_buf=NULL; /* hyperslab buffer for raw data */
int has_filter; /* current object has a filter */
int req_filter; /* there was a request for a filter */
+ int req_obj_layout=0; /* request layout to current object */
unsigned crt_order_flags; /* group creation order flag */
unsigned i;
unsigned u;
@@ -904,6 +905,22 @@ int do_copy_objects(hid_t fidin,
}
}
+ /* check if layout change requested individual object */
+ if (options->layout_g != H5D_LAYOUT_ERROR)
+ {
+ pack_info_t *pckinfo;
+ /* any dataset is specified */
+ if (options->op_tbl->nelems > 0)
+ {
+ /* check if object exist */
+ pckinfo = options_get_object (travt->objs[i].name, options->op_tbl);
+ if (pckinfo)
+ {
+ req_obj_layout = 1;
+ }
+ }
+ }
+
/* early detection of references */
if((dset_in = H5Dopen2(fidin, travt->objs[i].name, H5P_DEFAULT)) < 0)
goto error;
@@ -1023,6 +1040,9 @@ int do_copy_objects(hid_t fidin,
goto error;
}
+ /* only if layout change requested for entire file or
+ * individual obj */
+ if (options->all_layout > 0 || req_obj_layout == 1)
/*-------------------------------------------------
* Unset the unlimited max dims if convert to other
* than chunk layouts, because unlimited max dims
diff --git a/tools/h5repack/h5repacktst.c b/tools/h5repack/h5repacktst.c
index 4f1707b..791e268 100644
--- a/tools/h5repack/h5repacktst.c
+++ b/tools/h5repack/h5repacktst.c
@@ -3104,65 +3104,119 @@ out:
*/
#define DIM1_L3 300
#define DIM2_L3 200
+/* small size */
+#define SDIM1_L3 4
+#define SDIM2_L3 50
static
int make_layout3(hid_t loc_id)
{
- hid_t dcpl=-1; /* dataset creation property list */
- hid_t sid=-1; /* dataspace ID */
- hsize_t dims[RANK]={DIM1_L3,DIM2_L3};
+ hid_t dcpl1=-1; /* dataset creation property list */
+ hid_t dcpl2=-1; /* dataset creation property list */
+ hid_t dcpl3=-1; /* dataset creation property list */
+ hid_t sid1=-1; /* dataspace ID */
+ hid_t sid2=-1; /* dataspace ID */
+ hsize_t dims1[RANK]={DIM1_L3,DIM2_L3};
+ hsize_t dims2[RANK]={SDIM1_L3,SDIM2_L3};
hsize_t maxdims[RANK]={H5S_UNLIMITED, H5S_UNLIMITED};
- hsize_t chunk_dims[RANK]={DIM1_L3*2,5};
- int buf[DIM1_L3][DIM2_L3];
+ hsize_t chunk_dims1[RANK]={DIM1_L3*2,5};
+ hsize_t chunk_dims2[RANK]={SDIM1_L3 + 2, SDIM2_L3/2};
+ hsize_t chunk_dims3[RANK]={SDIM1_L3 - 2, SDIM2_L3/2};
+ int buf1[DIM1_L3][DIM2_L3];
+ int buf2[SDIM1_L3][SDIM2_L3];
int i, j, n;
+ /* init buf1 */
for (i=n=0; i<DIM1_L3; i++)
{
for (j=0; j<DIM2_L3; j++)
{
- buf[i][j]=n++;
+ buf1[i][j]=n++;
+ }
+ }
+
+ /* init buf2 */
+ for (i=n=0; i<SDIM1_L3; i++)
+ {
+ for (j=0; j<SDIM2_L3; j++)
+ {
+ buf2[i][j]=n++;
}
}
/*-------------------------------------------------------------------------
- * make several dataset with several layout options
+ * make chunked dataset with
+ * - dset maxdims are UNLIMIT
+ * - a chunk dim is bigger than dset dim
+ * - dset size bigger than compact max (64K)
*-------------------------------------------------------------------------
*/
/* create a space */
- if((sid = H5Screate_simple(RANK, dims, maxdims)) < 0)
+ if((sid1 = H5Screate_simple(RANK, dims1, maxdims)) < 0)
return -1;
/* create a dataset creation property list; the same DCPL is used for all dsets */
- if ((dcpl = H5Pcreate(H5P_DATASET_CREATE)) < 0)
+ if ((dcpl1 = H5Pcreate(H5P_DATASET_CREATE)) < 0)
{
goto out;
}
+ if(H5Pset_chunk(dcpl1, RANK, chunk_dims1) < 0)
+ goto out;
+ if (make_dset(loc_id,"chunk_unlimit1",sid1,dcpl1,buf1) < 0)
+ {
+ goto out;
+ }
/*-------------------------------------------------------------------------
- * H5D_CHUNKED
+ * make chunked dataset with
+ * - dset maxdims are UNLIMIT
+ * - a chunk dim is bigger than dset dim
+ * - dset size smaller than compact (64K)
*-------------------------------------------------------------------------
*/
- if(H5Pset_chunk(dcpl, RANK, chunk_dims) < 0)
+
+ /* create a space */
+ if((sid2 = H5Screate_simple(RANK, dims2, maxdims)) < 0)
+ return -1;
+ /* create a dataset creation property list; the same DCPL is used for all dsets */
+ if ((dcpl2 = H5Pcreate(H5P_DATASET_CREATE)) < 0)
goto out;
- if (make_dset(loc_id,"chunk_unlimit1",sid,dcpl,buf) < 0)
- {
+
+ if(H5Pset_chunk(dcpl2, RANK, chunk_dims2) < 0)
goto out;
- }
- if(H5Pset_chunk(dcpl, RANK, chunk_dims) < 0)
+ if (make_dset(loc_id,"chunk_unlimit2",sid2,dcpl2,buf2) < 0)
goto out;
- if (make_dset(loc_id,"chunk_unlimit2",sid,dcpl,buf) < 0)
- {
+ /*-------------------------------------------------------------------------
+ * make chunked dataset with
+ * - dset maxdims are UNLIMIT
+ * - a chunk dims are smaller than dset dims
+ * - dset size smaller than compact (64K)
+ *-------------------------------------------------------------------------
+ */
+ /* create a dataset creation property list; the same DCPL is used for all dsets */
+ if ((dcpl3 = H5Pcreate(H5P_DATASET_CREATE)) < 0)
+ goto out;
+
+ if(H5Pset_chunk(dcpl3, RANK, chunk_dims3) < 0)
+ goto out;
+
+ if (make_dset(loc_id,"chunk_unlimit3",sid2,dcpl3,buf2) < 0)
goto out;
- }
/*-------------------------------------------------------------------------
* close space and dcpl
*-------------------------------------------------------------------------
*/
- if(H5Sclose(sid) < 0)
+ if(H5Sclose(sid1) < 0)
goto out;
- if(H5Pclose(dcpl) < 0)
+ if(H5Sclose(sid2) < 0)
+ goto out;
+ if(H5Pclose(dcpl1) < 0)
+ goto out;
+ if(H5Pclose(dcpl2) < 0)
+ goto out;
+ if(H5Pclose(dcpl3) < 0)
goto out;
return 0;
@@ -3170,8 +3224,11 @@ int make_layout3(hid_t loc_id)
out:
H5E_BEGIN_TRY
{
- H5Pclose(dcpl);
- H5Sclose(sid);
+ H5Sclose(sid1);
+ H5Sclose(sid2);
+ H5Pclose(dcpl1);
+ H5Pclose(dcpl2);
+ H5Pclose(dcpl3);
} H5E_END_TRY;
return -1;
}
diff --git a/tools/h5repack/testfiles/h5repack_layout3.h5 b/tools/h5repack/testfiles/h5repack_layout3.h5
index 5319031..7351502 100644
--- a/tools/h5repack/testfiles/h5repack_layout3.h5
+++ b/tools/h5repack/testfiles/h5repack_layout3.h5
Binary files differ
diff --git a/tools/lib/CMakeLists.txt b/tools/lib/CMakeLists.txt
index 21420ce..7f7b451 100644
--- a/tools/lib/CMakeLists.txt
+++ b/tools/lib/CMakeLists.txt
@@ -2,6 +2,11 @@ cmake_minimum_required (VERSION 2.8.6)
PROJECT (HDF5_TOOLS_LIB)
#-----------------------------------------------------------------------------
+# Apply Definitions to compiler in this directory and below
+#-----------------------------------------------------------------------------
+ADD_DEFINITIONS (${HDF5_EXTRA_C_FLAGS})
+
+#-----------------------------------------------------------------------------
# Define Sources
#-----------------------------------------------------------------------------
diff --git a/tools/lib/h5diff.c b/tools/lib/h5diff.c
index f2eb3ab..2b4fa29 100644
--- a/tools/lib/h5diff.c
+++ b/tools/lib/h5diff.c
@@ -1547,6 +1547,8 @@ hsize_t diff(hid_t file1_id,
diff_opt_t * options,
diff_args_t *argdata)
{
+ hid_t dset1_id = (-1);
+ hid_t dset2_id = (-1);
hid_t type1_id = (-1);
hid_t type2_id = (-1);
hid_t grp1_id = (-1);
@@ -1714,6 +1716,10 @@ hsize_t diff(hid_t file1_id,
*----------------------------------------------------------------------
*/
case H5TRAV_TYPE_DATASET:
+ if((dset1_id = H5Dopen2(file1_id, path1, H5P_DEFAULT)) < 0)
+ goto out;
+ if((dset2_id = H5Dopen2(file2_id, path2, H5P_DEFAULT)) < 0)
+ goto out;
/* verbose (-v) and report (-r) mode */
if(options->m_verbose || options->m_report)
{
@@ -1737,6 +1743,22 @@ hsize_t diff(hid_t file1_id,
print_found(nfound);
}
}
+
+
+ /*---------------------------------------------------------
+ * compare attributes
+ * if condition refers to cases when the dataset is a
+ * referenced object
+ *---------------------------------------------------------
+ */
+ if(path1)
+ nfound += diff_attr(dset1_id, dset2_id, path1, path2, options);
+
+
+ if(H5Dclose(dset1_id) < 0)
+ goto out;
+ if(H5Dclose(dset2_id) < 0)
+ goto out;
break;
/*----------------------------------------------------------------------
diff --git a/tools/lib/h5diff_attr.c b/tools/lib/h5diff_attr.c
index 144159a..130a0d8 100644
--- a/tools/lib/h5diff_attr.c
+++ b/tools/lib/h5diff_attr.c
@@ -207,6 +207,12 @@ static herr_t build_match_list_attrs(hid_t loc1_id, hid_t loc2_id, table_attrs_t
table_lp->nattrs_only2++;
curr2++;
}
+
+ /* close for next turn */
+ H5Aclose(attr1_id);
+ attr1_id = -1;
+ H5Aclose(attr2_id);
+ attr2_id = -1;
} /* end while */
/* list1 did not end */
@@ -225,6 +231,10 @@ static herr_t build_match_list_attrs(hid_t loc1_id, hid_t loc2_id, table_attrs_t
table_attr_mark_exist(infile, name1, table_lp);
table_lp->nattrs_only1++;
curr1++;
+
+ /* close for next turn */
+ H5Aclose(attr1_id);
+ attr1_id = -1;
}
/* list2 did not end */
@@ -243,6 +253,9 @@ static herr_t build_match_list_attrs(hid_t loc1_id, hid_t loc2_id, table_attrs_t
table_attr_mark_exist(infile, name2, table_lp);
table_lp->nattrs_only2++;
curr2++;
+
+ /* close for next turn */
+ H5Aclose(attr2_id);
}
/*------------------------------------------------------
@@ -272,6 +285,11 @@ static herr_t build_match_list_attrs(hid_t loc1_id, hid_t loc2_id, table_attrs_t
return 0;
error:
+ if (0 < attr1_id)
+ H5Aclose(attr1_id);
+ if (0 < attr2_id)
+ H5Aclose(attr2_id);
+
return -1;
}
diff --git a/tools/lib/h5diff_dset.c b/tools/lib/h5diff_dset.c
index f9c7d1c..f6e6329 100644
--- a/tools/lib/h5diff_dset.c
+++ b/tools/lib/h5diff_dset.c
@@ -507,14 +507,6 @@ hsize_t diff_datasetid( hid_t did1,
} /* hyperslab read */
} /*can_compare*/
- /*-------------------------------------------------------------------------
- * compare attributes
- * the if condition refers to cases when the dataset is a referenced object
- *-------------------------------------------------------------------------
- */
- h5difftrace("compare attributes?\n");
- if(obj1_name)
- nfound += diff_attr(did1,did2,obj1_name,obj2_name,options);
/*-------------------------------------------------------------------------
* close
diff --git a/tools/lib/h5tools.h b/tools/lib/h5tools.h
index 3e3a4cf..4fbd84f 100644
--- a/tools/lib/h5tools.h
+++ b/tools/lib/h5tools.h
@@ -435,7 +435,7 @@ typedef struct h5tool_format_t {
* indentlevel: a string that shows how far to indent if extra spacing
* is needed. dumper uses it.
*/
- int line_ncols; /*columns of output */
+ unsigned line_ncols; /*columns of output */
size_t line_per_line; /*max elements per line */
const char *line_pre; /*prefix at front of each line */
const char *line_1st; /*alternate pre. on first line */
diff --git a/tools/misc/talign.c b/tools/misc/talign.c
index b77d846..017eb55 100644
--- a/tools/misc/talign.c
+++ b/tools/misc/talign.c
@@ -23,6 +23,7 @@
#include "hdf5.h"
#include "H5private.h"
+#include "h5tools.h"
const char *fname = "talign.h5";
const char *setname = "align";
@@ -46,8 +47,8 @@ int main(void)
hsize_t cdim[4];
char string5[5];
- float fok[2] = {1234., 2341.};
- float fnok[2] = {5678., 6785.};
+ float fok[2] = {1234.0f, 2341.0f};
+ float fnok[2] = {5678.0f, 6785.0f};
float *fptr;
char *data = NULL;
@@ -125,7 +126,7 @@ int main(void)
H5Dclose(set);
/* Now open the set, and read it back in */
- data = malloc(H5Tget_size(fix));
+ data = (char *)malloc(H5Tget_size(fix));
if(!data) {
perror("malloc() failed");
@@ -159,8 +160,8 @@ out:
"%14s (%2d) %6f = %f\n"
" %6f = %f\n",
mname ? mname : "(null)", (int)H5Tget_member_offset(fix,1),
- fok[0], fptr[0],
- fok[1], fptr[1]);
+ (double)fok[0], (double)fptr[0],
+ (double)fok[1], (double)fptr[1]);
if(mname)
free(mname);
@@ -169,8 +170,8 @@ out:
printf("%14s (%2d) %6f = %f\n"
" %6f = %6f\n",
mname ? mname : "(null)", (int)H5Tget_member_offset(fix,2),
- fnok[0], fptr[0],
- fnok[1], fptr[1]);
+ (double)fnok[0], (double)fptr[0],
+ (double)fnok[1], (double)fptr[1]);
if(mname)
free(mname);
@@ -181,10 +182,10 @@ out:
" %6f = %f\n"
" %6f = %f\n"
" %6f = %f\n",
- fok[0], fptr[0],
- fok[1], fptr[1],
- fnok[0], fptr[2],
- fnok[1], fptr[3]);
+ (double)fok[0], (double)fptr[0],
+ (double)fok[1], (double)fptr[1],
+ (double)fnok[0], (double)fptr[2],
+ (double)fnok[1], (double)fptr[3]);
puts("*FAILED - compound type alignmnent problem*");
} else {
puts(" PASSED");
diff --git a/tools/testfiles/tscalarattrintsize.ddl b/tools/testfiles/tscalarattrintsize.ddl
new file mode 100644
index 0000000..46f3cef
--- /dev/null
+++ b/tools/testfiles/tscalarattrintsize.ddl
@@ -0,0 +1,130 @@
+HDF5 "tscalarattrintsize.h5" {
+GROUP "/" {
+ ATTRIBUTE "DS08BITS" {
+ DATATYPE H5T_ARRAY { [8][8] H5T_STD_I8LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128,
+ -2, -4, -8, -16, -32, -64, -128, 0,
+ -4, -8, -16, -32, -64, -128, 0, 0,
+ -8, -16, -32, -64, -128, 0, 0, 0,
+ -16, -32, -64, -128, 0, 0, 0, 0,
+ -32, -64, -128, 0, 0, 0, 0, 0,
+ -64, -128, 0, 0, 0, 0, 0, 0,
+ -128, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DS16BITS" {
+ DATATYPE H5T_ARRAY { [8][16] H5T_STD_I16LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768,
+ -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0,
+ -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0,
+ -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0,
+ -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0,
+ -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0, 0,
+ -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0, 0, 0,
+ -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DS32BITS" {
+ DATATYPE H5T_ARRAY { [8][32] H5T_STD_I32LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648,
+ -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0,
+ -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0,
+ -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0,
+ -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0,
+ -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0, 0,
+ -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0, 0, 0,
+ -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DS64BITS" {
+ DATATYPE H5T_ARRAY { [8][64] H5T_STD_I64LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808,
+ -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0,
+ -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0,
+ -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0,
+ -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0,
+ -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0, 0,
+ -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0, 0, 0,
+ -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DU08BITS" {
+ DATATYPE H5T_ARRAY { [8][8] H5T_STD_U8LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 255, 254, 252, 248, 240, 224, 192, 128,
+ 254, 252, 248, 240, 224, 192, 128, 0,
+ 252, 248, 240, 224, 192, 128, 0, 0,
+ 248, 240, 224, 192, 128, 0, 0, 0,
+ 240, 224, 192, 128, 0, 0, 0, 0,
+ 224, 192, 128, 0, 0, 0, 0, 0,
+ 192, 128, 0, 0, 0, 0, 0, 0,
+ 128, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DU16BITS" {
+ DATATYPE H5T_ARRAY { [8][16] H5T_STD_U16LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 65535, 65534, 65532, 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768,
+ 65534, 65532, 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0,
+ 65532, 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0,
+ 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0,
+ 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0,
+ 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0, 0,
+ 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0, 0, 0,
+ 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DU32BITS" {
+ DATATYPE H5T_ARRAY { [8][32] H5T_STD_U32LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 4294967295, 4294967294, 4294967292, 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648,
+ 4294967294, 4294967292, 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0,
+ 4294967292, 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0,
+ 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0,
+ 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0,
+ 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0, 0,
+ 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0, 0, 0,
+ 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DU64BITS" {
+ DATATYPE H5T_ARRAY { [8][64] H5T_STD_U64LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 18446744073709551615, 18446744073709551614, 18446744073709551612, 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808,
+ 18446744073709551614, 18446744073709551612, 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0,
+ 18446744073709551612, 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0,
+ 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0,
+ 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0,
+ 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0, 0,
+ 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0, 0, 0,
+ 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ ATTRIBUTE "DummyDBL" {
+ DATATYPE H5T_ARRAY { [8][8] H5T_IEEE_F64LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 0, 0.0001, 0.0002, 0.0003, 0.0004, 0.0005, 0.0006, 0.0007,
+ 1, 1.0001, 1.0002, 1.0003, 1.0004, 1.0005, 1.0006, 1.0007,
+ 2, 2.0001, 2.0002, 2.0003, 2.0004, 2.0005, 2.0006, 2.0007,
+ 3, 3.0001, 3.0002, 3.0003, 3.0004, 3.0005, 3.0006, 3.0007,
+ 4, 4.0001, 4.0002, 4.0003, 4.0004, 4.0005, 4.0006, 4.0007,
+ 5, 5.0001, 5.0002, 5.0003, 5.0004, 5.0005, 5.0006, 5.0007,
+ 6, 6.0001, 6.0002, 6.0003, 6.0004, 6.0005, 6.0006, 6.0007,
+ 7, 7.0001, 7.0002, 7.0003, 7.0004, 7.0005, 7.0006, 7.0007 ]
+ }
+ }
+}
+}
diff --git a/tools/testfiles/tscalarattrintsize.h5 b/tools/testfiles/tscalarattrintsize.h5
new file mode 100644
index 0000000..df91f54
--- /dev/null
+++ b/tools/testfiles/tscalarattrintsize.h5
Binary files differ
diff --git a/tools/testfiles/tscalarintsize.ddl b/tools/testfiles/tscalarintsize.ddl
new file mode 100644
index 0000000..4b06a74
--- /dev/null
+++ b/tools/testfiles/tscalarintsize.ddl
@@ -0,0 +1,130 @@
+HDF5 "tscalarintsize.h5" {
+GROUP "/" {
+ DATASET "DS08BITS" {
+ DATATYPE H5T_ARRAY { [8][8] H5T_STD_I8LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128,
+ -2, -4, -8, -16, -32, -64, -128, 0,
+ -4, -8, -16, -32, -64, -128, 0, 0,
+ -8, -16, -32, -64, -128, 0, 0, 0,
+ -16, -32, -64, -128, 0, 0, 0, 0,
+ -32, -64, -128, 0, 0, 0, 0, 0,
+ -64, -128, 0, 0, 0, 0, 0, 0,
+ -128, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DS16BITS" {
+ DATATYPE H5T_ARRAY { [8][16] H5T_STD_I16LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768,
+ -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0,
+ -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0,
+ -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0,
+ -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0,
+ -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0, 0,
+ -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0, 0, 0,
+ -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DS32BITS" {
+ DATATYPE H5T_ARRAY { [8][32] H5T_STD_I32LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648,
+ -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0,
+ -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0,
+ -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0,
+ -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0,
+ -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0, 0,
+ -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0, 0, 0,
+ -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DS64BITS" {
+ DATATYPE H5T_ARRAY { [8][64] H5T_STD_I64LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ -1, -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808,
+ -2, -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0,
+ -4, -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0,
+ -8, -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0,
+ -16, -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0,
+ -32, -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0, 0,
+ -64, -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0, 0, 0,
+ -128, -256, -512, -1024, -2048, -4096, -8192, -16384, -32768, -65536, -131072, -262144, -524288, -1048576, -2097152, -4194304, -8388608, -16777216, -33554432, -67108864, -134217728, -268435456, -536870912, -1073741824, -2147483648, -4294967296, -8589934592, -17179869184, -34359738368, -68719476736, -137438953472, -274877906944, -549755813888, -1099511627776, -2199023255552, -4398046511104, -8796093022208, -17592186044416, -35184372088832, -70368744177664, -140737488355328, -281474976710656, -562949953421312, -1125899906842624, -2251799813685248, -4503599627370496, -9007199254740992, -18014398509481984, -36028797018963968, -72057594037927936, -144115188075855872, -288230376151711744, -576460752303423488, -1152921504606846976, -2305843009213693952, -4611686018427387904, -9223372036854775808, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DU08BITS" {
+ DATATYPE H5T_ARRAY { [8][8] H5T_STD_U8LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 255, 254, 252, 248, 240, 224, 192, 128,
+ 254, 252, 248, 240, 224, 192, 128, 0,
+ 252, 248, 240, 224, 192, 128, 0, 0,
+ 248, 240, 224, 192, 128, 0, 0, 0,
+ 240, 224, 192, 128, 0, 0, 0, 0,
+ 224, 192, 128, 0, 0, 0, 0, 0,
+ 192, 128, 0, 0, 0, 0, 0, 0,
+ 128, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DU16BITS" {
+ DATATYPE H5T_ARRAY { [8][16] H5T_STD_U16LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 65535, 65534, 65532, 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768,
+ 65534, 65532, 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0,
+ 65532, 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0,
+ 65528, 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0,
+ 65520, 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0,
+ 65504, 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0, 0,
+ 65472, 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0, 0, 0,
+ 65408, 65280, 65024, 64512, 63488, 61440, 57344, 49152, 32768, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DU32BITS" {
+ DATATYPE H5T_ARRAY { [8][32] H5T_STD_U32LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 4294967295, 4294967294, 4294967292, 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648,
+ 4294967294, 4294967292, 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0,
+ 4294967292, 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0,
+ 4294967288, 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0,
+ 4294967280, 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0,
+ 4294967264, 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0, 0,
+ 4294967232, 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0, 0, 0,
+ 4294967168, 4294967040, 4294966784, 4294966272, 4294965248, 4294963200, 4294959104, 4294950912, 4294934528, 4294901760, 4294836224, 4294705152, 4294443008, 4293918720, 4292870144, 4290772992, 4286578688, 4278190080, 4261412864, 4227858432, 4160749568, 4026531840, 3758096384, 3221225472, 2147483648, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DU64BITS" {
+ DATATYPE H5T_ARRAY { [8][64] H5T_STD_U64LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 18446744073709551615, 18446744073709551614, 18446744073709551612, 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808,
+ 18446744073709551614, 18446744073709551612, 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0,
+ 18446744073709551612, 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0,
+ 18446744073709551608, 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0,
+ 18446744073709551600, 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0,
+ 18446744073709551584, 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0, 0,
+ 18446744073709551552, 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0, 0, 0,
+ 18446744073709551488, 18446744073709551360, 18446744073709551104, 18446744073709550592, 18446744073709549568, 18446744073709547520, 18446744073709543424, 18446744073709535232, 18446744073709518848, 18446744073709486080, 18446744073709420544, 18446744073709289472, 18446744073709027328, 18446744073708503040, 18446744073707454464, 18446744073705357312, 18446744073701163008, 18446744073692774400, 18446744073675997184, 18446744073642442752, 18446744073575333888, 18446744073441116160, 18446744073172680704, 18446744072635809792, 18446744071562067968, 18446744069414584320, 18446744065119617024, 18446744056529682432, 18446744039349813248, 18446744004990074880, 18446743936270598144, 18446743798831644672, 18446743523953737728, 18446742974197923840, 18446741874686296064, 18446739675663040512, 18446735277616529408, 18446726481523507200, 18446708889337462784, 18446673704965373952, 18446603336221196288, 18446462598732840960, 18446181123756130304, 18445618173802708992, 18444492273895866368, 18442240474082181120, 18437736874454810624, 18428729675200069632, 18410715276690587648, 18374686479671623680, 18302628885633695744, 18158513697557839872, 17870283321406128128, 17293822569102704640, 16140901064495857664, 13835058055282163712, 9223372036854775808, 0, 0, 0, 0, 0, 0, 0 ]
+ }
+ }
+ DATASET "DummyDBL" {
+ DATATYPE H5T_ARRAY { [8][8] H5T_IEEE_F64LE }
+ DATASPACE SCALAR
+ DATA {
+ (0): [ 0, 0.0001, 0.0002, 0.0003, 0.0004, 0.0005, 0.0006, 0.0007,
+ 1, 1.0001, 1.0002, 1.0003, 1.0004, 1.0005, 1.0006, 1.0007,
+ 2, 2.0001, 2.0002, 2.0003, 2.0004, 2.0005, 2.0006, 2.0007,
+ 3, 3.0001, 3.0002, 3.0003, 3.0004, 3.0005, 3.0006, 3.0007,
+ 4, 4.0001, 4.0002, 4.0003, 4.0004, 4.0005, 4.0006, 4.0007,
+ 5, 5.0001, 5.0002, 5.0003, 5.0004, 5.0005, 5.0006, 5.0007,
+ 6, 6.0001, 6.0002, 6.0003, 6.0004, 6.0005, 6.0006, 6.0007,
+ 7, 7.0001, 7.0002, 7.0003, 7.0004, 7.0005, 7.0006, 7.0007 ]
+ }
+ }
+}
+}
diff --git a/tools/testfiles/tscalarintsize.h5 b/tools/testfiles/tscalarintsize.h5
new file mode 100644
index 0000000..5a82378
--- /dev/null
+++ b/tools/testfiles/tscalarintsize.h5
Binary files differ
diff --git a/vms/src/h5pubconf.h b/vms/src/h5pubconf.h
index 0427ab6..a4053df 100644
--- a/vms/src/h5pubconf.h
+++ b/vms/src/h5pubconf.h
@@ -502,7 +502,7 @@
#define H5_PACKAGE_NAME "HDF5"
/* Define to the full name and version of this package. */
-#define H5_PACKAGE_STRING "HDF5 1.9.128"
+#define H5_PACKAGE_STRING "HDF5 1.9.132"
/* Define to the one symbol short name of this package. */
#define H5_PACKAGE_TARNAME "hdf5"
@@ -511,7 +511,7 @@
#define H5_PACKAGE_URL ""
/* Define to the version of this package. */
-#define H5_PACKAGE_VERSION "1.9.128"
+#define H5_PACKAGE_VERSION "1.9.132"
/* Width for printf() for type `long long' or `__int64', use `ll' */
#define H5_PRINTF_LL_WIDTH "ll"
@@ -674,7 +674,7 @@
/* #undef H5_USING_MEMCHECKER */
/* Version number of package */
-#define H5_VERSION "1.9.128"
+#define H5_VERSION "1.9.132"
/* Define if vsnprintf() returns the correct value for formatted strings that
don't fit into size allowed */
diff --git a/windows/COPYING b/windows/COPYING
deleted file mode 100644
index 6903daf..0000000
--- a/windows/COPYING
+++ /dev/null
@@ -1,16 +0,0 @@
-
- Copyright by The HDF Group and
- The Board of Trustees of the University of Illinois.
- All rights reserved.
-
- The files and subdirectories in this directory are part of HDF5.
- The full HDF5 copyright notice, including terms governing use,
- modification, and redistribution, is contained in the files COPYING
- and Copyright.html. COPYING can be found at the root of the source
- code distribution tree; Copyright.html can be found at the root
- level of an installed copy of the electronic HDF5 document set and
- is linked from the top-level documents page. It can also be found
- at http://www.hdfgroup.org/HDF5/doc/Copyright.html. If you do not
- have access to either file, you may request a copy from
- help@hdfgroup.org.
-
diff --git a/windows/InstallExamples.bat b/windows/InstallExamples.bat
deleted file mode 100755
index 5316f5d..0000000
--- a/windows/InstallExamples.bat
+++ /dev/null
@@ -1,99 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-
-@ECHO OFF
-REM This batch file is used to install HDF5 C examples'
-REM executable files.
-REM By Xuan Bai
-REM Created on: 9/20/2004
-REM Last Modified: 10/27/2004
-
-cd examples
-
-mkdir examplesREL
-mkdir examplesRELDLL
-
-cd attributetest
-copy release\attributetest.exe ..\examplesREL\
-cd ..
-
-cd attributetestdll
-opy release\attributetestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd chunkread
-copy release\chunkread.exe ..\examplesREL\
-cd ..
-
-cd chunkreaddll
-copy release\chunkreaddll.exe ..\examplesRELDLL\
-cd ..
-
-cd compoundtest
-copy release\compoundtest.exe ..\examplesREL\
-cd ..
-
-cd compoundtestdll
-copy release\compoundtestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd extendwritetest
-copy release\extendwritetest.exe ..\examplesREL\
-cd ..
-
-cd extendwritetestdll
-copy release\extendwritetestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd grouptest
-copy release\grouptest.exe ..\examplesREL\
-cd ..
-
-cd grouptestdll
-copy release\grouptestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd intermgrouptest
-copy release\intermgrouptest.exe ..\examplesREL\
-cd ..
-
-cd intermgrouptestdll
-copy release\intermgrouptestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd readtest
-copy release\readtest.exe ..\examplesREL\
-cd ..
-
-cd readtestdll
-copy release\readtestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd selectest
-copy release\selectest.exe ..\examplesREL\
-cd ..
-
-cd selectestdll
-copy release\selectestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd writetest
-copy release\writetest.exe ..\examplesREL\
-cd ..
-
-cd writetestdll
-copy release\writetestdll.exe ..\examplesRELDLL\
-cd ..
-
-cd .. \ No newline at end of file
diff --git a/windows/InstallcppExamples.BAT b/windows/InstallcppExamples.BAT
deleted file mode 100755
index fb0f800..0000000
--- a/windows/InstallcppExamples.BAT
+++ /dev/null
@@ -1,83 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-
-@ECHO OFF
-REM This batch file is used to install HDF5 C++ examples'
-REM executable files.
-REM By Xuan Bai
-REM Created on: 10/20/2004
-REM Last Modified: 10/27/2004
-
-cd c++\examples
-
-mkdir cppexamplesREL
-mkdir cppexamplesRELDLL
-
-cd chunkstest
-copy release\chunkstest.exe ..\cppexamplesREL\
-cd ..
-
-cd chunkstestdll
-copy release\chunkstestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd compoundtest
-copy release\compoundtest.exe ..\cppexamplesREL\
-cd ..
-
-cd compoundtestdll
-copy release\compoundtestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd createtest
-copy release\createtest.exe ..\cppexamplesREL\
-cd ..
-
-cd createtestdll
-copy release\createtestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd extend_dstest
-copy release\extend_dstest.exe ..\cppexamplesREL\
-cd ..
-
-cd extend_dstestdll
-copy release\extend_dstestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd h5grouptest
-copy release\h5grouptest.exe ..\cppexamplesREL\
-cd ..
-
-cd h5grouptestdll
-copy release\h5grouptestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd readdatatest
-copy release\readdatatest.exe ..\cppexamplesREL\
-cd ..
-
-cd readdatatestdll
-copy release\readdatatestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd writedatatest
-copy release\writedatatest.exe ..\cppexamplesREL\
-cd ..
-
-cd writedatatestdll
-copy release\writedatatestdll.exe ..\cppexamplesRELDLL\
-cd ..
-
-cd ..\..
diff --git a/windows/Installf90Examples.BAT b/windows/Installf90Examples.BAT
deleted file mode 100755
index 535cec1..0000000
--- a/windows/Installf90Examples.BAT
+++ /dev/null
@@ -1,140 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-
-
-@ECHO OFF
-REM This batch file is used to install HDF5 Fortran examples'
-REM executable files.
-REM By Xuan Bai
-REM Created on: 10/20/2004
-REM Last Modified: 10/28/2004
-
-cd fortran\examples
-
-mkdir f90examplesREL
-mkdir f90examplesRELDLL
-
-cd attreexampletest
-copy release\attreexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd attreexampletestdll
-copy release\attreexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd compoundtest
-copy release\compoundtest.exe ..\f90examplesREL\
-cd ..
-
-cd compoundtestdll
-copy release\compoundtestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd dsetexampletest
-copy release\dsetexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd dsetexampletestdll
-copy release\dsetexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd fileexampletest
-copy release\fileexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd fileexampletestdll
-copy release\fileexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd groupexampletest
-copy release\groupexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd groupexampletestdll
-copy release\groupexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd grpdsetexampletest
-copy release\grpdsetexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd grpdsetexampletestdll
-copy release\grpdsetexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd grpittest
-copy release\grpittest.exe ..\f90examplesREL\
-cd ..
-
-cd grpittestdll
-copy release\grpittestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd grpsexampletest
-copy release\grpsexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd grpsexampletestdll
-copy release\grpsexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd hyperslabtest
-copy release\hyperslabtest.exe ..\f90examplesREL\
-cd ..
-
-cd hyperslabtestdll
-copy release\hyperslabtestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd mountexampletest
-copy release\mountexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd mountexampletestdll
-copy release\mountexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd refobjexampletest
-copy release\refobjexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd refobjexampletestdll
-copy release\refobjexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd refregexampletest
-copy release\refregexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd refregexampletestdll
-copy release\refregexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd rwdsetexampletest
-copy release\rwdsetexampletest.exe ..\f90examplesREL\
-cd ..
-
-cd rwdsetexampletestdll
-copy release\rwdsetexampletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd selecteletest
-copy release\selecteletest.exe ..\f90examplesREL\
-cd ..
-
-cd selecteletestdll
-copy release\selecteletestdll.exe ..\f90examplesRELDLL\
-cd ..
-
-cd ..\.. \ No newline at end of file
diff --git a/windows/c++/examples/allcppexamples/allcppexamples.sln b/windows/c++/examples/allcppexamples/allcppexamples.sln
deleted file mode 100644
index ff05007..0000000
--- a/windows/c++/examples/allcppexamples/allcppexamples.sln
+++ /dev/null
@@ -1,181 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "allcppexamples", "allcppexamples.vcproj", "{27E3886B-0BAC-4E00-8F89-163208E03462}"
- ProjectSection(ProjectDependencies) = postProject
- {7AABEE0C-3749-49C6-B951-5081BE817897} = {7AABEE0C-3749-49C6-B951-5081BE817897}
- {562DA812-6FD9-424A-BC3E-044362DC93C1} = {562DA812-6FD9-424A-BC3E-044362DC93C1}
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC} = {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309} = {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}
- {798DE42A-5B27-4B33-B54A-58D45EFD600B} = {798DE42A-5B27-4B33-B54A-58D45EFD600B}
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4} = {F144F936-D02D-4859-9FAA-FED36AC8A0C4}
- {1D870142-6627-41ED-95C3-31CF9783FE70} = {1D870142-6627-41ED-95C3-31CF9783FE70}
- {B187A146-D60D-4587-90F5-A501E4B826A6} = {B187A146-D60D-4587-90F5-A501E4B826A6}
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C} = {C75DC585-7E3D-472D-AB77-EAE48876FA8C}
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C} = {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD} = {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411} = {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B} = {051AA0B9-BC9B-4732-BE21-F3949C6A179B}
- {1F74A3E0-276B-4A85-BBB0-3421434D5946} = {1F74A3E0-276B-4A85-BBB0-3421434D5946}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunkstest", "..\chunkstest\chunkstest.vcproj", "{9C900514-5BB2-4BA2-B5DF-5FD8572982DC}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunkstestdll", "..\chunkstestdll\chunkstestdll.vcproj", "{1D870142-6627-41ED-95C3-31CF9783FE70}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "compoundtest", "..\compoundtest\compoundtest.vcproj", "{8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "compoundtestdll", "..\compoundtestdll\compoundtestdll.vcproj", "{F144F936-D02D-4859-9FAA-FED36AC8A0C4}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "createtest", "..\createtest\createtest.vcproj", "{B187A146-D60D-4587-90F5-A501E4B826A6}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "createtestdll", "..\createtestdll\createtestdll.vcproj", "{AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extend_dstest", "..\extend_dstest\extend_dstest.vcproj", "{1F74A3E0-276B-4A85-BBB0-3421434D5946}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extend_dstestdll", "..\extend_dstestdll\extend_dstestdll.vcproj", "{DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5grouptest", "..\h5grouptest\h5grouptest.vcproj", "{798DE42A-5B27-4B33-B54A-58D45EFD600B}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5grouptestdll", "..\h5grouptestdll\h5grouptestdll.vcproj", "{7AABEE0C-3749-49C6-B951-5081BE817897}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "readdatatest", "..\readdatatest\readdatatest.vcproj", "{C75DC585-7E3D-472D-AB77-EAE48876FA8C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "readdatatestdll", "..\readdatatestdll\readdatatestdll.vcproj", "{051AA0B9-BC9B-4732-BE21-F3949C6A179B}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "writedatatest", "..\writedatatest\writedatatest.vcproj", "{8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "writedatatestdll", "..\writedatatestdll\writedatatestdll.vcproj", "{562DA812-6FD9-424A-BC3E-044362DC93C1}"
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Debug|Win32.ActiveCfg = Debug|Win32
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Debug|Win32.Build.0 = Debug|Win32
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Debug|x64.ActiveCfg = Debug|x64
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Debug|x64.Build.0 = Debug|x64
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Release|Win32.ActiveCfg = Release|Win32
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Release|Win32.Build.0 = Release|Win32
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Release|x64.ActiveCfg = Release|x64
- {27E3886B-0BAC-4E00-8F89-163208E03462}.Release|x64.Build.0 = Release|x64
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Debug|Win32.ActiveCfg = Debug|Win32
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Debug|Win32.Build.0 = Debug|Win32
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Debug|x64.ActiveCfg = Debug|x64
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Debug|x64.Build.0 = Debug|x64
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Release|Win32.ActiveCfg = Release|Win32
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Release|Win32.Build.0 = Release|Win32
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Release|x64.ActiveCfg = Release|x64
- {9C900514-5BB2-4BA2-B5DF-5FD8572982DC}.Release|x64.Build.0 = Release|x64
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Debug|Win32.ActiveCfg = Debug|Win32
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Debug|Win32.Build.0 = Debug|Win32
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Debug|x64.ActiveCfg = Debug|x64
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Debug|x64.Build.0 = Debug|x64
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Release|Win32.ActiveCfg = Release|Win32
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Release|Win32.Build.0 = Release|Win32
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Release|x64.ActiveCfg = Release|x64
- {1D870142-6627-41ED-95C3-31CF9783FE70}.Release|x64.Build.0 = Release|x64
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Debug|Win32.ActiveCfg = Debug|Win32
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Debug|Win32.Build.0 = Debug|Win32
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Debug|x64.ActiveCfg = Debug|x64
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Debug|x64.Build.0 = Debug|x64
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Release|Win32.ActiveCfg = Release|Win32
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Release|Win32.Build.0 = Release|Win32
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Release|x64.ActiveCfg = Release|x64
- {8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}.Release|x64.Build.0 = Release|x64
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Debug|Win32.ActiveCfg = Debug|Win32
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Debug|Win32.Build.0 = Debug|Win32
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Debug|x64.ActiveCfg = Debug|x64
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Debug|x64.Build.0 = Debug|x64
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Release|Win32.ActiveCfg = Release|Win32
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Release|Win32.Build.0 = Release|Win32
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Release|x64.ActiveCfg = Release|x64
- {F144F936-D02D-4859-9FAA-FED36AC8A0C4}.Release|x64.Build.0 = Release|x64
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Debug|Win32.ActiveCfg = Debug|Win32
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Debug|Win32.Build.0 = Debug|Win32
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Debug|x64.ActiveCfg = Debug|x64
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Debug|x64.Build.0 = Debug|x64
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Release|Win32.ActiveCfg = Release|Win32
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Release|Win32.Build.0 = Release|Win32
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Release|x64.ActiveCfg = Release|x64
- {B187A146-D60D-4587-90F5-A501E4B826A6}.Release|x64.Build.0 = Release|x64
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Debug|Win32.ActiveCfg = Debug|Win32
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Debug|Win32.Build.0 = Debug|Win32
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Debug|x64.ActiveCfg = Debug|x64
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Debug|x64.Build.0 = Debug|x64
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Release|Win32.ActiveCfg = Release|Win32
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Release|Win32.Build.0 = Release|Win32
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Release|x64.ActiveCfg = Release|x64
- {AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}.Release|x64.Build.0 = Release|x64
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Debug|Win32.ActiveCfg = Debug|Win32
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Debug|Win32.Build.0 = Debug|Win32
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Debug|x64.ActiveCfg = Debug|x64
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Debug|x64.Build.0 = Debug|x64
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Release|Win32.ActiveCfg = Release|Win32
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Release|Win32.Build.0 = Release|Win32
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Release|x64.ActiveCfg = Release|x64
- {1F74A3E0-276B-4A85-BBB0-3421434D5946}.Release|x64.Build.0 = Release|x64
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Debug|Win32.ActiveCfg = Debug|Win32
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Debug|Win32.Build.0 = Debug|Win32
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Debug|x64.ActiveCfg = Debug|x64
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Debug|x64.Build.0 = Debug|x64
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Release|Win32.ActiveCfg = Release|Win32
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Release|Win32.Build.0 = Release|Win32
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Release|x64.ActiveCfg = Release|x64
- {DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}.Release|x64.Build.0 = Release|x64
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Debug|Win32.ActiveCfg = Debug|Win32
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Debug|Win32.Build.0 = Debug|Win32
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Debug|x64.ActiveCfg = Debug|x64
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Debug|x64.Build.0 = Debug|x64
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Release|Win32.ActiveCfg = Release|Win32
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Release|Win32.Build.0 = Release|Win32
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Release|x64.ActiveCfg = Release|x64
- {798DE42A-5B27-4B33-B54A-58D45EFD600B}.Release|x64.Build.0 = Release|x64
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Debug|Win32.ActiveCfg = Debug|Win32
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Debug|Win32.Build.0 = Debug|Win32
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Debug|x64.ActiveCfg = Debug|x64
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Debug|x64.Build.0 = Debug|x64
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Release|Win32.ActiveCfg = Release|Win32
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Release|Win32.Build.0 = Release|Win32
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Release|x64.ActiveCfg = Release|x64
- {7AABEE0C-3749-49C6-B951-5081BE817897}.Release|x64.Build.0 = Release|x64
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Debug|Win32.ActiveCfg = Debug|Win32
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Debug|Win32.Build.0 = Debug|Win32
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Debug|x64.ActiveCfg = Debug|x64
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Debug|x64.Build.0 = Debug|x64
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Release|Win32.ActiveCfg = Release|Win32
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Release|Win32.Build.0 = Release|Win32
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Release|x64.ActiveCfg = Release|x64
- {C75DC585-7E3D-472D-AB77-EAE48876FA8C}.Release|x64.Build.0 = Release|x64
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Debug|Win32.ActiveCfg = Debug|Win32
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Debug|Win32.Build.0 = Debug|Win32
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Debug|x64.ActiveCfg = Debug|x64
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Debug|x64.Build.0 = Debug|x64
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Release|Win32.ActiveCfg = Release|Win32
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Release|Win32.Build.0 = Release|Win32
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Release|x64.ActiveCfg = Release|x64
- {051AA0B9-BC9B-4732-BE21-F3949C6A179B}.Release|x64.Build.0 = Release|x64
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Debug|Win32.ActiveCfg = Debug|Win32
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Debug|Win32.Build.0 = Debug|Win32
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Debug|x64.ActiveCfg = Debug|x64
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Debug|x64.Build.0 = Debug|x64
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Release|Win32.ActiveCfg = Release|Win32
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Release|Win32.Build.0 = Release|Win32
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Release|x64.ActiveCfg = Release|x64
- {8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}.Release|x64.Build.0 = Release|x64
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Debug|Win32.ActiveCfg = Debug|Win32
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Debug|Win32.Build.0 = Debug|Win32
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Debug|x64.ActiveCfg = Debug|x64
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Debug|x64.Build.0 = Debug|x64
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Release|Win32.ActiveCfg = Release|Win32
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Release|Win32.Build.0 = Release|Win32
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Release|x64.ActiveCfg = Release|x64
- {562DA812-6FD9-424A-BC3E-044362DC93C1}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/c++/examples/allcppexamples/allcppexamples.vcproj b/windows/c++/examples/allcppexamples/allcppexamples.vcproj
deleted file mode 100644
index 6a6d348..0000000
--- a/windows/c++/examples/allcppexamples/allcppexamples.vcproj
+++ /dev/null
@@ -1,127 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="allcppexamples"
- ProjectGUID="{27E3886B-0BAC-4E00-8F89-163208E03462}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Debug/allcppexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/allcppexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/allcppexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/allcppexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/c++/examples/chunkstest/chunkstest.vcproj b/windows/c++/examples/chunkstest/chunkstest.vcproj
deleted file mode 100644
index 7a59a0a..0000000
--- a/windows/c++/examples/chunkstest/chunkstest.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunkstest"
- ProjectGUID="{9C900514-5BB2-4BA2-B5DF-5FD8572982DC}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/chunkstest/Release/chunkstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstest/Release/chunkstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstest/Release/"
- ObjectFile=".\../../../../c++/examples/chunkstest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstest/Release/chunkstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/chunkstest/Release/chunkstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstest/Release/chunkstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstest/Release/"
- ObjectFile=".\../../../../c++/examples/chunkstest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstest/Release/chunkstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/chunkstest/Debug/chunkstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstest/Debug/chunkstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstest/Debug/"
- ObjectFile=".\../../../../c++/examples/chunkstest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstest/Debug/chunkstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/chunkstest/Debug/chunkstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstest/Debug/chunkstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstest/Debug/"
- ObjectFile=".\../../../../c++/examples/chunkstest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstest/Debug/chunkstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\chunks.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/chunkstestdll/chunkstestdll.vcproj b/windows/c++/examples/chunkstestdll/chunkstestdll.vcproj
deleted file mode 100644
index fa32f33..0000000
--- a/windows/c++/examples/chunkstestdll/chunkstestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunkstestdll"
- ProjectGUID="{1D870142-6627-41ED-95C3-31CF9783FE70}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/chunkstestdll/Release/chunkstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstestdll/Release/chunkstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstestdll/Release/"
- ObjectFile=".\../../../../c++/examples/chunkstestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstestdll/Release/chunkstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/chunkstestdll/Release/chunkstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstestdll/Release/chunkstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstestdll/Release/"
- ObjectFile=".\../../../../c++/examples/chunkstestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstestdll/Release/chunkstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/chunkstestdll/Debug/chunkstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstestdll/Debug/chunkstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/chunkstestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstestdll/Debug/chunkstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/chunkstestdll/Debug/chunkstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/chunkstestdll/Debug/chunkstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/chunkstestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/chunkstestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/chunkstestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/chunkstestdll/Debug/chunkstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\chunks.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/compoundtest/compoundtest.vcproj b/windows/c++/examples/compoundtest/compoundtest.vcproj
deleted file mode 100644
index 418bfd9..0000000
--- a/windows/c++/examples/compoundtest/compoundtest.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="compoundtest"
- ProjectGUID="{8CD35A8A-1D59-4ACE-B684-A7FD9952A45C}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/compoundtest/Release/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtest/Release/compoundtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtest/Release/"
- ObjectFile=".\../../../../c++/examples/compoundtest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtest/Release/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/compoundtest/Release/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtest/Release/compoundtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtest/Release/"
- ObjectFile=".\../../../../c++/examples/compoundtest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtest/Release/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/compoundtest/Debug/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtest/Debug/compoundtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtest/Debug/"
- ObjectFile=".\../../../../c++/examples/compoundtest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtest/Debug/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/compoundtest/Debug/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtest/Debug/compoundtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtest/Debug/"
- ObjectFile=".\../../../../c++/examples/compoundtest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtest/Debug/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\compound.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/compoundtestdll/compoundtestdll.vcproj b/windows/c++/examples/compoundtestdll/compoundtestdll.vcproj
deleted file mode 100644
index a9e524e..0000000
--- a/windows/c++/examples/compoundtestdll/compoundtestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="compoundtestdll"
- ProjectGUID="{F144F936-D02D-4859-9FAA-FED36AC8A0C4}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/compoundtestdll/Release/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtestdll/Release/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtestdll/Release/"
- ObjectFile=".\../../../../c++/examples/compoundtestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtestdll/Release/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/compoundtestdll/Release/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtestdll/Release/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtestdll/Release/"
- ObjectFile=".\../../../../c++/examples/compoundtestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtestdll/Release/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/compoundtestdll/Debug/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtestdll/Debug/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/compoundtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtestdll/Debug/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/compoundtestdll/Debug/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/compoundtestdll/Debug/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/compoundtestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/compoundtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/compoundtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/compoundtestdll/Debug/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\compound.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/createtest/createtest.vcproj b/windows/c++/examples/createtest/createtest.vcproj
deleted file mode 100644
index 422872a..0000000
--- a/windows/c++/examples/createtest/createtest.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="createtest"
- ProjectGUID="{B187A146-D60D-4587-90F5-A501E4B826A6}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/createtest/Debug/createtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtest/Debug/createtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtest/Debug/"
- ObjectFile=".\../../../../c++/examples/createtest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/createtest/Debug/createtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/createtest/Debug/createtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtest/Debug/createtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtest/Debug/"
- ObjectFile=".\../../../../c++/examples/createtest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/createtest/Debug/createtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/createtest/Release/createtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtest/Release/createtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtest/Release/"
- ObjectFile=".\../../../../c++/examples/createtest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/createtest/Release/createtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/createtest/Release/createtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtest/Release/createtest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtest/Release/"
- ObjectFile=".\../../../../c++/examples/createtest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/createtest/Release/createtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\create.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/createtestdll/createtestdll.vcproj b/windows/c++/examples/createtestdll/createtestdll.vcproj
deleted file mode 100644
index 1ec1669..0000000
--- a/windows/c++/examples/createtestdll/createtestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="createtestdll"
- ProjectGUID="{AC1F5EA5-2256-4E27-8D56-D9A5AA71F411}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/createtestdll/Debug/createtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtestdll/Debug/createtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/createtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/createtestdll/Debug/createtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/createtestdll/Debug/createtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtestdll/Debug/createtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/createtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/createtestdll/Debug/createtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/createtestdll/Release/createtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtestdll/Release/createtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtestdll/Release/"
- ObjectFile=".\../../../../c++/examples/createtestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/createtestdll/Release/createtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/createtestdll/Release/createtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/createtestdll/Release/createtestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/createtestdll/Release/"
- ObjectFile=".\../../../../c++/examples/createtestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/createtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/createtestdll/Release/createtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\create.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/extend_dstest/extend_dstest.vcproj b/windows/c++/examples/extend_dstest/extend_dstest.vcproj
deleted file mode 100644
index ac72191..0000000
--- a/windows/c++/examples/extend_dstest/extend_dstest.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="extend_dstest"
- ProjectGUID="{1F74A3E0-276B-4A85-BBB0-3421434D5946}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/extend_dstest/Release/extend_dstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstest/Release/extend_dstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstest/Release/"
- ObjectFile=".\../../../../c++/examples/extend_dstest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstest/Release/extend_dstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/extend_dstest/Release/extend_dstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstest/Release/extend_dstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstest/Release/"
- ObjectFile=".\../../../../c++/examples/extend_dstest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstest/Release/extend_dstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/extend_dstest/Debug/extend_dstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstest/Debug/extend_dstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstest/Debug/"
- ObjectFile=".\../../../../c++/examples/extend_dstest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstest/Debug/extend_dstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/extend_dstest/Debug/extend_dstest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstest/Debug/extend_dstest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstest/Debug/"
- ObjectFile=".\../../../../c++/examples/extend_dstest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstest/Debug/extend_dstest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\extend_ds.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/extend_dstestdll/extend_dstestdll.vcproj b/windows/c++/examples/extend_dstestdll/extend_dstestdll.vcproj
deleted file mode 100644
index 7862d48..0000000
--- a/windows/c++/examples/extend_dstestdll/extend_dstestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="extend_dstestdll"
- ProjectGUID="{DA72AC9E-B53E-43B4-A9C1-A1E94F3733CD}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/extend_dstestdll/Debug/extend_dstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstestdll/Debug/extend_dstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/extend_dstestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstestdll/Debug/extend_dstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/extend_dstestdll/Debug/extend_dstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstestdll/Debug/extend_dstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/extend_dstestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstestdll/Debug/extend_dstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/extend_dstestdll/Release/extend_dstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstestdll/Release/extend_dstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstestdll/Release/"
- ObjectFile=".\../../../../c++/examples/extend_dstestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstestdll/Release/extend_dstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/extend_dstestdll/Release/extend_dstestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/extend_dstestdll/Release/extend_dstestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/extend_dstestdll/Release/"
- ObjectFile=".\../../../../c++/examples/extend_dstestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/extend_dstestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/extend_dstestdll/Release/extend_dstestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\extend_ds.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/h5grouptest/h5grouptest.vcproj b/windows/c++/examples/h5grouptest/h5grouptest.vcproj
deleted file mode 100644
index 39a19b6..0000000
--- a/windows/c++/examples/h5grouptest/h5grouptest.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5grouptest"
- ProjectGUID="{798DE42A-5B27-4B33-B54A-58D45EFD600B}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/h5grouptest/Release/h5grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptest/Release/h5grouptest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptest/Release/"
- ObjectFile=".\../../../../c++/examples/h5grouptest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptest/Release/h5grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/h5grouptest/Release/h5grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptest/Release/h5grouptest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptest/Release/"
- ObjectFile=".\../../../../c++/examples/h5grouptest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptest/Release/h5grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/h5grouptest/Debug/h5grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptest/Debug/h5grouptest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptest/Debug/"
- ObjectFile=".\../../../../c++/examples/h5grouptest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptest/Debug/h5grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/h5grouptest/Debug/h5grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptest/Debug/h5grouptest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptest/Debug/"
- ObjectFile=".\../../../../c++/examples/h5grouptest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptest/Debug/h5grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\h5group.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/h5grouptestdll/h5grouptestdll.vcproj b/windows/c++/examples/h5grouptestdll/h5grouptestdll.vcproj
deleted file mode 100644
index ceb3f4f..0000000
--- a/windows/c++/examples/h5grouptestdll/h5grouptestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5grouptestdll"
- ProjectGUID="{7AABEE0C-3749-49C6-B951-5081BE817897}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/h5grouptestdll/Release/h5grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptestdll/Release/h5grouptestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptestdll/Release/"
- ObjectFile=".\../../../../c++/examples/h5grouptestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptestdll/Release/h5grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/h5grouptestdll/Release/h5grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptestdll/Release/h5grouptestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptestdll/Release/"
- ObjectFile=".\../../../../c++/examples/h5grouptestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptestdll/Release/h5grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/h5grouptestdll/Debug/h5grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptestdll/Debug/h5grouptestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/h5grouptestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptestdll/Debug/h5grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/h5grouptestdll/Debug/h5grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/h5grouptestdll/Debug/h5grouptestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/h5grouptestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/h5grouptestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/h5grouptestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/h5grouptestdll/Debug/h5grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\h5group.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/readdatatest/readdatatest.vcproj b/windows/c++/examples/readdatatest/readdatatest.vcproj
deleted file mode 100644
index 950a176..0000000
--- a/windows/c++/examples/readdatatest/readdatatest.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="readdatatest"
- ProjectGUID="{C75DC585-7E3D-472D-AB77-EAE48876FA8C}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/readdatatest/Debug/readdatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatest/Debug/readdatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatest/Debug/"
- ObjectFile=".\../../../../c++/examples/readdatatest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatest/Debug/readdatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/readdatatest/Debug/readdatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatest/Debug/readdatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatest/Debug/"
- ObjectFile=".\../../../../c++/examples/readdatatest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatest/Debug/readdatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/readdatatest/Release/readdatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatest/Release/readdatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatest/Release/"
- ObjectFile=".\../../../../c++/examples/readdatatest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatest/Release/readdatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/readdatatest/Release/readdatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatest/Release/readdatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatest/Release/"
- ObjectFile=".\../../../../c++/examples/readdatatest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatest/Release/readdatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\readdata.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/readdatatestdll/readdatatestdll.vcproj b/windows/c++/examples/readdatatestdll/readdatatestdll.vcproj
deleted file mode 100644
index d8db725..0000000
--- a/windows/c++/examples/readdatatestdll/readdatatestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="readdatatestdll"
- ProjectGUID="{051AA0B9-BC9B-4732-BE21-F3949C6A179B}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/readdatatestdll/Debug/readdatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatestdll/Debug/readdatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/readdatatestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatestdll/Debug/readdatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/readdatatestdll/Debug/readdatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatestdll/Debug/readdatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/readdatatestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatestdll/Debug/readdatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/readdatatestdll/Release/readdatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatestdll/Release/readdatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatestdll/Release/"
- ObjectFile=".\../../../../c++/examples/readdatatestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatestdll/Release/readdatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/readdatatestdll/Release/readdatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/readdatatestdll/Release/readdatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/readdatatestdll/Release/"
- ObjectFile=".\../../../../c++/examples/readdatatestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/readdatatestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/readdatatestdll/Release/readdatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\readdata.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/testcppExamples.BAT b/windows/c++/examples/testcppExamples.BAT
deleted file mode 100755
index a6a83ed..0000000
--- a/windows/c++/examples/testcppExamples.BAT
+++ /dev/null
@@ -1,59 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-@ECHO OFF
-REM This batch file is used to test HDF5 C++ examples.
-REM By Xuan Bai
-REM Created on: 10/20/2004
-REM Last Modified: 10/20/2004
-
-if %1.==. GOTO WRONG
-if "%1"=="/?" GOTO HELP
-
-type nul > %1.txt
-createtest%2\%1\createtest%2 >> %1.txt
-readdatatest%2\%1\readdatatest%2 >> %1.txt
-writedatatest%2\%1\writedatatest%2 >> %1.txt
-compoundtest%2\%1\compoundtest%2 >> %1.txt
-extend_dstest%2\%1\extend_dstest%2 >> %1.txt
-chunkstest%2\%1\chunkstest%2 >> %1.txt
-h5grouptest%2\%1\h5grouptest%2 >> %1.txt
-fc %1.txt expected.out >temp.txt
-if %ERRORLEVEL%==0 (
- echo All HDF5 C++ examples tests passed.
-) else (
- echo HDF5 C++ examples tests failed.
- more temp.txt
-)
-del temp.txt
-GOTO END
-
-:WRONG
-echo The syntax of the command is incorrect.
-echo.
-
-:HELP
-echo Tests HDF5 C++ examples.
-echo.
-echo testcppExamples [OPTION]
-echo.
-echo Please use one of the following options!
-echo.
-echo testcppExamples release test HDF5 C++ examples -- release version
-echo testcppExamples release dll test HDF5 C++ examples -- release dll version
-echo testcppExamples debug test HDF5 C++ examples -- debug version
-echo testcppExamples debug dll test HDF5 C++ examples -- debug dll version
-echo testcppExamples /? Help information
-echo.
-
-:END
diff --git a/windows/c++/examples/writedatatest/writedatatest.vcproj b/windows/c++/examples/writedatatest/writedatatest.vcproj
deleted file mode 100644
index 7c6c79f..0000000
--- a/windows/c++/examples/writedatatest/writedatatest.vcproj
+++ /dev/null
@@ -1,402 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="writedatatest"
- ProjectGUID="{8A70FD1D-7E7E-448B-8F5F-7CF2A414F309}"
- RootNamespace="writedatatest"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/writedatatest/Release/writedatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatest/Release/writedatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatest/Release/"
- ObjectFile=".\../../../../c++/examples/writedatatest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatest/Release/writedatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/writedatatest/Release/writedatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatest/Release/writedatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatest/Release/"
- ObjectFile=".\../../../../c++/examples/writedatatest/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cpp.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\release;..\..\..\..\proj\hdf5\release;..\..\..\..\hdf5lib\release\lib"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatest/Release/writedatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/writedatatest/Debug/writedatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatest/Debug/writedatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatest/Debug/"
- ObjectFile=".\../../../../c++/examples/writedatatest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatest/Debug/writedatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/writedatatest/Debug/writedatatest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatest/Debug/writedatatest.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatest/Debug/"
- ObjectFile=".\../../../../c++/examples/writedatatest/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5_cppd.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_cpp\debug;..\..\..\..\proj\hdf5\debug;..\..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatest/Debug/writedatatest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\writedata.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/examples/writedatatestdll/writedatatestdll.vcproj b/windows/c++/examples/writedatatestdll/writedatatestdll.vcproj
deleted file mode 100644
index f398eca..0000000
--- a/windows/c++/examples/writedatatestdll/writedatatestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="writedatatestdll"
- ProjectGUID="{562DA812-6FD9-424A-BC3E-044362DC93C1}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/writedatatestdll/Release/writedatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatestdll/Release/writedatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatestdll/Release/"
- ObjectFile=".\../../../../c++/examples/writedatatestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatestdll/Release/writedatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/writedatatestdll/Release/writedatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;HDF5CPP_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatestdll/Release/writedatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatestdll/Release/"
- ObjectFile=".\../../../../c++/examples/writedatatestdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_cppdll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_cppdll\release,..\..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatestdll/Release/writedatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/examples/writedatatestdll/Debug/writedatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatestdll/Debug/writedatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/writedatatestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatestdll/Debug/writedatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/examples/writedatatestdll/Debug/writedatatestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\c++\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5CPP_USEDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/examples/writedatatestdll/Debug/writedatatestdll.pch"
- AssemblerListingLocation=".\../../../../c++/examples/writedatatestdll/Debug/"
- ObjectFile=".\../../../../c++/examples/writedatatestdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/examples/writedatatestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_cppddll.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_cppdll\debug,..\..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/examples/writedatatestdll/Debug/writedatatestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\c++\examples\writedata.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/test/H5srcdir_str.h b/windows/c++/test/H5srcdir_str.h
deleted file mode 100644
index 4d32264..0000000
--- a/windows/c++/test/H5srcdir_str.h
+++ /dev/null
@@ -1,22 +0,0 @@
-/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
- * Copyright by The HDF Group. *
- * Copyright by the Board of Trustees of the University of Illinois. *
- * All rights reserved. *
- * *
- * This file is part of HDF5. The full HDF5 copyright notice, including *
- * terms governing use, modification, and redistribution, is contained in *
- * the files COPYING and Copyright.html. COPYING can be found at the root *
- * of the source code distribution tree; Copyright.html can be found at the *
- * root level of an installed copy of the electronic HDF5 document set and *
- * is linked from the top-level documents page. It can also be found at *
- * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
- * access to either file, you may request a copy from help@hdfgroup.org. *
- * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
-
-/* If you are reading this file and it has a '.h' suffix, it was automatically
- * generated from the '.in' version. Make changes there.
- */
-
-/* Set the 'srcdir' path from configure time */
-static const char *config_srcdir = ".";
-
diff --git a/windows/c++/test/checkcpptests.bat b/windows/c++/test/checkcpptests.bat
deleted file mode 100644
index 828de6d..0000000
--- a/windows/c++/test/checkcpptests.bat
+++ /dev/null
@@ -1,98 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 c++ library
-rem
-rem Created: Scott Wegner, 9/4/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_CPPTEST_", as we use these for our
-rem tests. Also clear "HDF5_CPPTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_cpptest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_cpptest_') do set %%a=
-set hdf5_cpptest_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the cpptest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_cpptest_tests=%hdf5_cpptest_tests% %1
- set hdf5_cpptest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_cpptest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_cpptest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test testhdf5_cpp%2 .\testhdf5_cpp%2\%1
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All C++ library tests passed.
- ) else (
- echo.** FAILED C++ library tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
diff --git a/windows/c++/test/testhdf5_cpp/testhdf5_cpp.vcproj b/windows/c++/test/testhdf5_cpp/testhdf5_cpp.vcproj
deleted file mode 100644
index 48dda8f..0000000
--- a/windows/c++/test/testhdf5_cpp/testhdf5_cpp.vcproj
+++ /dev/null
@@ -1,465 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="testhdf5_cpp"
- ProjectGUID="{EFA04391-B35B-44C0-AB27-1383D4C9E358}"
- RootNamespace="testhdf5_cpp"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cpp/Release/testhdf5_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cpp/Release/testhdf5_cpp.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cpp/Release/"
- ObjectFile=".\../../../../c++/test/testhdf5_cpp/Release/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cpp/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cpp/Release/testhdf5_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cpp/Release/testhdf5_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cpp/Release/testhdf5_cpp.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cpp/Release/"
- ObjectFile=".\../../../../c++/test/testhdf5_cpp/Release/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cpp/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cpp/Release/testhdf5_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cpp/Debug/testhdf5_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cpp/Debug/testhdf5_cpp.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cpp/Debug/"
- ObjectFile=".\../../../../c++/test/testhdf5_cpp/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cpp/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cpp/Debug/testhdf5_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cpp/Debug/testhdf5_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cpp/Debug/testhdf5_cpp.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cpp/Debug/"
- ObjectFile=".\../../../../c++/test/testhdf5_cpp/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cpp/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cpp/Debug/testhdf5_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\..\c++\test\dsets.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\h5cpputil.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tattr.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tcompound.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\test\testframe.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\testhdf5.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tfile.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tfilter.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\th5s.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tlinks.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\trefer.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\ttypes.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tvlstr.cpp"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl"
- >
- <File
- RelativePath="..\..\..\..\c++\test\h5cpputil.h"
- >
- </File>
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/c++/test/testhdf5_cppdll/testhdf5_cppdll.vcproj b/windows/c++/test/testhdf5_cppdll/testhdf5_cppdll.vcproj
deleted file mode 100644
index 183229d..0000000
--- a/windows/c++/test/testhdf5_cppdll/testhdf5_cppdll.vcproj
+++ /dev/null
@@ -1,465 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="testhdf5_cppdll"
- ProjectGUID="{DBA493BD-3AF1-4616-8A80-F6FD41B70392}"
- RootNamespace="testhdf5_cppdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cppdll/Debug/testhdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5CPP_USEDLL;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cppdll/Debug/testhdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cppdll/Debug/"
- ObjectFile=".\../../../../c++/test/testhdf5_cppdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cppdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib odbc32.lib odbccp32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\Debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cppdll/Debug/testhdf5_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cppdll/Debug/testhdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5CPP_USEDLL;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cppdll/Debug/testhdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cppdll/Debug/"
- ObjectFile=".\../../../../c++/test/testhdf5_cppdll/Debug/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cppdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib odbc32.lib odbccp32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\Debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cppdll/Debug/testhdf5_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cppdll/Release/testhdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5CPP_USEDLL;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cppdll/Release/testhdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cppdll/Release/"
- ObjectFile=".\../../../../c++/test/testhdf5_cppdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cppdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib odbc32.lib odbccp32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\Release"
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cppdll/Release/testhdf5_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../c++/test/testhdf5_cppdll/Release/testhdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\c++\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5CPP_USEDLL;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../c++/test/testhdf5_cppdll/Release/testhdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../../c++/test/testhdf5_cppdll/Release/"
- ObjectFile=".\../../../../c++/test/testhdf5_cppdll/Release/"
- ProgramDataBaseFileName=".\../../../../c++/test/testhdf5_cppdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib odbc32.lib odbccp32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\Release"
- ProgramDatabaseFile=".\../../../../c++/test/testhdf5_cppdll/Release/testhdf5_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\..\c++\test\dsets.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\h5cpputil.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tattr.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tcompound.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\test\testframe.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\testhdf5.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tfile.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tfilter.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\th5s.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tlinks.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\trefer.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\ttypes.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\..\c++\test\tvlstr.cpp"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl"
- >
- <File
- RelativePath="..\h5cpputil.h"
- >
- </File>
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/copy_hdf.bat b/windows/copy_hdf.bat
deleted file mode 100755
index a6dc153..0000000
--- a/windows/copy_hdf.bat
+++ /dev/null
@@ -1,29 +0,0 @@
-@echo off
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-
-rem File Name : copy_hdf.bat
-rem Purpose : Copy all Files in the following formats from Windows to
-rem approapriate directory: .bat .c .f90 .h .txt .js
-rem :
-rem Written By : Muqun Yang
-rem Last Update : 2/18/08 by Scott Wegner
-
-pushd %~dp0
-
-copy /y fortran\src\H5fortran_types.f90 ..\fortran\src > nul
-xcopy /s /i /y *.bat ..\ > nul
-xcopy /s /i /y *.h ..\ > nul
-copy /y examples\testExamples_exp_output.txt ..\examples > nul
-
-popd
diff --git a/windows/examples/allexamples/allexamples.sln b/windows/examples/allexamples/allexamples.sln
deleted file mode 100644
index 725f39d..0000000
--- a/windows/examples/allexamples/allexamples.sln
+++ /dev/null
@@ -1,225 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "allexamples", "allexamples.vcproj", "{DF83A474-3C92-4797-A23F-E02645ABD405}"
- ProjectSection(ProjectDependencies) = postProject
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F} = {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A} = {57FFDE11-87C0-4931-A50A-335D9E1DF83A}
- {92029C16-379F-4B73-B332-F8D70CBE3D0D} = {92029C16-379F-4B73-B332-F8D70CBE3D0D}
- {7822C216-A7EA-44FC-8830-45D19920AC7C} = {7822C216-A7EA-44FC-8830-45D19920AC7C}
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606} = {C33F0932-BEC6-445F-9EFC-CEB4C764B606}
- {30B47942-9B38-4C2B-982D-2067812F02B9} = {30B47942-9B38-4C2B-982D-2067812F02B9}
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4} = {40120B5A-5E0D-4043-BB78-522C7F18F4C4}
- {2528A578-BAB7-468E-BF5D-9105932C16DC} = {2528A578-BAB7-468E-BF5D-9105932C16DC}
- {B8923279-9E37-43D2-8ECF-5225BFB3356A} = {B8923279-9E37-43D2-8ECF-5225BFB3356A}
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878} = {56960BA0-94F9-4DFD-940D-C78DAC8FC878}
- {005B93AE-384F-4408-B087-19032C4EBD72} = {005B93AE-384F-4408-B087-19032C4EBD72}
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2} = {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD} = {2F494AB8-FF88-4C5A-921F-FE26623A28BD}
- {E76380C7-4A22-41DC-A35E-718906DCB9EC} = {E76380C7-4A22-41DC-A35E-718906DCB9EC}
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A} = {0862B9C9-8042-48E3-95EA-60D1A82DC37A}
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16} = {E59609D2-5DA9-4E2A-B052-8A69B5735F16}
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021} = {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245} = {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "attributetest", "..\attributetest\attributetest.vcproj", "{30B47942-9B38-4C2B-982D-2067812F02B9}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "attributetestdll", "..\attributetestdll\attributetestdll.vcproj", "{005B93AE-384F-4408-B087-19032C4EBD72}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunkread", "..\chunkread\chunkread.vcproj", "{2528A578-BAB7-468E-BF5D-9105932C16DC}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunkreaddll", "..\chunkreaddll\chunkreaddll.vcproj", "{E76380C7-4A22-41DC-A35E-718906DCB9EC}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "compoundtest", "..\compoundtest\compoundtest.vcproj", "{2F494AB8-FF88-4C5A-921F-FE26623A28BD}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "compoundtestdll", "..\compoundtestdll\compoundtestdll.vcproj", "{E59609D2-5DA9-4E2A-B052-8A69B5735F16}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extendwritetest", "..\extendwritetest\extendwritetest.vcproj", "{9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extendwritetestdll", "..\extendwritetestdll\extendwritetestdll.vcproj", "{52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "grouptest", "..\grouptest\grouptest.vcproj", "{BD29B9B3-D875-4BE4-BAE6-992AD87208E2}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "grouptestdll", "..\grouptestdll\grouptestdll.vcproj", "{7822C216-A7EA-44FC-8830-45D19920AC7C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "intermgrouptest", "..\intermgrouptest\intermgrouptest.vcproj", "{40120B5A-5E0D-4043-BB78-522C7F18F4C4}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "intermgrouptestdll", "..\intermgrouptestdll\intermgrouptestdll.vcproj", "{92029C16-379F-4B73-B332-F8D70CBE3D0D}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "readtest", "..\readtest\readtest.vcproj", "{B8923279-9E37-43D2-8ECF-5225BFB3356A}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "readtestdll", "..\readtestdll\readtestdll.vcproj", "{6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "selectest", "..\selectest\selectest.vcproj", "{0862B9C9-8042-48E3-95EA-60D1A82DC37A}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "selectestdll", "..\selectestdll\selectestdll.vcproj", "{57FFDE11-87C0-4931-A50A-335D9E1DF83A}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "writetest", "..\writetest\writetest.vcproj", "{56960BA0-94F9-4DFD-940D-C78DAC8FC878}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "writetestdll", "..\writetestdll\writetestdll.vcproj", "{C33F0932-BEC6-445F-9EFC-CEB4C764B606}"
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Debug|Win32.ActiveCfg = Debug|Win32
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Debug|Win32.Build.0 = Debug|Win32
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Debug|x64.ActiveCfg = Debug|x64
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Debug|x64.Build.0 = Debug|x64
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Release|Win32.ActiveCfg = Release|Win32
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Release|Win32.Build.0 = Release|Win32
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Release|x64.ActiveCfg = Release|x64
- {DF83A474-3C92-4797-A23F-E02645ABD405}.Release|x64.Build.0 = Release|x64
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Debug|Win32.ActiveCfg = Debug|Win32
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Debug|Win32.Build.0 = Debug|Win32
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Debug|x64.ActiveCfg = Debug|x64
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Debug|x64.Build.0 = Debug|x64
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Release|Win32.ActiveCfg = Release|Win32
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Release|Win32.Build.0 = Release|Win32
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Release|x64.ActiveCfg = Release|x64
- {30B47942-9B38-4C2B-982D-2067812F02B9}.Release|x64.Build.0 = Release|x64
- {005B93AE-384F-4408-B087-19032C4EBD72}.Debug|Win32.ActiveCfg = Debug|Win32
- {005B93AE-384F-4408-B087-19032C4EBD72}.Debug|Win32.Build.0 = Debug|Win32
- {005B93AE-384F-4408-B087-19032C4EBD72}.Debug|x64.ActiveCfg = Debug|x64
- {005B93AE-384F-4408-B087-19032C4EBD72}.Debug|x64.Build.0 = Debug|x64
- {005B93AE-384F-4408-B087-19032C4EBD72}.Release|Win32.ActiveCfg = Release|Win32
- {005B93AE-384F-4408-B087-19032C4EBD72}.Release|Win32.Build.0 = Release|Win32
- {005B93AE-384F-4408-B087-19032C4EBD72}.Release|x64.ActiveCfg = Release|x64
- {005B93AE-384F-4408-B087-19032C4EBD72}.Release|x64.Build.0 = Release|x64
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Debug|Win32.ActiveCfg = Debug|Win32
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Debug|Win32.Build.0 = Debug|Win32
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Debug|x64.ActiveCfg = Debug|x64
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Debug|x64.Build.0 = Debug|x64
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Release|Win32.ActiveCfg = Release|Win32
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Release|Win32.Build.0 = Release|Win32
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Release|x64.ActiveCfg = Release|x64
- {2528A578-BAB7-468E-BF5D-9105932C16DC}.Release|x64.Build.0 = Release|x64
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Debug|Win32.ActiveCfg = Debug|Win32
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Debug|Win32.Build.0 = Debug|Win32
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Debug|x64.ActiveCfg = Debug|x64
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Debug|x64.Build.0 = Debug|x64
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Release|Win32.ActiveCfg = Release|Win32
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Release|Win32.Build.0 = Release|Win32
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Release|x64.ActiveCfg = Release|x64
- {E76380C7-4A22-41DC-A35E-718906DCB9EC}.Release|x64.Build.0 = Release|x64
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Debug|Win32.ActiveCfg = Debug|Win32
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Debug|Win32.Build.0 = Debug|Win32
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Debug|x64.ActiveCfg = Debug|x64
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Debug|x64.Build.0 = Debug|x64
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Release|Win32.ActiveCfg = Release|Win32
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Release|Win32.Build.0 = Release|Win32
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Release|x64.ActiveCfg = Release|x64
- {2F494AB8-FF88-4C5A-921F-FE26623A28BD}.Release|x64.Build.0 = Release|x64
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Debug|Win32.ActiveCfg = Debug|Win32
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Debug|Win32.Build.0 = Debug|Win32
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Debug|x64.ActiveCfg = Debug|x64
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Debug|x64.Build.0 = Debug|x64
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Release|Win32.ActiveCfg = Release|Win32
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Release|Win32.Build.0 = Release|Win32
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Release|x64.ActiveCfg = Release|x64
- {E59609D2-5DA9-4E2A-B052-8A69B5735F16}.Release|x64.Build.0 = Release|x64
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Debug|Win32.ActiveCfg = Debug|Win32
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Debug|Win32.Build.0 = Debug|Win32
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Debug|x64.ActiveCfg = Debug|x64
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Debug|x64.Build.0 = Debug|x64
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Release|Win32.ActiveCfg = Release|Win32
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Release|Win32.Build.0 = Release|Win32
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Release|x64.ActiveCfg = Release|x64
- {9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}.Release|x64.Build.0 = Release|x64
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Debug|Win32.ActiveCfg = Debug|Win32
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Debug|Win32.Build.0 = Debug|Win32
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Debug|x64.ActiveCfg = Debug|x64
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Debug|x64.Build.0 = Debug|x64
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Release|Win32.ActiveCfg = Release|Win32
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Release|Win32.Build.0 = Release|Win32
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Release|x64.ActiveCfg = Release|x64
- {52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}.Release|x64.Build.0 = Release|x64
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Debug|Win32.ActiveCfg = Debug|Win32
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Debug|Win32.Build.0 = Debug|Win32
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Debug|x64.ActiveCfg = Debug|x64
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Debug|x64.Build.0 = Debug|x64
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Release|Win32.ActiveCfg = Release|Win32
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Release|Win32.Build.0 = Release|Win32
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Release|x64.ActiveCfg = Release|x64
- {BD29B9B3-D875-4BE4-BAE6-992AD87208E2}.Release|x64.Build.0 = Release|x64
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Debug|Win32.ActiveCfg = Debug|Win32
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Debug|Win32.Build.0 = Debug|Win32
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Debug|x64.ActiveCfg = Debug|x64
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Debug|x64.Build.0 = Debug|x64
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Release|Win32.ActiveCfg = Release|Win32
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Release|Win32.Build.0 = Release|Win32
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Release|x64.ActiveCfg = Release|x64
- {7822C216-A7EA-44FC-8830-45D19920AC7C}.Release|x64.Build.0 = Release|x64
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Debug|Win32.ActiveCfg = Debug|Win32
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Debug|Win32.Build.0 = Debug|Win32
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Debug|x64.ActiveCfg = Debug|x64
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Debug|x64.Build.0 = Debug|x64
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Release|Win32.ActiveCfg = Release|Win32
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Release|Win32.Build.0 = Release|Win32
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Release|x64.ActiveCfg = Release|x64
- {40120B5A-5E0D-4043-BB78-522C7F18F4C4}.Release|x64.Build.0 = Release|x64
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Debug|Win32.ActiveCfg = Debug|Win32
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Debug|Win32.Build.0 = Debug|Win32
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Debug|x64.ActiveCfg = Debug|x64
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Debug|x64.Build.0 = Debug|x64
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Release|Win32.ActiveCfg = Release|Win32
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Release|Win32.Build.0 = Release|Win32
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Release|x64.ActiveCfg = Release|x64
- {92029C16-379F-4B73-B332-F8D70CBE3D0D}.Release|x64.Build.0 = Release|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|Win32.ActiveCfg = Debug|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|Win32.Build.0 = Debug|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|x64.ActiveCfg = Debug|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|x64.Build.0 = Debug|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|Win32.ActiveCfg = Release|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|Win32.Build.0 = Release|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|x64.ActiveCfg = Release|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|x64.Build.0 = Release|x64
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Debug|Win32.ActiveCfg = Debug|Win32
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Debug|Win32.Build.0 = Debug|Win32
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Debug|x64.ActiveCfg = Debug|x64
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Debug|x64.Build.0 = Debug|x64
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Release|Win32.ActiveCfg = Release|Win32
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Release|Win32.Build.0 = Release|Win32
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Release|x64.ActiveCfg = Release|x64
- {6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}.Release|x64.Build.0 = Release|x64
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Debug|Win32.ActiveCfg = Debug|Win32
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Debug|Win32.Build.0 = Debug|Win32
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Debug|x64.ActiveCfg = Debug|x64
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Debug|x64.Build.0 = Debug|x64
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Release|Win32.ActiveCfg = Release|Win32
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Release|Win32.Build.0 = Release|Win32
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Release|x64.ActiveCfg = Release|x64
- {0862B9C9-8042-48E3-95EA-60D1A82DC37A}.Release|x64.Build.0 = Release|x64
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Debug|Win32.ActiveCfg = Debug|Win32
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Debug|Win32.Build.0 = Debug|Win32
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Debug|x64.ActiveCfg = Debug|x64
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Debug|x64.Build.0 = Debug|x64
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Release|Win32.ActiveCfg = Release|Win32
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Release|Win32.Build.0 = Release|Win32
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Release|x64.ActiveCfg = Release|x64
- {57FFDE11-87C0-4931-A50A-335D9E1DF83A}.Release|x64.Build.0 = Release|x64
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Debug|Win32.ActiveCfg = Debug|Win32
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Debug|Win32.Build.0 = Debug|Win32
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Debug|x64.ActiveCfg = Debug|x64
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Debug|x64.Build.0 = Debug|x64
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Release|Win32.ActiveCfg = Release|Win32
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Release|Win32.Build.0 = Release|Win32
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Release|x64.ActiveCfg = Release|x64
- {56960BA0-94F9-4DFD-940D-C78DAC8FC878}.Release|x64.Build.0 = Release|x64
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Debug|Win32.ActiveCfg = Debug|Win32
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Debug|Win32.Build.0 = Debug|Win32
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Debug|x64.ActiveCfg = Debug|x64
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Debug|x64.Build.0 = Debug|x64
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Release|Win32.ActiveCfg = Release|Win32
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Release|Win32.Build.0 = Release|Win32
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Release|x64.ActiveCfg = Release|x64
- {C33F0932-BEC6-445F-9EFC-CEB4C764B606}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/examples/allexamples/allexamples.vcproj b/windows/examples/allexamples/allexamples.vcproj
deleted file mode 100644
index 71d0a29..0000000
--- a/windows/examples/allexamples/allexamples.vcproj
+++ /dev/null
@@ -1,129 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="allexamples"
- ProjectGUID="{DF83A474-3C92-4797-A23F-E02645ABD405}"
- RootNamespace="allexamples"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/allexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/allexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/allexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/allexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/examples/attributetest/attributetest.vcproj b/windows/examples/attributetest/attributetest.vcproj
deleted file mode 100644
index ea4c49d..0000000
--- a/windows/examples/attributetest/attributetest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="attributetest"
- ProjectGUID="{30B47942-9B38-4C2B-982D-2067812F02B9}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/attributest/Debug/attributetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributest/Debug/attributetest.pch"
- AssemblerListingLocation=".\../../../examples/attributest/Debug/"
- ObjectFile=".\../../../examples/attributest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/attributest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/attributest/Debug/attributetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/attributest/Debug/attributetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributest/Debug/attributetest.pch"
- AssemblerListingLocation=".\../../../examples/attributest/Debug/"
- ObjectFile=".\../../../examples/attributest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/attributest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/attributest/Debug/attributetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/attributetest/Release/attributetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributetest/Release/attributetest.pch"
- AssemblerListingLocation=".\../../../examples/attributetest/Release/"
- ObjectFile=".\../../../examples/attributetest/Release/"
- ProgramDataBaseFileName=".\../../../examples/attributetest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/attributetest/Release/attributetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/attributetest/Release/attributetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributetest/Release/attributetest.pch"
- AssemblerListingLocation=".\../../../examples/attributetest/Release/"
- ObjectFile=".\../../../examples/attributetest/Release/"
- ProgramDataBaseFileName=".\../../../examples/attributetest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/attributetest/Release/attributetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_attribute.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/attributetestdll/attributetestdll.vcproj b/windows/examples/attributetestdll/attributetestdll.vcproj
deleted file mode 100644
index 8724c2f..0000000
--- a/windows/examples/attributetestdll/attributetestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="attributetestdll"
- ProjectGUID="{005B93AE-384F-4408-B087-19032C4EBD72}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/attributetestdll/Debug/attributetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributetestdll/Debug/attributetestdll.pch"
- AssemblerListingLocation=".\../../../examples/attributetestdll/Debug/"
- ObjectFile=".\../../../examples/attributetestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/attributetestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/attributetestdll/Debug/attributetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/attributetestdll/Debug/attributetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributetestdll/Debug/attributetestdll.pch"
- AssemblerListingLocation=".\../../../examples/attributetestdll/Debug/"
- ObjectFile=".\../../../examples/attributetestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/attributetestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/attributetestdll/Debug/attributetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/attributetestdll/Release/attributetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributetestdll/Release/attributetestdll.pch"
- AssemblerListingLocation=".\../../../examples/attributetestdll/Release/"
- ObjectFile=".\../../../examples/attributetestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/attributetestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/attributetestdll/Release/attributetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/attributetestdll/Release/attributetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/attributetestdll/Release/attributetestdll.pch"
- AssemblerListingLocation=".\../../../examples/attributetestdll/Release/"
- ObjectFile=".\../../../examples/attributetestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/attributetestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/attributetestdll/Release/attributetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_attribute.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/chunkread/chunkread.vcproj b/windows/examples/chunkread/chunkread.vcproj
deleted file mode 100644
index 634a5cf..0000000
--- a/windows/examples/chunkread/chunkread.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunkread"
- ProjectGUID="{2528A578-BAB7-468E-BF5D-9105932C16DC}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/chunkread/Release/chunkread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkread/Release/chunkread.pch"
- AssemblerListingLocation=".\../../../examples/chunkread/Release/"
- ObjectFile=".\../../../examples/chunkread/Release/"
- ProgramDataBaseFileName=".\../../../examples/chunkread/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/chunkread/Release/chunkread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/chunkread/Release/chunkread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkread/Release/chunkread.pch"
- AssemblerListingLocation=".\../../../examples/chunkread/Release/"
- ObjectFile=".\../../../examples/chunkread/Release/"
- ProgramDataBaseFileName=".\../../../examples/chunkread/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/chunkread/Release/chunkread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/chunkread/Debug/chunkread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkread/Debug/chunkread.pch"
- AssemblerListingLocation=".\../../../examples/chunkread/Debug/"
- ObjectFile=".\../../../examples/chunkread/Debug/"
- ProgramDataBaseFileName=".\../../../examples/chunkread/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/chunkread/Debug/chunkread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/chunkread/Debug/chunkread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkread/Debug/chunkread.pch"
- AssemblerListingLocation=".\../../../examples/chunkread/Debug/"
- ObjectFile=".\../../../examples/chunkread/Debug/"
- ProgramDataBaseFileName=".\../../../examples/chunkread/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/chunkread/Debug/chunkread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_chunk_read.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/chunkreaddll/chunkreaddll.vcproj b/windows/examples/chunkreaddll/chunkreaddll.vcproj
deleted file mode 100644
index e507074..0000000
--- a/windows/examples/chunkreaddll/chunkreaddll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunkreaddll"
- ProjectGUID="{E76380C7-4A22-41DC-A35E-718906DCB9EC}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/chunkreaddll/Release/chunkreaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkreaddll/Release/chunkreaddll.pch"
- AssemblerListingLocation=".\../../../examples/chunkreaddll/Release/"
- ObjectFile=".\../../../examples/chunkreaddll/Release/"
- ProgramDataBaseFileName=".\../../../examples/chunkreaddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/chunkreaddll/Release/chunkreaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/chunkreaddll/Release/chunkreaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkreaddll/Release/chunkreaddll.pch"
- AssemblerListingLocation=".\../../../examples/chunkreaddll/Release/"
- ObjectFile=".\../../../examples/chunkreaddll/Release/"
- ProgramDataBaseFileName=".\../../../examples/chunkreaddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/chunkreaddll/Release/chunkreaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/chunkreaddll/Debug/chunkreaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkreaddll/Debug/chunkreaddll.pch"
- AssemblerListingLocation=".\../../../examples/chunkreaddll/Debug/"
- ObjectFile=".\../../../examples/chunkreaddll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/chunkreaddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/chunkreaddll/Debug/chunkreaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/chunkreaddll/Debug/chunkreaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/chunkreaddll/Debug/chunkreaddll.pch"
- AssemblerListingLocation=".\../../../examples/chunkreaddll/Debug/"
- ObjectFile=".\../../../examples/chunkreaddll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/chunkreaddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/chunkreaddll/Debug/chunkreaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_chunk_read.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/compoundtest/compoundtest.vcproj b/windows/examples/compoundtest/compoundtest.vcproj
deleted file mode 100644
index c60684f..0000000
--- a/windows/examples/compoundtest/compoundtest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="compoundtest"
- ProjectGUID="{2F494AB8-FF88-4C5A-921F-FE26623A28BD}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/compoundtest/Release/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtest/Release/compoundtest.pch"
- AssemblerListingLocation=".\../../../examples/compoundtest/Release/"
- ObjectFile=".\../../../examples/compoundtest/Release/"
- ProgramDataBaseFileName=".\../../../examples/compoundtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/compoundtest/Release/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/compoundtest/Release/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtest/Release/compoundtest.pch"
- AssemblerListingLocation=".\../../../examples/compoundtest/Release/"
- ObjectFile=".\../../../examples/compoundtest/Release/"
- ProgramDataBaseFileName=".\../../../examples/compoundtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/compoundtest/Release/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/compoundtest/Debug/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtest/Debug/compoundtest.pch"
- AssemblerListingLocation=".\../../../examples/compoundtest/Debug/"
- ObjectFile=".\../../../examples/compoundtest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/compoundtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/compoundtest/Debug/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/compoundtest/Debug/compoundtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtest/Debug/compoundtest.pch"
- AssemblerListingLocation=".\../../../examples/compoundtest/Debug/"
- ObjectFile=".\../../../examples/compoundtest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/compoundtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/compoundtest/Debug/compoundtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_compound.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/compoundtestdll/compoundtestdll.vcproj b/windows/examples/compoundtestdll/compoundtestdll.vcproj
deleted file mode 100644
index dcafba2..0000000
--- a/windows/examples/compoundtestdll/compoundtestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="compoundtestdll"
- ProjectGUID="{E59609D2-5DA9-4E2A-B052-8A69B5735F16}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/compoundtestdll/Release/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtestdll/Release/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../examples/compoundtestdll/Release/"
- ObjectFile=".\../../../examples/compoundtestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/compoundtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/compoundtestdll/Release/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/compoundtestdll/Release/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtestdll/Release/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../examples/compoundtestdll/Release/"
- ObjectFile=".\../../../examples/compoundtestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/compoundtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/compoundtestdll/Release/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/compoundtestdll/Debug/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtestdll/Debug/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../examples/compoundtestdll/Debug/"
- ObjectFile=".\../../../examples/compoundtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/compoundtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/compoundtestdll/Debug/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/compoundtestdll/Debug/compoundtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/compoundtestdll/Debug/compoundtestdll.pch"
- AssemblerListingLocation=".\../../../examples/compoundtestdll/Debug/"
- ObjectFile=".\../../../examples/compoundtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/compoundtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/compoundtestdll/Debug/compoundtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_compound.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/extendwritetest/extendwritetest.vcproj b/windows/examples/extendwritetest/extendwritetest.vcproj
deleted file mode 100644
index e162798..0000000
--- a/windows/examples/extendwritetest/extendwritetest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="extendwritetest"
- ProjectGUID="{9EA9FED2-DDCD-404E-ABEA-7D2CC43EB021}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/extendwritetest/Debug/extendwritetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetest/Debug/extendwritetest.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetest/Debug/"
- ObjectFile=".\../../../examples/extendwritetest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/extendwritetest/Debug/extendwritetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/extendwritetest/Debug/extendwritetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetest/Debug/extendwritetest.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetest/Debug/"
- ObjectFile=".\../../../examples/extendwritetest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/extendwritetest/Debug/extendwritetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/extendwritetest/Release/extendwritetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetest/Release/extendwritetest.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetest/Release/"
- ObjectFile=".\../../../examples/extendwritetest/Release/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/extendwritetest/Release/extendwritetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/extendwritetest/Release/extendwritetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetest/Release/extendwritetest.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetest/Release/"
- ObjectFile=".\../../../examples/extendwritetest/Release/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/extendwritetest/Release/extendwritetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_extend_write.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/extendwritetestdll/extendwritetestdll.vcproj b/windows/examples/extendwritetestdll/extendwritetestdll.vcproj
deleted file mode 100644
index d33b44c..0000000
--- a/windows/examples/extendwritetestdll/extendwritetestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="extendwritetestdll"
- ProjectGUID="{52C50A0D-21CB-48DE-AB3C-1E67B18BCC1F}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/extendwritetestdll/Release/extendwritetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetestdll/Release/extendwritetestdll.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetestdll/Release/"
- ObjectFile=".\../../../examples/extendwritetestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/extendwritetestdll/Release/extendwritetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/extendwritetestdll/Release/extendwritetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetestdll/Release/extendwritetestdll.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetestdll/Release/"
- ObjectFile=".\../../../examples/extendwritetestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/extendwritetestdll/Release/extendwritetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/extendwritetestdll/Debug/extendwritetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetestdll/Debug/extendwritetestdll.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetestdll/Debug/"
- ObjectFile=".\../../../examples/extendwritetestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/extendwritetestdll/Debug/extendwritetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/extendwritetestdll/Debug/extendwritetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/extendwritetestdll/Debug/extendwritetestdll.pch"
- AssemblerListingLocation=".\../../../examples/extendwritetestdll/Debug/"
- ObjectFile=".\../../../examples/extendwritetestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/extendwritetestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/extendwritetestdll/Debug/extendwritetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_extend_write.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/grouptest/grouptest.vcproj b/windows/examples/grouptest/grouptest.vcproj
deleted file mode 100644
index c6c48a3..0000000
--- a/windows/examples/grouptest/grouptest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="grouptest"
- ProjectGUID="{BD29B9B3-D875-4BE4-BAE6-992AD87208E2}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/grouptest/Debug/grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptest/Debug/grouptest.pch"
- AssemblerListingLocation=".\../../../examples/grouptest/Debug/"
- ObjectFile=".\../../../examples/grouptest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/grouptest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/grouptest/Debug/grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/grouptest/Debug/grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptest/Debug/grouptest.pch"
- AssemblerListingLocation=".\../../../examples/grouptest/Debug/"
- ObjectFile=".\../../../examples/grouptest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/grouptest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/grouptest/Debug/grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/grouptest/Release/grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptest/Release/grouptest.pch"
- AssemblerListingLocation=".\../../../examples/grouptest/Release/"
- ObjectFile=".\../../../examples/grouptest/Release/"
- ProgramDataBaseFileName=".\../../../examples/grouptest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/grouptest/Release/grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/grouptest/Release/grouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptest/Release/grouptest.pch"
- AssemblerListingLocation=".\../../../examples/grouptest/Release/"
- ObjectFile=".\../../../examples/grouptest/Release/"
- ProgramDataBaseFileName=".\../../../examples/grouptest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/grouptest/Release/grouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_group.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/grouptestdll/grouptestdll.vcproj b/windows/examples/grouptestdll/grouptestdll.vcproj
deleted file mode 100644
index 12fd581..0000000
--- a/windows/examples/grouptestdll/grouptestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="grouptestdll"
- ProjectGUID="{7822C216-A7EA-44FC-8830-45D19920AC7C}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/grouptestdll/Debug/grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptestdll/Debug/grouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/grouptestdll/Debug/"
- ObjectFile=".\../../../examples/grouptestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/grouptestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/grouptestdll/Debug/grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/grouptestdll/Debug/grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptestdll/Debug/grouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/grouptestdll/Debug/"
- ObjectFile=".\../../../examples/grouptestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/grouptestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/grouptestdll/Debug/grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/grouptestdll/Release/grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptestdll/Release/grouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/grouptestdll/Release/"
- ObjectFile=".\../../../examples/grouptestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/grouptestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/grouptestdll/Release/grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/grouptestdll/Release/grouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/grouptestdll/Release/grouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/grouptestdll/Release/"
- ObjectFile=".\../../../examples/grouptestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/grouptestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/grouptestdll/Release/grouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_group.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/intermgrouptest/intermgrouptest.vcproj b/windows/examples/intermgrouptest/intermgrouptest.vcproj
deleted file mode 100644
index b79063c..0000000
--- a/windows/examples/intermgrouptest/intermgrouptest.vcproj
+++ /dev/null
@@ -1,414 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="intermgrouptest"
- ProjectGUID="{40120B5A-5E0D-4043-BB78-522C7F18F4C4}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/intermgrouptest/Debug/intermgrouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptest/Debug/intermgrouptest.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptest/Debug/"
- ObjectFile=".\../../../examples/intermgrouptest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/intermgrouptest/Debug/intermgrouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/intermgrouptest/Debug/intermgrouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptest/Debug/intermgrouptest.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptest/Debug/"
- ObjectFile=".\../../../examples/intermgrouptest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/intermgrouptest/Debug/intermgrouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/intermgrouptest/Release/intermgrouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptest/Release/intermgrouptest.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptest/Release/"
- ObjectFile=".\../../../examples/intermgrouptest/Release/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/intermgrouptest/Release/intermgrouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/intermgrouptest/Release/intermgrouptest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptest/Release/intermgrouptest.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptest/Release/"
- ObjectFile=".\../../../examples/intermgrouptest/Release/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/intermgrouptest/Release/intermgrouptest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\examples\h5_interm_group.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/intermgrouptestdll/intermgrouptestdll.vcproj b/windows/examples/intermgrouptestdll/intermgrouptestdll.vcproj
deleted file mode 100644
index 1874cd8..0000000
--- a/windows/examples/intermgrouptestdll/intermgrouptestdll.vcproj
+++ /dev/null
@@ -1,412 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="intermgrouptestdll"
- ProjectGUID="{92029C16-379F-4B73-B332-F8D70CBE3D0D}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/intermgrouptestdll/Debug/intermgrouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptestdll/Debug/intermgrouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptestdll/Debug/"
- ObjectFile=".\../../../examples/intermgrouptestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/intermgrouptestdll/Debug/intermgrouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/intermgrouptestdll/Debug/intermgrouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptestdll/Debug/intermgrouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptestdll/Debug/"
- ObjectFile=".\../../../examples/intermgrouptestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/intermgrouptestdll/Debug/intermgrouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/intermgrouptestdll/Release/intermgrouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptestdll/Release/intermgrouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptestdll/Release/"
- ObjectFile=".\../../../examples/intermgrouptestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/intermgrouptestdll/Release/intermgrouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/intermgrouptestdll/Release/intermgrouptestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/intermgrouptestdll/Release/intermgrouptestdll.pch"
- AssemblerListingLocation=".\../../../examples/intermgrouptestdll/Release/"
- ObjectFile=".\../../../examples/intermgrouptestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/intermgrouptestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/intermgrouptestdll/Release/intermgrouptestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\examples\h5_interm_group.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/readtest/readtest.vcproj b/windows/examples/readtest/readtest.vcproj
deleted file mode 100644
index 3d6e620..0000000
--- a/windows/examples/readtest/readtest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="readtest"
- ProjectGUID="{B8923279-9E37-43D2-8ECF-5225BFB3356A}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/readtest/Debug/readtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtest/Debug/readtest.pch"
- AssemblerListingLocation=".\../../../examples/readtest/Debug/"
- ObjectFile=".\../../../examples/readtest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/readtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/readtest/Debug/readtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/readtest/Debug/readtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtest/Debug/readtest.pch"
- AssemblerListingLocation=".\../../../examples/readtest/Debug/"
- ObjectFile=".\../../../examples/readtest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/readtest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/readtest/Debug/readtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/readtest/Release/readtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtest/Release/readtest.pch"
- AssemblerListingLocation=".\../../../examples/readtest/Release/"
- ObjectFile=".\../../../examples/readtest/Release/"
- ProgramDataBaseFileName=".\../../../examples/readtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/readtest/Release/readtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/readtest/Release/readtest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtest/Release/readtest.pch"
- AssemblerListingLocation=".\../../../examples/readtest/Release/"
- ObjectFile=".\../../../examples/readtest/Release/"
- ProgramDataBaseFileName=".\../../../examples/readtest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/readtest/Release/readtest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_read.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/readtestdll/readtestdll.vcproj b/windows/examples/readtestdll/readtestdll.vcproj
deleted file mode 100644
index 4cabe25..0000000
--- a/windows/examples/readtestdll/readtestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="readtestdll"
- ProjectGUID="{6EC3ABD7-48E1-4FBF-921F-FFA0D150A245}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/readtestdll/Release/readtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtestdll/Release/readtestdll.pch"
- AssemblerListingLocation=".\../../../examples/readtestdll/Release/"
- ObjectFile=".\../../../examples/readtestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/readtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/readtestdll/Release/readtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/readtestdll/Release/readtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtestdll/Release/readtestdll.pch"
- AssemblerListingLocation=".\../../../examples/readtestdll/Release/"
- ObjectFile=".\../../../examples/readtestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/readtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/readtestdll/Release/readtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/readtestdll/Debug/readtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtestdll/Debug/readtestdll.pch"
- AssemblerListingLocation=".\../../../examples/readtestdll/Debug/"
- ObjectFile=".\../../../examples/readtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/readtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/readtestdll/Debug/readtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/readtestdll/Debug/readtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/readtestdll/Debug/readtestdll.pch"
- AssemblerListingLocation=".\../../../examples/readtestdll/Debug/"
- ObjectFile=".\../../../examples/readtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/readtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/readtestdll/Debug/readtestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_read.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/selectest/selectest.vcproj b/windows/examples/selectest/selectest.vcproj
deleted file mode 100644
index 4c3f504..0000000
--- a/windows/examples/selectest/selectest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="selectest"
- ProjectGUID="{0862B9C9-8042-48E3-95EA-60D1A82DC37A}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/selectest/Debug/selectest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectest/Debug/selectest.pch"
- AssemblerListingLocation=".\../../../examples/selectest/Debug/"
- ObjectFile=".\../../../examples/selectest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/selectest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/selectest/Debug/selectest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/selectest/Debug/selectest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectest/Debug/selectest.pch"
- AssemblerListingLocation=".\../../../examples/selectest/Debug/"
- ObjectFile=".\../../../examples/selectest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/selectest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/selectest/Debug/selectest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/selectest/Release/selectest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectest/Release/selectest.pch"
- AssemblerListingLocation=".\../../../examples/selectest/Release/"
- ObjectFile=".\../../../examples/selectest/Release/"
- ProgramDataBaseFileName=".\../../../examples/selectest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/selectest/Release/selectest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/selectest/Release/selectest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectest/Release/selectest.pch"
- AssemblerListingLocation=".\../../../examples/selectest/Release/"
- ObjectFile=".\../../../examples/selectest/Release/"
- ProgramDataBaseFileName=".\../../../examples/selectest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/selectest/Release/selectest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_select.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/selectestdll/selectestdll.vcproj b/windows/examples/selectestdll/selectestdll.vcproj
deleted file mode 100644
index 2264a36..0000000
--- a/windows/examples/selectestdll/selectestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="selectestdll"
- ProjectGUID="{57FFDE11-87C0-4931-A50A-335D9E1DF83A}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/selectestdll/Release/selectestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectestdll/Release/selectestdll.pch"
- AssemblerListingLocation=".\../../../examples/selectestdll/Release/"
- ObjectFile=".\../../../examples/selectestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/selectestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/selectestdll/Release/selectestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/selectestdll/Release/selectestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectestdll/Release/selectestdll.pch"
- AssemblerListingLocation=".\../../../examples/selectestdll/Release/"
- ObjectFile=".\../../../examples/selectestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/selectestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/selectestdll/Release/selectestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/selectestdll/Debug/selectestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectestdll/Debug/selectestdll.pch"
- AssemblerListingLocation=".\../../../examples/selectestdll/Debug/"
- ObjectFile=".\../../../examples/selectestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/selectestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/selectestdll/Debug/selectestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/selectestdll/Debug/selectestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/selectestdll/Debug/selectestdll.pch"
- AssemblerListingLocation=".\../../../examples/selectestdll/Debug/"
- ObjectFile=".\../../../examples/selectestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/selectestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/selectestdll/Debug/selectestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_select.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/testExamples.bat b/windows/examples/testExamples.bat
deleted file mode 100755
index e6367ac..0000000
--- a/windows/examples/testExamples.bat
+++ /dev/null
@@ -1,64 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-@ECHO OFF
-REM This batch file is used to test HDF5 C examples.
-REM by Xuan Bai
-REM Created: 09/09/2004
-REM Last Modified: 10/16/2004
-
-if %1.==. GOTO WRONG
-if "%1"=="/?" GOTO HELP
-
-type nul > %1.txt
-attributetest%2\%1\attributetest%2 >> %1.txt
-compoundtest%2\%1\compoundtest%2 >> %1.txt
-extendwritetest%2\%1\extendwritetest%2 >> %1.txt
-grouptest%2\%1\grouptest%2 >> %1.txt
-intermgrouptest%2\%1\intermgrouptest%2 >> %1.txt
-selectest%2\%1\selectest%2 >> %1.txt
-writetest%2\%1\writetest%2 >> %1.txt
-chunkread%2\%1\chunkread%2 >> %1.txt
-readtest%2\%1\readtest%2 >> %1.txt
-more /e +3 testExamples_exp_output.txt > output.txt
-fc %1.txt output.txt >temp.txt
-if %ERRORLEVEL%==0 (
- echo All HDF5 C examples tests passed.
-) else (
- echo HDF5 C examples tests failed.
- echo.
- more temp.txt
-)
-del output.txt
-del temp.txt
-GOTO END
-
-:WRONG
-echo The syntax of the command is incorrect.
-echo.
-
-:HELP
-echo Tests HDF5 C examples.
-echo.
-echo testExamples [OPTION]
-echo.
-echo Please use one of the following options!
-echo.
-echo testExamples release test HDF5 C examples -- release version
-echo testExamples release dll test HDF5 C examples -- release dll version
-echo testExamples debug test HDF5 C examples -- debug version
-echo testExamples debug dll test HDF5 C examples -- debug dll version
-echo testExamples /? Help information
-echo.
-
-:END
diff --git a/windows/examples/testExamples_exp_output.txt b/windows/examples/testExamples_exp_output.txt
deleted file mode 100644
index b57688f..0000000
--- a/windows/examples/testExamples_exp_output.txt
+++ /dev/null
@@ -1,92 +0,0 @@
-#############################
-Expected output for HDF5 C examples tests
-#############################
-The value of the attribute "Integer attribute" is 1
-Found string attribute; its index is 2 , value = ABCD
-
-Name : Character attribute
-
-Name : Float attribute
-Rank : 2
-Dimension sizes : 2 3
-Type : FLOAT
-Values : -1.000000 -1.000000 -1.000000 -1.000000 -1.000000 -1.000000
-
-Name : Integer attribute
-
-Field c :
-1.0000 0.5000 0.3333 0.2500 0.2000 0.1667 0.1429 0.1250 0.1111 0.1000
-
-Field a :
-0 1 2 3 4 5 6 7 8 9
-
-Field b :
-0.0000 1.0000 4.0000 9.0000 16.0000 25.0000 36.0000 49.0000 64.0000 81.0000
-"/Data/Compressed_Data" dataset is open
-"/Data_new/Compressed_Data" dataset is open
-
-Name : Data
-
-Name : Data_new
-"Data" is unlinked
-
-Name : Data_new
-
-Name : Compressed_Data
-chunk rank 2, dimensions 20 x 20
-
-Name : Float_Data
- Datatype is 'H5T_NATIVE_FLOAT'.
-
-Group /G1 exists in the file
-Group /G1/G2 has 1 member(s)
-Object's name is G3
- 10 0 11 12 0 0 0 0 0
- 18 0 19 20 0 21 22 0 0
- 0 59 0 61 0 0 0 0 0
- 0 0 27 28 0 29 30 0 0
- 0 0 35 36 67 37 38 0 0
- 0 0 43 44 0 45 46 0 0
- 0 0 0 0 0 0 0 0 0
- 0 0 0 0 0 0 0 0 0
-dataset rank 2, dimensions 10 x 5
-
-Dataset:
-1 1 1 3 3
-1 1 1 3 3
-1 1 1 0 0
-2 0 0 0 0
-2 0 0 0 0
-2 0 0 0 0
-2 0 0 0 0
-2 0 0 0 0
-2 0 0 0 0
-2 0 0 0 0
-
-Third column:
-1
-1
-1
-0
-0
-0
-0
-0
-0
-0
-chunk rank 2, dimensions 2 x 5
-
-Chunk:
-1 1 1 0 0
-2 0 0 0 0
-Data set has INTEGER type
-Little endian order
- Data size is 4
-rank 2, dimensions 5 x 6
-0 0 0 0 0 0 0
-0 0 0 0 0 0 0
-0 0 0 0 0 0 0
-3 4 5 6 0 0 0
-4 5 6 7 0 0 0
-5 6 7 8 0 0 0
-0 0 0 0 0 0 0
diff --git a/windows/examples/writetest/writetest.vcproj b/windows/examples/writetest/writetest.vcproj
deleted file mode 100644
index 20194f6..0000000
--- a/windows/examples/writetest/writetest.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="writetest"
- ProjectGUID="{56960BA0-94F9-4DFD-940D-C78DAC8FC878}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/writetest/Release/writetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetest/Release/writetest.pch"
- AssemblerListingLocation=".\../../../examples/writetest/Release/"
- ObjectFile=".\../../../examples/writetest/Release/"
- ProgramDataBaseFileName=".\../../../examples/writetest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/writetest/Release/writetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/writetest/Release/writetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetest/Release/writetest.pch"
- AssemblerListingLocation=".\../../../examples/writetest/Release/"
- ObjectFile=".\../../../examples/writetest/Release/"
- ProgramDataBaseFileName=".\../../../examples/writetest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../examples/writetest/Release/writetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/writetest/Debug/writetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetest/Debug/writetest.pch"
- AssemblerListingLocation=".\../../../examples/writetest/Debug/"
- ObjectFile=".\../../../examples/writetest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/writetest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/writetest/Debug/writetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/writetest/Debug/writetest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetest/Debug/writetest.pch"
- AssemblerListingLocation=".\../../../examples/writetest/Debug/"
- ObjectFile=".\../../../examples/writetest/Debug/"
- ProgramDataBaseFileName=".\../../../examples/writetest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/writetest/Debug/writetest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_write.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/examples/writetestdll/writetestdll.vcproj b/windows/examples/writetestdll/writetestdll.vcproj
deleted file mode 100644
index 3661a12..0000000
--- a/windows/examples/writetestdll/writetestdll.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="writetestdll"
- ProjectGUID="{C33F0932-BEC6-445F-9EFC-CEB4C764B606}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/writetestdll/Debug/writetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetestdll/Debug/writetestdll.pch"
- AssemblerListingLocation=".\../../../examples/writetestdll/Debug/"
- ObjectFile=".\../../../examples/writetestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/writetestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/writetestdll/Debug/writetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/writetestdll/Debug/writetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetestdll/Debug/writetestdll.pch"
- AssemblerListingLocation=".\../../../examples/writetestdll/Debug/"
- ObjectFile=".\../../../examples/writetestdll/Debug/"
- ProgramDataBaseFileName=".\../../../examples/writetestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5lib\debug\dll"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../examples/writetestdll/Debug/writetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../examples/writetestdll/Release/writetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetestdll/Release/writetestdll.pch"
- AssemblerListingLocation=".\../../../examples/writetestdll/Release/"
- ObjectFile=".\../../../examples/writetestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/writetestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/writetestdll/Release/writetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../examples/writetestdll/Release/writetestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../examples/writetestdll/Release/writetestdll.pch"
- AssemblerListingLocation=".\../../../examples/writetestdll/Release/"
- ObjectFile=".\../../../examples/writetestdll/Release/"
- ProgramDataBaseFileName=".\../../../examples/writetestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5lib\release\dll"
- ProgramDatabaseFile=".\../../../examples/writetestdll/Release/writetestdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\examples\h5_write.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/fortran/examples/allf90examples/allf90examples.sln b/windows/fortran/examples/allf90examples/allf90examples.sln
deleted file mode 100644
index e488eae..0000000
--- a/windows/fortran/examples/allf90examples/allf90examples.sln
+++ /dev/null
@@ -1,335 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "allf90examples", "allf90examples.vcproj", "{D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}"
- ProjectSection(ProjectDependencies) = postProject
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA} = {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}
- {1C947503-FBCF-497C-8187-06753D98310E} = {1C947503-FBCF-497C-8187-06753D98310E}
- {61326004-1964-4AF8-BDAA-72E5B60D9461} = {61326004-1964-4AF8-BDAA-72E5B60D9461}
- {88C33906-49D8-4935-AEBE-ED7914F5A560} = {88C33906-49D8-4935-AEBE-ED7914F5A560}
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252} = {8E77A110-6979-4EC2-8F51-0C5A39D1E252}
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2} = {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA} = {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E} = {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F} = {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}
- {ADB89924-2596-461A-B292-1DDF3B4C4886} = {ADB89924-2596-461A-B292-1DDF3B4C4886}
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB} = {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570} = {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}
- {E1DB2E47-2349-446B-9900-7D03216A0D40} = {E1DB2E47-2349-446B-9900-7D03216A0D40}
- {01B14851-65CA-46C7-8C70-C2D5B206A734} = {01B14851-65CA-46C7-8C70-C2D5B206A734}
- {D582215A-A02A-4C07-87FB-952AA84C7079} = {D582215A-A02A-4C07-87FB-952AA84C7079}
- {0FBBFD67-446A-4284-A311-9EA88AF40F28} = {0FBBFD67-446A-4284-A311-9EA88AF40F28}
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B} = {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}
- {A3B71170-1FA4-414F-9836-0F219462D70F} = {A3B71170-1FA4-414F-9836-0F219462D70F}
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D} = {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A} = {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C} = {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}
- {548239C5-BBC2-4823-9CF4-E8C16587D634} = {548239C5-BBC2-4823-9CF4-E8C16587D634}
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5} = {BAE94BC5-4FC8-4C43-A626-17AC800527C5}
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7} = {98622AD2-2BAD-402B-8D7D-75D95A4085C7}
- {235C71DB-BFFC-4EEB-B586-CADFE873E786} = {235C71DB-BFFC-4EEB-B586-CADFE873E786}
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E} = {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520} = {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9} = {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "attreexampletest", "..\attreexampletest\attreexampletest.vfproj", "{8E77A110-6979-4EC2-8F51-0C5A39D1E252}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "attreexampletestdll", "..\attreexampletestdll\attreexampletestdll.vfproj", "{D582215A-A02A-4C07-87FB-952AA84C7079}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "compoundtest", "..\compoundtest\compoundtest.vfproj", "{CEB17C16-6EB8-41BB-9106-DE347F93E4C2}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "compoundtestdll", "..\compoundtestdll\compoundtestdll.vfproj", "{BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "dsetexampletest", "..\dsetexampletest\dsetexampletest.vfproj", "{D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "dsetexampletestdll", "..\dsetexampletestdll\dsetexampletestdll.vfproj", "{9A618A3F-C1C0-4CCD-8E15-55AFB0739570}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "fileexampletest", "..\fileexampletest\fileexampletest.vfproj", "{1C947503-FBCF-497C-8187-06753D98310E}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "fileexampletestdll", "..\fileexampletestdll\fileexampletestdll.vfproj", "{ADB89924-2596-461A-B292-1DDF3B4C4886}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "groupexampletest", "..\groupexampletest\groupexampletest.vfproj", "{98622AD2-2BAD-402B-8D7D-75D95A4085C7}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "groupexampletestdll", "..\groupexampletestdll\groupexampletestdll.vfproj", "{713B7E1F-E427-4C2A-AD4E-94333DAEF40F}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "grpdsetexampletest", "..\grpdsetexampletest\grpdsetexampletest.vfproj", "{548239C5-BBC2-4823-9CF4-E8C16587D634}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "grpdsetexampletestdll", "..\grpdsetexampletestdll\grpdsetexampletestdll.vfproj", "{235C71DB-BFFC-4EEB-B586-CADFE873E786}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "grpittest", "..\grpittest\grpittest.vfproj", "{4F759F00-49B0-4D26-8BC7-D5D8F46449DA}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "grpittestdll", "..\grpittestdll\grpittestdll.vfproj", "{7FF39A16-7267-4F9D-9FD4-B4210C6765CA}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "grpsexampletest", "..\grpsexampletest\grpsexampletest.vfproj", "{61326004-1964-4AF8-BDAA-72E5B60D9461}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "grpsexampletestdll", "..\grpsexampletestdll\grpsexampletestdll.vfproj", "{A3B71170-1FA4-414F-9836-0F219462D70F}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hyperslabtest", "..\hyperslabtest\hyperslabtest.vfproj", "{BAE94BC5-4FC8-4C43-A626-17AC800527C5}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hyperslabtestdll", "..\hyperslabtestdll\hyperslabtestdll.vfproj", "{3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "mountexampletest", "..\mountexampletest\mountexampletest.vfproj", "{B91A6B1D-9D91-4A87-BF65-38A06CBB617E}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "mountexampletestdll", "..\mountexampletestdll\mountexampletestdll.vfproj", "{01B14851-65CA-46C7-8C70-C2D5B206A734}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "refobjexampletest", "..\refobjexampletest\refobjexampletest.vfproj", "{E1DB2E47-2349-446B-9900-7D03216A0D40}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "refobjexampletestdll", "..\refobjexampletestdll\refobjexampletestdll.vfproj", "{1E502386-5469-4FE2-AFD0-9E5B162A9E2D}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "refregexampletest", "..\refregexampletest\refregexampletest.vfproj", "{7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "refregexampletestdll", "..\refregexampletestdll\refregexampletestdll.vfproj", "{0FBBFD67-446A-4284-A311-9EA88AF40F28}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "rwdsetexampletest", "..\rwdsetexampletest\rwdsetexampletest.vfproj", "{89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "rwdsetexampletestdll", "..\rwdsetexampletestdll\rwdsetexampletestdll.vfproj", "{88C33906-49D8-4935-AEBE-ED7914F5A560}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "selecteletest", "..\selecteletest\selecteletest.vfproj", "{0010346D-C44B-4BD1-BFC2-6C2D2514D28B}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "selecteletestdll", "..\selecteletestdll\selecteletestdll.vfproj", "{ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}"
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Debug|Win32.ActiveCfg = Debug|Win32
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Debug|Win32.Build.0 = Debug|Win32
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Debug|x64.ActiveCfg = Debug|x64
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Debug|x64.Build.0 = Debug|x64
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Release|Win32.ActiveCfg = Release|Win32
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Release|Win32.Build.0 = Release|Win32
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Release|x64.ActiveCfg = Release|x64
- {D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}.Release|x64.Build.0 = Release|x64
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Debug|Win32.ActiveCfg = Debug|Win32
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Debug|Win32.Build.0 = Debug|Win32
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Debug|x64.ActiveCfg = Debug|x64
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Debug|x64.Build.0 = Debug|x64
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Release|Win32.ActiveCfg = Release|Win32
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Release|Win32.Build.0 = Release|Win32
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Release|x64.ActiveCfg = Release|x64
- {8E77A110-6979-4EC2-8F51-0C5A39D1E252}.Release|x64.Build.0 = Release|x64
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Debug|Win32.ActiveCfg = Debug|Win32
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Debug|Win32.Build.0 = Debug|Win32
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Debug|x64.ActiveCfg = Debug|x64
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Debug|x64.Build.0 = Debug|x64
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Release|Win32.ActiveCfg = Release|Win32
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Release|Win32.Build.0 = Release|Win32
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Release|x64.ActiveCfg = Release|x64
- {D582215A-A02A-4C07-87FB-952AA84C7079}.Release|x64.Build.0 = Release|x64
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Debug|Win32.ActiveCfg = Debug|Win32
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Debug|Win32.Build.0 = Debug|Win32
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Debug|x64.ActiveCfg = Debug|x64
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Debug|x64.Build.0 = Debug|x64
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Release|Win32.ActiveCfg = Release|Win32
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Release|Win32.Build.0 = Release|Win32
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Release|x64.ActiveCfg = Release|x64
- {CEB17C16-6EB8-41BB-9106-DE347F93E4C2}.Release|x64.Build.0 = Release|x64
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Debug|Win32.ActiveCfg = Debug|Win32
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Debug|Win32.Build.0 = Debug|Win32
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Debug|x64.ActiveCfg = Debug|x64
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Debug|x64.Build.0 = Debug|x64
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Release|Win32.ActiveCfg = Release|Win32
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Release|Win32.Build.0 = Release|Win32
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Release|x64.ActiveCfg = Release|x64
- {BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}.Release|x64.Build.0 = Release|x64
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Debug|Win32.ActiveCfg = Debug|Win32
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Debug|Win32.Build.0 = Debug|Win32
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Debug|x64.ActiveCfg = Debug|x64
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Debug|x64.Build.0 = Debug|x64
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Release|Win32.ActiveCfg = Release|Win32
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Release|Win32.Build.0 = Release|Win32
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Release|x64.ActiveCfg = Release|x64
- {D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}.Release|x64.Build.0 = Release|x64
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Debug|Win32.Build.0 = Debug|Win32
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Debug|x64.ActiveCfg = Debug|x64
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Debug|x64.Build.0 = Debug|x64
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Release|Win32.ActiveCfg = Release|Win32
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Release|Win32.Build.0 = Release|Win32
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Release|x64.ActiveCfg = Release|x64
- {9A618A3F-C1C0-4CCD-8E15-55AFB0739570}.Release|x64.Build.0 = Release|x64
- {1C947503-FBCF-497C-8187-06753D98310E}.Debug|Win32.ActiveCfg = Debug|Win32
- {1C947503-FBCF-497C-8187-06753D98310E}.Debug|Win32.Build.0 = Debug|Win32
- {1C947503-FBCF-497C-8187-06753D98310E}.Debug|x64.ActiveCfg = Debug|x64
- {1C947503-FBCF-497C-8187-06753D98310E}.Debug|x64.Build.0 = Debug|x64
- {1C947503-FBCF-497C-8187-06753D98310E}.Release|Win32.ActiveCfg = Release|Win32
- {1C947503-FBCF-497C-8187-06753D98310E}.Release|Win32.Build.0 = Release|Win32
- {1C947503-FBCF-497C-8187-06753D98310E}.Release|x64.ActiveCfg = Release|x64
- {1C947503-FBCF-497C-8187-06753D98310E}.Release|x64.Build.0 = Release|x64
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Debug|Win32.ActiveCfg = Debug|Win32
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Debug|Win32.Build.0 = Debug|Win32
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Debug|x64.ActiveCfg = Debug|x64
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Debug|x64.Build.0 = Debug|x64
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Release|Win32.ActiveCfg = Release|Win32
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Release|Win32.Build.0 = Release|Win32
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Release|x64.ActiveCfg = Release|x64
- {ADB89924-2596-461A-B292-1DDF3B4C4886}.Release|x64.Build.0 = Release|x64
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Debug|Win32.Build.0 = Debug|Win32
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Debug|x64.ActiveCfg = Debug|x64
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Debug|x64.Build.0 = Debug|x64
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Release|Win32.ActiveCfg = Release|Win32
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Release|Win32.Build.0 = Release|Win32
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Release|x64.ActiveCfg = Release|x64
- {98622AD2-2BAD-402B-8D7D-75D95A4085C7}.Release|x64.Build.0 = Release|x64
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Debug|Win32.ActiveCfg = Debug|Win32
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Debug|Win32.Build.0 = Debug|Win32
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Debug|x64.ActiveCfg = Debug|x64
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Debug|x64.Build.0 = Debug|x64
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Release|Win32.ActiveCfg = Release|Win32
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Release|Win32.Build.0 = Release|Win32
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Release|x64.ActiveCfg = Release|x64
- {713B7E1F-E427-4C2A-AD4E-94333DAEF40F}.Release|x64.Build.0 = Release|x64
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Debug|Win32.ActiveCfg = Debug|Win32
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Debug|Win32.Build.0 = Debug|Win32
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Debug|x64.ActiveCfg = Debug|x64
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Debug|x64.Build.0 = Debug|x64
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Release|Win32.ActiveCfg = Release|Win32
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Release|Win32.Build.0 = Release|Win32
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Release|x64.ActiveCfg = Release|x64
- {548239C5-BBC2-4823-9CF4-E8C16587D634}.Release|x64.Build.0 = Release|x64
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Debug|Win32.ActiveCfg = Debug|Win32
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Debug|Win32.Build.0 = Debug|Win32
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Debug|x64.ActiveCfg = Debug|x64
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Debug|x64.Build.0 = Debug|x64
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Release|Win32.ActiveCfg = Release|Win32
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Release|Win32.Build.0 = Release|Win32
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Release|x64.ActiveCfg = Release|x64
- {235C71DB-BFFC-4EEB-B586-CADFE873E786}.Release|x64.Build.0 = Release|x64
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Debug|Win32.ActiveCfg = Debug|Win32
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Debug|Win32.Build.0 = Debug|Win32
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Debug|x64.ActiveCfg = Debug|x64
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Debug|x64.Build.0 = Debug|x64
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Release|Win32.ActiveCfg = Release|Win32
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Release|Win32.Build.0 = Release|Win32
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Release|x64.ActiveCfg = Release|x64
- {4F759F00-49B0-4D26-8BC7-D5D8F46449DA}.Release|x64.Build.0 = Release|x64
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Debug|Win32.ActiveCfg = Debug|Win32
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Debug|Win32.Build.0 = Debug|Win32
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Debug|x64.ActiveCfg = Debug|x64
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Debug|x64.Build.0 = Debug|x64
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Release|Win32.ActiveCfg = Release|Win32
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Release|Win32.Build.0 = Release|Win32
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Release|x64.ActiveCfg = Release|x64
- {7FF39A16-7267-4F9D-9FD4-B4210C6765CA}.Release|x64.Build.0 = Release|x64
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Debug|Win32.ActiveCfg = Debug|Win32
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Debug|Win32.Build.0 = Debug|Win32
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Debug|x64.ActiveCfg = Debug|x64
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Debug|x64.Build.0 = Debug|x64
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Release|Win32.ActiveCfg = Release|Win32
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Release|Win32.Build.0 = Release|Win32
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Release|x64.ActiveCfg = Release|x64
- {61326004-1964-4AF8-BDAA-72E5B60D9461}.Release|x64.Build.0 = Release|x64
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Debug|Win32.ActiveCfg = Debug|Win32
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Debug|Win32.Build.0 = Debug|Win32
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Debug|x64.ActiveCfg = Debug|x64
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Debug|x64.Build.0 = Debug|x64
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Release|Win32.ActiveCfg = Release|Win32
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Release|Win32.Build.0 = Release|Win32
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Release|x64.ActiveCfg = Release|x64
- {A3B71170-1FA4-414F-9836-0F219462D70F}.Release|x64.Build.0 = Release|x64
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Debug|Win32.ActiveCfg = Debug|Win32
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Debug|Win32.Build.0 = Debug|Win32
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Debug|x64.ActiveCfg = Debug|x64
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Debug|x64.Build.0 = Debug|x64
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Release|Win32.ActiveCfg = Release|Win32
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Release|Win32.Build.0 = Release|Win32
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Release|x64.ActiveCfg = Release|x64
- {BAE94BC5-4FC8-4C43-A626-17AC800527C5}.Release|x64.Build.0 = Release|x64
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Debug|Win32.ActiveCfg = Debug|Win32
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Debug|Win32.Build.0 = Debug|Win32
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Debug|x64.ActiveCfg = Debug|x64
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Debug|x64.Build.0 = Debug|x64
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Release|Win32.ActiveCfg = Release|Win32
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Release|Win32.Build.0 = Release|Win32
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Release|x64.ActiveCfg = Release|x64
- {3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}.Release|x64.Build.0 = Release|x64
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Debug|Win32.ActiveCfg = Debug|Win32
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Debug|Win32.Build.0 = Debug|Win32
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Debug|x64.ActiveCfg = Debug|x64
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Debug|x64.Build.0 = Debug|x64
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Release|Win32.ActiveCfg = Release|Win32
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Release|Win32.Build.0 = Release|Win32
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Release|x64.ActiveCfg = Release|x64
- {B91A6B1D-9D91-4A87-BF65-38A06CBB617E}.Release|x64.Build.0 = Release|x64
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Debug|Win32.ActiveCfg = Debug|Win32
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Debug|Win32.Build.0 = Debug|Win32
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Debug|x64.ActiveCfg = Debug|x64
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Debug|x64.Build.0 = Debug|x64
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Release|Win32.ActiveCfg = Release|Win32
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Release|Win32.Build.0 = Release|Win32
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Release|x64.ActiveCfg = Release|x64
- {01B14851-65CA-46C7-8C70-C2D5B206A734}.Release|x64.Build.0 = Release|x64
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Debug|Win32.ActiveCfg = Debug|Win32
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Debug|Win32.Build.0 = Debug|Win32
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Debug|x64.ActiveCfg = Debug|x64
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Debug|x64.Build.0 = Debug|x64
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Release|Win32.ActiveCfg = Release|Win32
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Release|Win32.Build.0 = Release|Win32
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Release|x64.ActiveCfg = Release|x64
- {E1DB2E47-2349-446B-9900-7D03216A0D40}.Release|x64.Build.0 = Release|x64
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Debug|Win32.ActiveCfg = Debug|Win32
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Debug|Win32.Build.0 = Debug|Win32
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Debug|x64.ActiveCfg = Debug|x64
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Debug|x64.Build.0 = Debug|x64
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Release|Win32.ActiveCfg = Release|Win32
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Release|Win32.Build.0 = Release|Win32
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Release|x64.ActiveCfg = Release|x64
- {1E502386-5469-4FE2-AFD0-9E5B162A9E2D}.Release|x64.Build.0 = Release|x64
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Debug|Win32.ActiveCfg = Debug|Win32
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Debug|Win32.Build.0 = Debug|Win32
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Debug|x64.ActiveCfg = Debug|x64
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Debug|x64.Build.0 = Debug|x64
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Release|Win32.ActiveCfg = Release|Win32
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Release|Win32.Build.0 = Release|Win32
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Release|x64.ActiveCfg = Release|x64
- {7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}.Release|x64.Build.0 = Release|x64
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Debug|Win32.ActiveCfg = Debug|Win32
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Debug|Win32.Build.0 = Debug|Win32
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Debug|x64.ActiveCfg = Debug|x64
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Debug|x64.Build.0 = Debug|x64
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Release|Win32.ActiveCfg = Release|Win32
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Release|Win32.Build.0 = Release|Win32
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Release|x64.ActiveCfg = Release|x64
- {0FBBFD67-446A-4284-A311-9EA88AF40F28}.Release|x64.Build.0 = Release|x64
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Debug|Win32.ActiveCfg = Debug|Win32
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Debug|Win32.Build.0 = Debug|Win32
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Debug|x64.ActiveCfg = Debug|x64
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Debug|x64.Build.0 = Debug|x64
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Release|Win32.ActiveCfg = Release|Win32
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Release|Win32.Build.0 = Release|Win32
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Release|x64.ActiveCfg = Release|x64
- {89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}.Release|x64.Build.0 = Release|x64
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Debug|Win32.ActiveCfg = Debug|Win32
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Debug|Win32.Build.0 = Debug|Win32
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Debug|x64.ActiveCfg = Debug|x64
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Debug|x64.Build.0 = Debug|x64
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Release|Win32.ActiveCfg = Release|Win32
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Release|Win32.Build.0 = Release|Win32
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Release|x64.ActiveCfg = Release|x64
- {88C33906-49D8-4935-AEBE-ED7914F5A560}.Release|x64.Build.0 = Release|x64
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Debug|Win32.ActiveCfg = Debug|Win32
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Debug|Win32.Build.0 = Debug|Win32
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Debug|x64.ActiveCfg = Debug|x64
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Debug|x64.Build.0 = Debug|x64
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Release|Win32.ActiveCfg = Release|Win32
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Release|Win32.Build.0 = Release|Win32
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Release|x64.ActiveCfg = Release|x64
- {0010346D-C44B-4BD1-BFC2-6C2D2514D28B}.Release|x64.Build.0 = Release|x64
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Debug|Win32.ActiveCfg = Debug|Win32
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Debug|Win32.Build.0 = Debug|Win32
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Debug|x64.ActiveCfg = Debug|x64
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Debug|x64.Build.0 = Debug|x64
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Release|Win32.ActiveCfg = Release|Win32
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Release|Win32.Build.0 = Release|Win32
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Release|x64.ActiveCfg = Release|x64
- {ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/fortran/examples/allf90examples/allf90examples.vcproj b/windows/fortran/examples/allf90examples/allf90examples.vcproj
deleted file mode 100644
index db9a621..0000000
--- a/windows/fortran/examples/allf90examples/allf90examples.vcproj
+++ /dev/null
@@ -1,128 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="allf90examples"
- ProjectGUID="{D493CF06-423A-4FA5-8B3F-416C2EDAFF2B}"
- RootNamespace="allf90examples"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../fortran/examples/allf90examples/Release/allf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../fortran/examples/allf90examples/Release/allf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../fortran/examples/allf90examples/Debug/allf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../fortran/examples/allf90examples/Debug/allf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/fortran/examples/attreexampletest/attreexampletest.vfproj b/windows/fortran/examples/attreexampletest/attreexampletest.vfproj
deleted file mode 100644
index 465d871..0000000
--- a/windows/fortran/examples/attreexampletest/attreexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{8E77A110-6979-4EC2-8F51-0C5A39D1E252}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/attreexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/attreexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/attreexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/attreexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\attrexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/attreexampletestdll/attreexampletestdll.vfproj b/windows/fortran/examples/attreexampletestdll/attreexampletestdll.vfproj
deleted file mode 100644
index 186e880..0000000
--- a/windows/fortran/examples/attreexampletestdll/attreexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{D582215A-A02A-4C07-87FB-952AA84C7079}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/attreexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/attreexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/attreexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/attreexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/attreexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\attrexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/compoundtest/compoundtest.vfproj b/windows/fortran/examples/compoundtest/compoundtest.vfproj
deleted file mode 100644
index 76b85bb..0000000
--- a/windows/fortran/examples/compoundtest/compoundtest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{CEB17C16-6EB8-41BB-9106-DE347F93E4C2}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/compoundtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/compoundtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/compoundtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/compoundtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\compound.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/compoundtestdll/compoundtestdll.vfproj b/windows/fortran/examples/compoundtestdll/compoundtestdll.vfproj
deleted file mode 100644
index 0afe8ff..0000000
--- a/windows/fortran/examples/compoundtestdll/compoundtestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{BEBA82E0-3BE9-4BE6-8BD4-B9378FAD5520}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/compoundtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/compoundtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/compoundtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/compoundtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/compoundtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\compound.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/dsetexampletest/dsetexampletest.vfproj b/windows/fortran/examples/dsetexampletest/dsetexampletest.vfproj
deleted file mode 100644
index 0836929..0000000
--- a/windows/fortran/examples/dsetexampletest/dsetexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{D8D98ADF-8DC6-49F2-91AC-ECBAAAB1BB0E}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/dsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/dsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/dsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/dsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\dsetexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/dsetexampletestdll/dsetexampletestdll.vfproj b/windows/fortran/examples/dsetexampletestdll/dsetexampletestdll.vfproj
deleted file mode 100644
index 3ad1b8d..0000000
--- a/windows/fortran/examples/dsetexampletestdll/dsetexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{9A618A3F-C1C0-4CCD-8E15-55AFB0739570}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/dsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/dsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/dsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/dsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/dsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\dsetexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/fileexampletest/fileexampletest.vfproj b/windows/fortran/examples/fileexampletest/fileexampletest.vfproj
deleted file mode 100644
index b17edf9..0000000
--- a/windows/fortran/examples/fileexampletest/fileexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{1C947503-FBCF-497C-8187-06753D98310E}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/fileexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/fileexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/fileexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/fileexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\fileexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/fileexampletestdll/fileexampletestdll.vfproj b/windows/fortran/examples/fileexampletestdll/fileexampletestdll.vfproj
deleted file mode 100644
index ec59134..0000000
--- a/windows/fortran/examples/fileexampletestdll/fileexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{ADB89924-2596-461A-B292-1DDF3B4C4886}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/fileexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/fileexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/fileexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/fileexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/fileexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\fileexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/groupexampletest/groupexampletest.vfproj b/windows/fortran/examples/groupexampletest/groupexampletest.vfproj
deleted file mode 100644
index b44fa7d..0000000
--- a/windows/fortran/examples/groupexampletest/groupexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{98622AD2-2BAD-402B-8D7D-75D95A4085C7}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/groupexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/groupexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/groupexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/groupexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\groupexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/groupexampletestdll/groupexampletestdll.vfproj b/windows/fortran/examples/groupexampletestdll/groupexampletestdll.vfproj
deleted file mode 100644
index b567ac2..0000000
--- a/windows/fortran/examples/groupexampletestdll/groupexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{713B7E1F-E427-4C2A-AD4E-94333DAEF40F}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/groupexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/groupexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/groupexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/groupexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/groupexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\groupexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/grpdsetexampletest/grpdsetexampletest.vfproj b/windows/fortran/examples/grpdsetexampletest/grpdsetexampletest.vfproj
deleted file mode 100644
index 5a91ca0..0000000
--- a/windows/fortran/examples/grpdsetexampletest/grpdsetexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{548239C5-BBC2-4823-9CF4-E8C16587D634}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\grpdsetexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/grpdsetexampletestdll/grpdsetexampletestdll.vfproj b/windows/fortran/examples/grpdsetexampletestdll/grpdsetexampletestdll.vfproj
deleted file mode 100644
index 4aa60f5..0000000
--- a/windows/fortran/examples/grpdsetexampletestdll/grpdsetexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{235C71DB-BFFC-4EEB-B586-CADFE873E786}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\grpdsetexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/grpittest/grpittest.vfproj b/windows/fortran/examples/grpittest/grpittest.vfproj
deleted file mode 100644
index 0ffe0c6..0000000
--- a/windows/fortran/examples/grpittest/grpittest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{4F759F00-49B0-4D26-8BC7-D5D8F46449DA}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpittest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/grpittest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpittest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/grpittest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\grpit.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/grpittestdll/grpittestdll.vfproj b/windows/fortran/examples/grpittestdll/grpittestdll.vfproj
deleted file mode 100644
index ceaea49..0000000
--- a/windows/fortran/examples/grpittestdll/grpittestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{7FF39A16-7267-4F9D-9FD4-B4210C6765CA}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/grpittestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpittestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/grpittestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpittestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpittestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\grpit.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/grpsexampletest/grpsexampletest.vfproj b/windows/fortran/examples/grpsexampletest/grpsexampletest.vfproj
deleted file mode 100644
index 517201a..0000000
--- a/windows/fortran/examples/grpsexampletest/grpsexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{61326004-1964-4AF8-BDAA-72E5B60D9461}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/grpsexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpsexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/grpsexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpsexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\grpsexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/grpsexampletestdll/grpsexampletestdll.vfproj b/windows/fortran/examples/grpsexampletestdll/grpsexampletestdll.vfproj
deleted file mode 100644
index 7bcd3de..0000000
--- a/windows/fortran/examples/grpsexampletestdll/grpsexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{A3B71170-1FA4-414F-9836-0F219462D70F}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpsexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/grpsexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/grpsexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/grpsexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/grpsexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\grpsexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/hyperslabtest/hyperslabtest.vfproj b/windows/fortran/examples/hyperslabtest/hyperslabtest.vfproj
deleted file mode 100644
index cb33779..0000000
--- a/windows/fortran/examples/hyperslabtest/hyperslabtest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{BAE94BC5-4FC8-4C43-A626-17AC800527C5}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/hyperslabtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hyperslabtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/hyperslabtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hyperslabtest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\hyperslab.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/hyperslabtestdll/hyperslabtestdll.vfproj b/windows/fortran/examples/hyperslabtestdll/hyperslabtestdll.vfproj
deleted file mode 100644
index bd71275..0000000
--- a/windows/fortran/examples/hyperslabtestdll/hyperslabtestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{3E1B6AF4-37F1-493D-9DA2-6BFCB1C2EFD9}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hyperslabtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/hyperslabtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hyperslabtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hyperslabtestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/hyperslabtestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\hyperslab.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/mountexampletest/mountexampletest.vfproj b/windows/fortran/examples/mountexampletest/mountexampletest.vfproj
deleted file mode 100644
index f3b8133..0000000
--- a/windows/fortran/examples/mountexampletest/mountexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{B91A6B1D-9D91-4A87-BF65-38A06CBB617E}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/mountexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/mountexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/mountexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/mountexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\mountexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/mountexampletestdll/mountexampletestdll.vfproj b/windows/fortran/examples/mountexampletestdll/mountexampletestdll.vfproj
deleted file mode 100644
index f80531b..0000000
--- a/windows/fortran/examples/mountexampletestdll/mountexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{01B14851-65CA-46C7-8C70-C2D5B206A734}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/mountexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/mountexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/mountexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/mountexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/mountexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\mountexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/refobjexampletest/refobjexampletest.vfproj b/windows/fortran/examples/refobjexampletest/refobjexampletest.vfproj
deleted file mode 100644
index 02cc63f..0000000
--- a/windows/fortran/examples/refobjexampletest/refobjexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{E1DB2E47-2349-446B-9900-7D03216A0D40}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/refobjexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refobjexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/refobjexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refobjexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\refobjexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/refobjexampletestdll/refobjexampletestdll.vfproj b/windows/fortran/examples/refobjexampletestdll/refobjexampletestdll.vfproj
deleted file mode 100644
index b0d7517..0000000
--- a/windows/fortran/examples/refobjexampletestdll/refobjexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{1E502386-5469-4FE2-AFD0-9E5B162A9E2D}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refobjexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/refobjexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refobjexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refobjexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/refobjexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\refobjexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/refregexampletest/refregexampletest.vfproj b/windows/fortran/examples/refregexampletest/refregexampletest.vfproj
deleted file mode 100644
index 9251a9c..0000000
--- a/windows/fortran/examples/refregexampletest/refregexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{7F95DFBC-E6B9-4619-A42E-D2964F79AD2C}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refregexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/refregexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refregexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/refregexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\refregexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/refregexampletestdll/refregexampletestdll.vfproj b/windows/fortran/examples/refregexampletestdll/refregexampletestdll.vfproj
deleted file mode 100644
index 4672135..0000000
--- a/windows/fortran/examples/refregexampletestdll/refregexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{0FBBFD67-446A-4284-A311-9EA88AF40F28}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refregexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/refregexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/refregexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/refregexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/refregexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\refregexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/rwdsetexampletest/rwdsetexampletest.vfproj b/windows/fortran/examples/rwdsetexampletest/rwdsetexampletest.vfproj
deleted file mode 100644
index 436f40d..0000000
--- a/windows/fortran/examples/rwdsetexampletest/rwdsetexampletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{89ABA5B1-B1F0-4444-AB54-DE45BCE2F27A}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\rwdsetexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/rwdsetexampletestdll/rwdsetexampletestdll.vfproj b/windows/fortran/examples/rwdsetexampletestdll/rwdsetexampletestdll.vfproj
deleted file mode 100644
index ee22d56..0000000
--- a/windows/fortran/examples/rwdsetexampletestdll/rwdsetexampletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{88C33906-49D8-4935-AEBE-ED7914F5A560}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/rwdsetexampletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/rwdsetexampletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\rwdsetexample.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/selecteletest/selecteletest.vfproj b/windows/fortran/examples/selecteletest/selecteletest.vfproj
deleted file mode 100644
index d1001e0..0000000
--- a/windows/fortran/examples/selecteletest/selecteletest.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{0010346D-C44B-4BD1-BFC2-6C2D2514D28B}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/selecteletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/selecteletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/Debug/;..\..\..\..\proj\hdf5_fortran\Debug/;Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\hdf5lib\debug\lib" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/selecteletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletest.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\hdf5lib\release\lib" ProgramDatabaseFile="$(OUTDIR)/selecteletest.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5.lib hdf5_fortran.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\selectele.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/examples/selecteletestdll/selecteletestdll.vfproj b/windows/fortran/examples/selecteletestdll/selecteletestdll.vfproj
deleted file mode 100644
index d7d92aa..0000000
--- a/windows/fortran/examples/selecteletestdll/selecteletestdll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{ACAB0626-1CB5-4875-A4EC-41E526A3ABDB}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/selecteletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/selecteletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\hdf5lib\release\DLL" ProgramDatabaseFile="$(OUTDIR)/selecteletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5dll.lib hdf5_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/selecteletestdll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\hdf5lib\debug\DLL" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/selecteletestdll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\examples\selectele.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/checkfortrantests.bat b/windows/fortran/test/checkfortrantests.bat
deleted file mode 100644
index d806e7e..0000000
--- a/windows/fortran/test/checkfortrantests.bat
+++ /dev/null
@@ -1,102 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 fortran library
-rem
-rem Created: Scott Wegner, 9/6/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_FORTTEST_", as we use these for our
-rem tests. Also clear "HDF5_FORTTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_forttest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_forttest_') do set %%a=
-set hdf5_forttest_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the forttest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_forttest_tests=%hdf5_forttest_tests% %1
- set hdf5_forttest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_forttest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_forttest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test testhdf5_fortran%2 .\testhdf5_fortran%2\%1
- call :add_test flush1_fortran%2 .\flush1_fortran%2\%1
- call :add_test flush2_fortran%2 .\flush2_fortran%2\%1
- call :add_test testhdf5_fortran_1_8%2 .\testhdf5_fortran_1_8%2\%1
-
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All Fortran library tests passed.
- ) else (
- echo.** FAILED Fortran library tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
diff --git a/windows/fortran/test/flush1_fortran/flush1_fortran.vfproj b/windows/fortran/test/flush1_fortran/flush1_fortran.vfproj
deleted file mode 100644
index 0505d4c..0000000
--- a/windows/fortran/test/flush1_fortran/flush1_fortran.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{F445FB75-3390-47BE-8179-6A9222A9ACD8}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\test\fflush1.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/flush1_fortrandll/flush1_fortrandll.vfproj b/windows/fortran/test/flush1_fortrandll/flush1_fortrandll.vfproj
deleted file mode 100644
index 188fe9a..0000000
--- a/windows/fortran/test/flush1_fortrandll/flush1_fortrandll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush1_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush1_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\test\fflush1.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/flush2_fortran/flush2_fortran.vfproj b/windows/fortran/test/flush2_fortran/flush2_fortran.vfproj
deleted file mode 100644
index 9f0daff..0000000
--- a/windows/fortran/test/flush2_fortran/flush2_fortran.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{A4C4D9C2-DFB5-4A09-8C6D-968113C58247}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\test\fflush2.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/flush2_fortrandll/flush2_fortrandll.vfproj b/windows/fortran/test/flush2_fortrandll/flush2_fortrandll.vfproj
deleted file mode 100644
index da8211d..0000000
--- a/windows/fortran/test/flush2_fortrandll/flush2_fortrandll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{C865016E-1FE1-4FD7-959D-62E795206E76}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/flush2_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/flush2_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\test\fflush2.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/libtest_cstubdll/libtest_cstubdll.vcproj b/windows/fortran/test/libtest_cstubdll/libtest_cstubdll.vcproj
deleted file mode 100644
index 2fdf67e..0000000
--- a/windows/fortran/test/libtest_cstubdll/libtest_cstubdll.vcproj
+++ /dev/null
@@ -1,412 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="libtest_cstubdll"
- ProjectGUID="{1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}"
- RootNamespace="libtest_cstubdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5TESTUSEDLL_;HDF5FORTTEST_CSTUB_DLL_EXPORTS"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.pch"
- AssemblerListingLocation=".\../../../../fortran/test/libtest_cstubdll/Release/"
- ObjectFile=".\../../../../fortran/test/libtest_cstubdll/Release/"
- ProgramDataBaseFileName=".\../../../../fortran/test/libtest_cstubdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\libtest_cstubdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5TESTUSEDLL_;HDF5FORTTEST_CSTUB_DLL_EXPORTS"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.pch"
- AssemblerListingLocation=".\../../../../fortran/test/libtest_cstubdll/Release/"
- ObjectFile=".\../../../../fortran/test/libtest_cstubdll/Release/"
- ProgramDataBaseFileName=".\../../../../fortran/test/libtest_cstubdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\libtest_cstubdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary=".\../../../../fortran/test/libtest_cstubdll/Release/libtest_cstubdll.lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5TESTUSEDLL_;HDF5FORTTEST_CSTUB_DLL_EXPORTS"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubdll.pch"
- AssemblerListingLocation=".\../../../../fortran/test/libtest_cstubdll/Debug/"
- ObjectFile=".\../../../../fortran/test/libtest_cstubdll/Debug/"
- ProgramDataBaseFileName=".\../../../../fortran/test/libtest_cstubdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\libtest_cstubddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubddll.pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubddll.lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5TESTUSEDLL_;HDF5FORTTEST_CSTUB_DLL_EXPORTS"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubdll.pch"
- AssemblerListingLocation=".\../../../../fortran/test/libtest_cstubdll/Debug/"
- ObjectFile=".\../../../../fortran/test/libtest_cstubdll/Debug/"
- ProgramDataBaseFileName=".\../../../../fortran/test/libtest_cstubdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\libtest_cstubddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubddll.pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary=".\../../../../fortran/test/libtest_cstubdll/Debug/libtest_cstubddll.lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\fortran\src\H5f90kit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\fortran\test\t.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\fortran\test\t.h"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/fortran/test/libtest_fortran/libtest_cstub.vcproj b/windows/fortran/test/libtest_fortran/libtest_cstub.vcproj
deleted file mode 100644
index 7517148..0000000
--- a/windows/fortran/test/libtest_fortran/libtest_cstub.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="libtest_cstub"
- ProjectGUID="{2B93688D-D766-4295-ABFA-003CF905F8D8}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\fortran\test\libtest_fortran\Debug/libtest_fortran.pch"
- AssemblerListingLocation=".\..\..\..\..\fortran\test\libtest_fortran\Debug/"
- ObjectFile=".\..\..\..\..\fortran\test\libtest_fortran\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\fortran\test\libtest_fortran\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\fortran\test\libtest_fortran\Debug/libtest_fortran.pch"
- AssemblerListingLocation=".\..\..\..\..\fortran\test\libtest_fortran\Debug/"
- ObjectFile=".\..\..\..\..\fortran\test\libtest_fortran\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\fortran\test\libtest_fortran\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\fortran\test\libtest_fortran\Release/libtest_fortran.pch"
- AssemblerListingLocation=".\..\..\..\..\fortran\test\libtest_fortran\Release/"
- ObjectFile=".\..\..\..\..\fortran\test\libtest_fortran\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\fortran\test\libtest_fortran\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\fortran\test\libtest_fortran\Release/libtest_fortran.pch"
- AssemblerListingLocation=".\..\..\..\..\fortran\test\libtest_fortran\Release/"
- ObjectFile=".\..\..\..\..\fortran\test\libtest_fortran\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\fortran\test\libtest_fortran\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\fortran\test\t.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\fortran\test\t.h"
- >
- </File>
- </Files>
- <Globals>
- <Global
- Name="INTEL_FORTRAN_EXTRACTED"
- Value="1"
- />
- </Globals>
-</VisualStudioProject>
diff --git a/windows/fortran/test/libtest_fortran/libtest_fortran.vfproj b/windows/fortran/test/libtest_fortran/libtest_fortran.vfproj
deleted file mode 100644
index a87cb98..0000000
--- a/windows/fortran/test/libtest_fortran/libtest_fortran.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectType="typeStaticLibrary" ProjectCreator="Intel Fortran" Keyword="Static Library - Converted from CVF" Version="9.10" ProjectIdGuid="{84571A5E-D9A6-4672-9F86-3F8E32C93FDF}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName).lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLibrarianTool" OutputFile="$(OutDir)\$(ProjectName)d.lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName).lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName)d.lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\test\tf.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/libtest_fortrandll/libtest_fortrandll.vfproj b/windows/fortran/test/libtest_fortrandll/libtest_fortrandll.vfproj
deleted file mode 100644
index 8786586..0000000
--- a/windows/fortran/test/libtest_fortrandll/libtest_fortrandll.vfproj
+++ /dev/null
@@ -1,45 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectType="typeDynamicLibrary" ProjectCreator="Intel Fortran" Keyword="Dll - Converted from CVF" Version="9.10" ProjectIdGuid="{71C6994C-3102-4A2A-B0AE-88A590CB36CE}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TargetEnvironment="midlTargetWin32" TypeLibraryName="$(OUTDIR)/libtest_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\libtest_fortrandll.dll" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" PreprocessorDefinitions="_DEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TargetEnvironment="midlTargetWin32" TypeLibraryName="$(OUTDIR)/libtest_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" OutputFile="$(OutDir)\libtest_fortranddll.dll" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/libtest_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\libtest_fortrandll.dll" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/libtest_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\libtest_fortranddll.dll" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\fortran\test\tf.f90"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/testhdf5_fortran/testhdf5_fortran.vfproj b/windows/fortran/test/testhdf5_fortran/testhdf5_fortran.vfproj
deleted file mode 100644
index dc23098..0000000
--- a/windows/fortran/test/testhdf5_fortran/testhdf5_fortran.vfproj
+++ /dev/null
@@ -1,61 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{F04E2D13-2096-4C67-AA4C-63C9015474B1}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" ModulePath="..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/;..\..\..\..\proj\hdf5_fortran\Release/" ModulePath="..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\..\proj\hdf5_fortran\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\fortran\test\fortranlib_test.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5A.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5D.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5E.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5F.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5G.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5I.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5P.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5R.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5S.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5Sselect.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5T.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5VL.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5Z.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/testhdf5_fortran_1_8/testhdf5_fortran_1_8.vfproj b/windows/fortran/test/testhdf5_fortran_1_8/testhdf5_fortran_1_8.vfproj
deleted file mode 100644
index 52bf6fd..0000000
--- a/windows/fortran/test/testhdf5_fortran_1_8/testhdf5_fortran_1_8.vfproj
+++ /dev/null
@@ -1,52 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application" Version="9.10" ProjectIdGuid="{04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran_1_8.pdb" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" ResourceOutputFileName="$(IntDir)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" ResourceOutputFileName="$(IntDir)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" ModulePath="..\..\..\..\proj\hdf5_fortran\Debug/" ObjectFile="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran_1_8.pdb" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" ResourceOutputFileName="$(IntDir)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" ModulePath="..\..\..\..\proj\hdf5_fortran\Release/" ObjectFile="$(INTDIR)/" RuntimeLibrary="rtMultiThreaded" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" ResourceOutputFileName="$(IntDir)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="f90;for;f;fpp;ftn;def;odl;idl">
- <File RelativePath="..\..\..\..\fortran\test\fortranlib_test_1_8.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5A_1_8.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5F.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5G_1_8.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5O.f90"/></Filter>
- <Filter Name="Header Files" Filter="fi;fd"/>
- <Filter Name="Resource Files" Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/testhdf5_fortran_1_8dll/testhdf5_fortran_1_8dll.vfproj b/windows/fortran/test/testhdf5_fortran_1_8dll/testhdf5_fortran_1_8dll.vfproj
deleted file mode 100644
index 441e17d..0000000
--- a/windows/fortran/test/testhdf5_fortran_1_8dll/testhdf5_fortran_1_8dll.vfproj
+++ /dev/null
@@ -1,52 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application" Version="9.10" ProjectIdGuid="{531839A0-AFE6-482A-BF60-29890B89D4BF}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortrandll\Debug" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug" ObjectFile="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8dll.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran_1_8dll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" ResourceOutputFileName="$(IntDir)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortrandll\Release" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8dll.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" ResourceOutputFileName="$(IntDir)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortrandll\Debug" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug" ObjectFile="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8dll.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortran_1_8dll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" ResourceOutputFileName="$(IntDir)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" HeaderFileName="$(InputName).h" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortrandll\Release" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OUTDIR)/testhdf5_fortran_1_8dll.exe" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" SubSystem="subSystemConsole" AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" ResourceOutputFileName="$(IntDir)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="f90;for;f;fpp;ftn;def;odl;idl">
- <File RelativePath="..\..\..\..\fortran\test\fortranlib_test_1_8.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5A_1_8.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5F.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5G_1_8.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5O.f90"/></Filter>
- <Filter Name="Header Files" Filter="fi;fd"/>
- <Filter Name="Resource Files" Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/fortran/test/testhdf5_fortrandll/testhdf5_fortrandll.vfproj b/windows/fortran/test/testhdf5_fortrandll/testhdf5_fortrandll.vfproj
deleted file mode 100644
index b432134..0000000
--- a/windows/fortran/test/testhdf5_fortrandll/testhdf5_fortrandll.vfproj
+++ /dev/null
@@ -1,65 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{6923D270-FB9F-4F40-8268-9C542ADABD88}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Release/;..\..\..\proj\hdf5_fortrandll\Release/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\proj\hdf5_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Release/;..\..\..\proj\hdf5_fortrandll\Release/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/testhdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\proj\hdf5_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/testhdf5_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\fortran\test\fortranlib_test.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5A.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5D.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5E.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5F.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5G.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5I.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5P.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5R.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5S.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5Sselect.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5T.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5VL.f90"/>
- <File RelativePath="..\..\..\..\fortran\test\tH5Z.f90">
- <FileConfiguration Name="Debug|Win32">
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="false" AdditionalIncludeDirectories="..\..\..\..\proj\hdf5_fortrandll\Debug/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/"/></FileConfiguration>
- <FileConfiguration Name="Debug|x64">
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalIncludeDirectories="..\..\..\..\proj\hdf5_fortrandll\Debug/" ModulePath="..\..\..\..\proj\hdf5_fortrandll\Debug/"/></FileConfiguration></File></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hdf5bt.BAT b/windows/hdf5bt.BAT
deleted file mode 100755
index 2f75286..0000000
--- a/windows/hdf5bt.BAT
+++ /dev/null
@@ -1,240 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-
-
-rem File Name: hdf5bt.bat
-rem This batch file is used to build and test HDF5 Libraries and Tools.
-rem This batch file takes the following options:
-rem . /vs9 Build HDF5 using Visual Studio 2008
-rem . /fort Build and test HDF5 with Fortran libraries
-rem . /useenv Build HDF5 using compiler settings defined
-rem . in the environment, rather than the IDE.
-rem . /ivf101 Build HDF5 Fortran using Intel Visual Fortran 10.1
-rem . /log Log the build and test results in files defined by
-rem . environment variables HDF5BUILD_LOG and
-rem . HDF5CHECK_LOG
-rem . /? Help information
-
-rem This batch file makes the following assumptions:
-rem - The appropriate version of Visual Studio is installed and setup
-rem - The directory structure is setup from a fresh source copy
-rem - copy_hdf.bat has already been run from the ./windows directory
-rem - Visual Studio already contains the required paths for external libraries
-rem - szip and zlib DLLs are already placed in an accessible directory
-rem - hdf5_ext_szip or hdf5_ext_zlib have been set accordingly
-rem - if building with the /useenv option, szip and zlib paths have been added
-rem to %include% and %libpath% as necessary.
-rem - if using the /log option, hdf5build_log and hdf5_check log should be defined
-rem - in the environment.
-rem - The target platform architecture is specified in the environment
-rem variable PROCESSOR_ARCHITECTURE
-
-rem By default, only C and C++ libraries are built and tested.
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set nerrors=0
-if "%1"=="/?" goto help
-goto main
-
-rem Print a help message
-:help
-
- echo.Builds and tests HDF5 Libraries and Tools.
- echo.
- echo Usage: %~nx0 [OPTION]
- echo.
- echo. /vs9 Build HDF5 using Visual Studio 2008
- echo. /fort Build and test HDF5 with Fortran libraries
- echo. /ivf101 Build HDF5 Fortran using Intel Visual Fortran 10.1
- echo. /useenv Build HDF5 using compiler settings defined
- echo. in the environment, rather than the IDE.
- echo. /? Help information
-
- exit /b 0
-
-
-rem Parse through the parameters sent to file, and set appropriate variables
-:parse_params
-
- for %%a in (%*) do (
- if "%%a"=="/vs9" (
- rem Use Visual Studio 2008 to build
- set hdf5_vs2008=true
-
- ) else if "%%a"=="/fort" (
- rem Enable Fortran
- set hdf5_enablefortran=true
-
- ) else if "%%a"=="/ivf101" (
- rem Enable Fortran
- set hdf5_ivf101=true
-
- ) else if "%%a"=="/useenv" (
- rem Pass /useenv flag to devenv
- set hdf5_useenv=true
-
- ) else if "%%a"=="/log" (
- rem Log our results to files defined in environment
- set hdf5_logresults=true
-
- ) else if "%%a"=="/?" (
- rem Set errorlevel 1 and send to help
- call :help
- exit /b 1
-
- ) else (
- rem Set errorlevel 2 to send to help if we receive a bad parameter
- echo.Unknown option: %%a
- call :help
- exit /b 2
- )
- )
-
- exit /b 0
-
-
-rem Setup our environment
-:setup
-
- rem All we need to do here is setup the parameters that will be sent to
- rem hdf5build and hdf5check.
- set hdf5build_params=
- set hdf5check_params=enablecpp
-
- if defined hdf5_vs2008 (
- set hdf5build_params=%hdf5build_params% /vs9
- )
-
- if defined hdf5_enablefortran (
- set hdf5build_params=%hdf5build_params% /fort
- set hdf5check_params=enableall
- )
-
- if defined hdf5_ivf101 (
- set hdf5build_params=%hdf5build_params% /ivf101
- )
-
- if defined hdf5_useenv (
- set hdf5build_params=%hdf5build_params% /useenv
- )
-
- rem Clear out our log files if they will be used
- if defined hdf5_logresults (
- if not defined hdf5build_log (
- echo.Error: HDF5BUILD_LOG not defined in environment!
- exit /b 1
- ) else (
- type nul > !hdf5build_log!
- )
-
- if not defined hdf5check_log (
- echo.Error: HDF5CHECK_LOG not defined in environment!
- exit /b 1
- ) else (
- type nul > !hdf5check_log!
- )
- )
-
- exit /b 0
-
-
-rem Build HDF5 libraries and tools
-:build
-
- if defined hdf5_logresults (
- echo.Calling hdf5bbuild.bat %hdf5build_params%
- echo.Results logged in %hdf5build_log%
- call hdf5build.bat %hdf5build_params% > !hdf5build_log! 2>&1
- ) else (
- call hdf5build.bat %hdf5build_params%
- )
-
- exit /b
-
-
-rem Test our libraries and tools
-:test
- if defined hdf5_logresults (
- echo.Calling hdf5check.bat %hdf5check_params%
- echo.Results logged in %hdf5check_log%
- call hdf5check %hdf5check_params% > !hdf5check_log! 2>&1
- ) else (
- call hdf5check %hdf5check_params%
- )
-
- exit /b
-
-
-rem Handle errors
-:error
-
- rem For now, our error handling consists of setting nerrors and quitting
- echo.HDF5 build-test failed.
- set /a nerrors=%nerrors%+1
- goto end
-
- rem We'll never really get here, but we keep this line for consistency.
- exit /b
-
-
-rem This is where the magic happens
-:main
-
- call :parse_params %*
- if not errorlevel 0 (
- if errorlevel 1 (
- rem This isn't an error case-- this means /? was specified. Simply
- rem quit.
- goto end
-
- ) else (
- rem Error case.
- echo.Error parsing parameters!
- goto error
- )
- )
-
- call :setup
- if not errorlevel 0 (
- echo.Error setting up hdf5bt environment!
- goto error
- )
-
- echo.*****************************************************************************
- echo. Build and Test HDF5 Library and Tools
- echo.*****************************************************************************
- echo.
-
- call :build
- if not errorlevel 0 (
- echo.Error building HDF5 libraries!
- goto error
- )
-
- call :test
- if not errorlevel 0 (
- echo.Error testing HDF5 libraries!
- goto error
- )
-
- if "%nerrors%"=="0" (
- echo. All HDF5 libraries and tools build and tested successfully!
- )
- rem Fall through to end
-
-:end
- popd
- endlocal & exit /b %nerrors%
diff --git a/windows/hdf5build.BAT b/windows/hdf5build.BAT
deleted file mode 100755
index 651ecfb..0000000
--- a/windows/hdf5build.BAT
+++ /dev/null
@@ -1,303 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from helphdfgroup.org.
-
-
-rem File Name: hdf5build.bat
-rem This batch file is used to build HDF5 Libraries and Tools.
-rem This batch file takes the following options:
-rem . /vs9 Build HDF5 using Visual Studio 2008
-rem . /fort Build HDF5 with Fortran libraries
-rem . /ivf101 Build HDF5 Fortran using Intel Visual Fortran 10.1
-rem . /nodebug Note: Default is to build debug and release versions
-rem . /useenv Build HDF5 using compiler settings defined
-rem . in the environment, rather than the IDE.
-rem . /? Help information
-
-rem This batch file makes the following assumptions:
-rem - The appropriate version of Visual Studio is installed and setup
-rem - The directory structure is setup from a fresh source copy
-rem - copy_hdf.bat has already been run from the ./windows directory
-rem - Visual Studio already contains the required paths for external libraries
-rem - szip and zlib DLLs are already placed in an accessible directory
-rem - hdf5_ext_szip or hdf5_ext_zlib have been set accordingly
-rem - if building with the /useenv option, szip and zlib paths have been added
-rem to %include% and %libpath% as necessary.
-rem - The target platform architecture is specified in the environment
-rem variable PROCESSOR_ARCHITECTURE
-
-rem By default, only C and C++ libraries are built.
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set nerrors=0
-if "%1"=="/?" goto help
-set blddebug=debug
-set bldrelease=release
-goto main
-
-rem Print a help message
-:help
-
- echo.Builds HDF5 Libraries and Tools.
- echo.
- echo.Usage: %~nx0 [OPTION]
- echo.
- echo. /vs9 Build HDF5 using Visual Studio 2008
- echo. /fort Build HDF5 with Fortran libraries
- echo. /ivf101 Build HDF5 Fortran using Intel Visual Fortran 10.1
- echo. /nodebug Note: Default is to build debug and release versions
- echo. /useenv Build HDF5 using compiler settings defined
- echo. in the environment, rather than the IDE.
- echo. /? Help information
-
- exit /b 0
-
-
-rem Parse through the parameters sent to file, and set appropriate variables
-:parse_params
-
- for %%a in (%*) do (
- if "%%a"=="/vs9" (
- rem Use Visual Studio .NET 2003
- set hdf5_vs2008=true
-
- ) else if "%%a"=="/fort" (
- rem Enable Fortran
- set hdf5_enablefortran=true
-
- ) else if "%%a"=="/ivf101" (
- rem Enable Fortran
- set hdf5_ivf101=true
-
- ) else if "%%a"=="/nodebug" (
- rem Enable Fortran
- set blddebug=
-
- ) else if "%%a"=="/useenv" (
- rem Pass /useenv flag to devenv
- set hdf5_useenv=true
-
- ) else if "%%a"=="/?" (
- rem Set errorlevel 1 and send to help
- call :help
- exit /b 1
-
- ) else (
- rem Set errorlevel 2 to send to help if we receive a bad parameter
- echo.Unknown option: %%a
- call :help
- exit /b 2
- )
- )
-
- exit /b 0
-
-
-rem Setup our environment
-:setup
-
- rem Constants
-
- echo.Setting up environment
-
- rem Make sure /vs10 and /vs9 weren't specified together
- rem if "%hdf5_vs2010%%hdf5_vs2008%"=="truetrue" (
- rem echo.Error: /vs10 and /vs9 should not be specified together.
- rem exit /b 1
- rem )
-
- rem Figure out which solution file to use based on configuration
- if defined hdf5_vs2008 (
- echo.Using Visual Studio 2008
- if defined hdf5_enablefortran (
- echo.Building Fortran projects enabled
- set hdf5_sln="%CD%\windows\proj\all_fortran\all_fortran.sln"
- ) else (
- set hdf5_sln="%CD%\windows\proj\all\all.sln"
- )
-
- )
-
-
- rem Make sure PROCESSOR_ARCHITECURE is set to either x86 or AMD64
- if "%PROCESSOR_ARCHITECTURE%"=="x86" (
- set hdf5_platform=Win32
- ) else if "%PROCESSOR_ARCHITECTURE%"=="AMD64" (
- set hdf5_platform=x64
- ) else (
- echo.Error: Environment variable PROCESSOR_ARCHITECTURE should contain
- echo.either x86 or AMD64
- exit /b 1
- )
-
- rem Setup Visual Studio environment. By default, use the Visual Studio
- rem 2005 environment.
-
- set ccflags=
-
- if defined hdf5_vs2008 (
- if not defined hdf5_enablefortran (
- if defined vs90comntools (
- rem This sets the Visual Studio 2008 path and environment variables
- if %hdf5_platform%==Win32 (
- call "%vs90comntools%\..\..\VC\vcvarsall.bat" x86
- ) else (
- call "%vs90comntools%\..\..\VC\vcvarsall.bat" x86_amd64
- )
-
- ) else (
- echo.Error: Cannot setup Visual Studio 2008 environment. Please
- echo.make sure VS90COMNTOOLS is defined in the environment.
- exit /b 1
- )
-
- ) else (
- echo.with Intel Visual Fortran 10.1
-
- if defined ifort_compiler10 (
- rem This sets the Intel Fortran 10.1 environment, as well as
- rem setting the appropriate Visual Studio environment
-
- if %hdf5_platform%==Win32 (
- call "%ifort_compiler10%\IA32\Bin\ifortvars.bat"
- ) else (
- call "%ifort_compiler10%\em64t\Bin\ifortvars.bat"
- )
- ) else (
- echo.Error: Cannot setup Intel Fortran 10.1 environment. Please
- echo.make sure IFORT_COMPILER10 is defined in the environment.
- exit /b 1
- )
- )
-
- )
-
- if defined hdf5_useenv (
- rem This will tell Visual Studio to use include, library, etc. paths
- rem defined by %INCLUDE% %LIBPATH%, etc. Assume the user has already
- rem added external library paths to these variables.
- set ccflags=%ccflags% /useenv
- )
-
- exit /b 0
-
-
-rem Upgrade the project files to the latest format for Visual Studio
-:upgrade
-
- echo.Upgrading project files
- devenv %hdf5_sln% /Upgrade /NoLogo
-
- exit /b
-
-
-rem Build the HDF5 libraries. By default, C and C++ libraries are built.
-:all
-
- echo.Building HDF5
-
- echo.*****************************************************************************
- echo. Build HDF5 Library and Tools
- echo.*****************************************************************************
- echo.
-
- rem Build both debug and release versions
- for %%a in (%blddebug% %bldrelease%) DO (
- echo.Building %hdf5_platform% %%a libraries...
- devenv %hdf5_sln% %ccflags% /rebuild "%%a|%hdf5_platform%"
- if not errorlevel 0 (
- set /a nerrors=!nerrors!+1
- echo. Building %%a FAILED
- exit /b 1
- ) else if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo. Building %%a FAILED
- exit /b 1
- )
- )
-
- exit /b
-
-
-rem Handle errors
-:error
-
- rem For now, our error handling consists of setting nerrors and quitting
- echo.HDF5 build failed.
- set /a nerrors=%nerrors%+1
- goto end
-
- rem We'll never really get here, but we keep this line for consistency.
- exit /b
-
-
-rem This is where the magic happens
-:main
-
- call :parse_params %*
- if not errorlevel 0 (
- if errorlevel 1 (
- rem This isn't an error case-- this means /? was specified. Simply
- rem quit.
- goto end
-
- ) else (
- rem Error case.
- echo.Error parsing parameters!
- goto error
- )
- )
-
- call :setup
- if not errorlevel 0 (
- echo.Error setting up build environment.
- goto error
- )
-
- rem Upgrade the project files if needed
- if defined hdf5_vs2008 (
- call :upgrade
- if not errorlevel 0 (
- echo.Error upgrading project files!
- goto error
- )
- )
-
- echo.Building HDF5 Libraries and Tools
- echo.
-
- echo.*****************************************************************************
- echo. Build HDF5 Libraries and Tools
- echo.*****************************************************************************
- echo.
-
-
- call :all
- if not errorlevel 0 (
- echo.Error building HDF5 libraries!
- goto error
- ) else if errorlevel 1 (
- echo.Error building HDF5 libraries!
- goto error
- )
-
- if "%nerrors%"=="0" (
- echo. All HDF5 libraries and tools build successfully!
- )
- rem Fall through to end
-
-:end
- popd
- endlocal & exit /b %nerrors%
diff --git a/windows/hdf5build_examples.BAT b/windows/hdf5build_examples.BAT
deleted file mode 100644
index aa198b3..0000000
--- a/windows/hdf5build_examples.BAT
+++ /dev/null
@@ -1,248 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from helphdfgroup.org.
-
-
-rem File Name: hdf5build_examples.bat
-rem This batch file is used to build HDF5 C/C++/Fortran examples.
-rem This batch file takes the following options:
-rem . /fort Build HDF5 examples, including Fortran
-rem . /useenv Build HDF5 examples using compiler settings defined
-rem . /nodebug Note: Default is to build debug and release versions
-rem . in the environment, rather than the IDE.
-rem . /? Help information
-rem By Scott Wegner
-rem Created: April 1st, 2008
-rem Last Updated: April 14, 2008
-
-rem This batch file makes the following assumptions:
-rem - The appropriate version of Visual Studio is installed and setup
-rem - The directory structure is setup from a fresh source copy
-rem - copy_hdf.bat has already been run from the ./windows directory
-rem - HDF5 has already been built using standard settings
-rem - Visual Studio already contains the required paths for external libraries
-rem - szip and zlib DLLs are already placed in an accessible directory
-rem - hdf5_ext_szip or hdf5_ext_zlib have been set accordingly
-rem - if building with the /useenv option, szip and zlib paths have been added
-rem to %include% and %libpath% as necessary.
-
-rem By default, only C and C++ examples are built.
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set nerrors=0
-if "%1"=="/?" goto help
-set blddebug=
-set bldrelease=release
-goto main
-
-rem Print a help message
-:help
-
- echo.Builds HDF5 example projects.
- echo.
- echo.Usage: %~nx0 [OPTION]
- echo.
- echo. /fort Build HDF5 examples, including Fortran
- echo. /debug Note: Default is to build release only versions
- echo. /useenv Build HDF5 examples using compiler settings defined
- echo. in the environment, rather than the IDE.
- echo. /? Help information
-
- exit /b 0
-
-
-rem Parse through the parameters sent to file, and set appropriate variables
-:parse_params
-
- for %%a in (%*) do (
- if "%%a"=="/fort" (
- rem Enable Fortran
- set hdf5_enablefortran=true
-
- ) else if "%%a"=="/debug" (
- rem Enable Fortran
- set blddebug=debug
-
- ) else if "%%a"=="/useenv" (
- rem Pass /useenv flag to devenv
- set hdf5_useenv=true
-
- ) else (
- rem Set errorlevel 2 to send to help if we receive a bad parameter
- echo.Unknown option: %%a
- call :help
- exit /b 1
- )
- )
-
- exit /b 0
-
-
-rem Setup our environment
-:setup
-
- rem Constants
-
- echo.Setting up environment
-
- rem Setup Visual Studio environment. By default, use the Visual Studio
- rem 2008 environment.
-
- rem Make sure PROCESSOR_ARCHITECURE is set to either x86 or AMD64
- if "%PROCESSOR_ARCHITECTURE%"=="x86" (
- set hdf5_platform=Win32
- ) else if "%PROCESSOR_ARCHITECTURE%"=="AMD64" (
- set hdf5_platform=x64
- ) else (
- echo.Error: Environment variable PROCESSOR_ARCHITECTURE should contain
- echo.either x86 or AMD64
- exit /b 1
- )
-
- set ccflags=
-
- rem Currently VS2008 is the only compiler supported.
- echo.Using Visual Studio 2008
-
- if not defined hdf5_enablefortran (
- if defined vs90comntools (
- rem This sets the Visual Studio 2008 path and environment variables
- if %hdf5_platform%==Win32 (
- call "%vs90comntools%\..\..\VC\vcvarsall.bat" x86
- ) else (
- call "%vs90comntools%\..\..\VC\vcvarsall.bat" x86_amd64
- )
-
- ) else (
- echo.Error: Cannot setup Visual Studio 2008 environment. Please
- echo.make sure VS90COMNTOOLS is defined in the environment.
- exit /b 1
- )
-
- ) else (
- echo.with Intel Visual Fortran 10.1
-
- if defined ifort_compiler10 (
- rem This sets the Intel Fortran 10.1 environment, as well as
- rem setting the appropriate Visual Studio environment
-
- if %hdf5_platform%==Win32 (
- call "%ifort_compiler10%\IA32\Bin\ifortvars.bat"
- ) else (
- call "%ifort_compiler10%\em64t\Bin\ifortvars.bat"
- )
- ) else (
- echo.Error: Cannot setup Intel Fortran 10.1 environment. Please
- echo.make sure IFORT_COMPILER10 is defined in the environment.
- exit /b 1
- )
- )
-
- rem Setup variables for our SLN files
- set C_SLN=%CD%\windows\examples\allexamples\allexamples.sln
- set CPP_SLN=%CD%\windows\c++\examples\allcppexamples\allcppexamples.sln
- set HL_SLN=%CD%\windows\hl\examples\allhlcexamples\allhlcexamples.sln
- rem We currently don't have HL C++ project files
- if defined hdf5_enablefortran (
- set FORT_SLN=%CD%\windows\fortran\examples\allf90examples\allf90examples.sln
- set HLFORT_SLN=%CD%\windows\hl\fortran\examples\allhlf90examples\allhlf90examples.sln
- ) else (
- set FORT_SLN=
- set HLFORT_SLN=
- )
-
- if defined hdf5_useenv (
- rem This will tell Visual Studio to use include, library, etc. paths
- rem defined by %INCLUDE% %LIBPATH%, etc. Assume the user has already
- rem added external library paths to these variables.
- set ccflags=%ccflags% /useenv
- )
-
- exit /b 0
-
-
-rem Build the HDF5 libraries. By default, C and C++ libraries are built.
-:build
-
- echo.Building HDF5
-
- echo.*****************************************************************************
- echo. Build HDF5 Examples
- echo.*****************************************************************************
- echo.
-
- rem TODO: Write code for each of these example sets
- rem Build both debug and release versions
- for %%a in (C CPP HL FORT HLFORT) do (
- if defined %%a_SLN (
- echo.**************************
- echo. Building %%a Examples
- echo.**************************
- for %%b in (%blddebug% %bldrelease%) do (
- echo.Building %%a %%b examples...
- devenv !%%a_SLN! %ccflags% /rebuild %%b
- if !errorlevel! neq 0 (
- echo.HDF5 %%b %%a examples build failed
- exit /b
- )
- )
- )
- )
-
- exit /b
-
-
-rem Handle errors
-:error
-
- rem For now, our error handling consists of setting nerrors and quitting
- echo.HDF5 examples build failed.
- set /a nerrors=%nerrors%+1
- goto end
-
- rem We'll never really get here, but we keep this line for consistency.
- exit /b
-
-
-rem This is where the magic happens
-:main
-
- call :parse_params %*
- if %errorlevel% neq 0 (
- rem Error case.
- echo.Error parsing parameters!
- goto error
- )
-
- call :setup
- if %errorlevel% neq 0 (
- echo.Error setting up examples build environment.
- goto error
- )
-
- call :build
- if %errorlevel% neq 0 (
- echo.Error building HDF5 examples!
- goto error
- )
-
- if "%nerrors%"=="0" (
- echo. All HDF5 example projects built successfully!
- )
- rem Fall through to end
-
-:end
- popd
- endlocal & exit /b %nerrors%
diff --git a/windows/hdf5check.BAT b/windows/hdf5check.BAT
deleted file mode 100755
index 07319ca..0000000
--- a/windows/hdf5check.BAT
+++ /dev/null
@@ -1,157 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem File Name: hdf5check.bat
-rem This batch file is used to test HDF5 Libraries and Tools.
-rem There are 4 options for this batch file:
-rem 1. hdf5check -- HDF5 tools and c library tests
-rem 2. hdf5check enablecpp -- HDF5 tools and c/c++ library tests
-rem 3. hdf5check enablefortran -- HDF5 tools and c/fortran library tests
-rem 4. hdf5check enableall -- HDF5 tools and c/c++/fortran library tests
-rem nodebug -- can be added to any of the above to not test debug versions
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem Clean any variables starting with "HDF5_TEST_", as we use these for our
-rem tests. Also clear "HDF5_TEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_test_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_test_') do set %%a=
-set hdf5_test_tests=
-set chkdebug=debug
-set chkrelease=release
-
-rem Put built DLLs in the system folder for testing
-call install_dll.BAT
-
-set nerrors=0
-
-rem See if we have built the HL C++ / Fortran libraries, and set
-rem BUILD_*_CONDITIONAL appropriately
-if /i "%1" equ "enablecpp" (
- set build_cpp_conditional=true
-) else if /i "%1" equ "enablefortran" (
- set build_fortran_conditional=true
-) else if /i "%1" equ "enableall" (
- set build_cpp_conditional=true
- set build_fortran_conditional=true
-) else if /i "%1" equ "nodebug" (
- set chkdebug=
-)
-if /i "%2" equ "nodebug" (
- set chkdebug=
-)
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the test being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_test_tests=%hdf5_test_tests% %1
- set hdf5_test_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_test_tests%) do (
- echo.
- echo.**==**==**==**==**==**==**==**==**==**
- echo. Testing %%a ^(%1 %2^)
- echo.**==**==**==**==**==**==**==**==**==**
-
- rem Only add our parameters for batch scripts.
- call !hdf5_test_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if not errorlevel 0 (
- set /a nerrors=!nerrors!+1
- echo. Testing %%a ^(%1 %2^) FAILED
- echo.**==**==**==**==**==**==**==**==**==**
- exit /b 1
- ) else if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo. Testing %%a ^(%1 %2^) FAILED
- echo.**==**==**==**==**==**==**==**==**==**
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- echo.All HDF5 %* tests passed.
-
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test checktests.bat .\test
- call :add_test checktools.bat .\tools
-
- rem Only check C++/Fortran if they are set to build.
- if defined build_cpp_conditional (
- call :add_test checkcpptests.bat .\c++\test
- )
- if defined build_fortran_conditional (
- call :add_test checkfortrantests.bat .\fortran\test
- )
-
- rem Assume HL libraries are built. This should be commented out if the
- rem default is changed.
- call :add_test checkhltests.bat .\hl\test
- call :add_test checkperformtests.bat .\perform
-
-
- rem Run the tests for each version of HDF5
- rem We use "nodll" here because we cannot simply leave it blank. Filter
- rem it out below. --SJW 9/10/07
- for %%b in (nodll dll) do (
- echo.======================================
- for %%a in (%chkdebug% %chkrelease%) do (
- set hdf5_config=%%a %%b
- call :run_tests !hdf5_config:nodll=!
- if not errorlevel 0 (
- set /a nerrors=!nerrors!+1
- ) else if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- )
- )
- echo.======================================
- )
-
- if "%nerrors%"=="0" (
- echo.HDF5 Tests passed for all configurations!
- ) else (
- echo.** FAILED HDF5 Tests!
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/hl/c++/test/checkhlcpptests.bat b/windows/hl/c++/test/checkhlcpptests.bat
deleted file mode 100644
index aaa8fe2..0000000
--- a/windows/hl/c++/test/checkhlcpptests.bat
+++ /dev/null
@@ -1,99 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 hl c++ library
-rem
-rem Created: Scott Wegner, 9/7/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_HLCPPTEST_", as we use these for our
-rem tests. Also clear "HDF5_HLCPPTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_hlcpptest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_hlcpptest_') do set %%a=
-set hdf5_hlcpptest_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the hlcpptest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_hlcpptest_tests=%hdf5_hlcpptest_tests% %1
- set hdf5_hlcpptest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_hlcpptest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_hlcpptest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test hl_test_table_cpp%2 .\hl_test_table_cpp%2\%1
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All HL C++ library tests passed.
- ) else (
- echo.** FAILED HL C++ Library tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
- \ No newline at end of file
diff --git a/windows/hl/c++/test/hl_test_table_cpp/hl_test_table_cpp.vcproj b/windows/hl/c++/test/hl_test_table_cpp/hl_test_table_cpp.vcproj
deleted file mode 100644
index 6be4342..0000000
--- a/windows/hl/c++/test/hl_test_table_cpp/hl_test_table_cpp.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_table_cpp"
- ProjectGUID="{6312B365-AA53-43AA-BD00-848C1323CA8B}"
- RootNamespace="hl_test_table_cpp"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/hl_test_table_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\src,..\..\..\..\..\c++\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/hl_test_table_cpp.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/hl_test_table_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/hl_test_table_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\src,..\..\..\..\..\c++\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/hl_test_table_cpp.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Debug/hl_test_table_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/hl_test_table_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\src,..\..\..\..\..\c++\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/hl_test_table_cpp.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/hl_test_table_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/hl_test_table_cpp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\src,..\..\..\..\..\c++\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/hl_test_table_cpp.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cpp/Release/hl_test_table_cpp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\..\hl\c++\test\ptableTest.cpp"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/c++/test/hl_test_table_cppdll/hl_test_table_cppdll.vcproj b/windows/hl/c++/test/hl_test_table_cppdll/hl_test_table_cppdll.vcproj
deleted file mode 100644
index 3175649..0000000
--- a/windows/hl/c++/test/hl_test_table_cppdll/hl_test_table_cppdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_table_cppdll"
- ProjectGUID="{0D18A50F-52B3-4322-AC0D-F15CD657CEC4}"
- RootNamespace="hl_test_table_cppdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/hl_test_table_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\src,..\..\..\..\..\c++\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEHLDLL_;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/hl_test_table_cppdll.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/hl_test_table_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/hl_test_table_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\src,..\..\..\..\..\c++\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEHLDLL_;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/hl_test_table_cppdll.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Release/hl_test_table_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/hl_test_table_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\c++\src,..\..\..\..\..\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5USE_HLCPPDLL;_HDF5USEHLDLL_;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/hl_test_table_cppdll.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/hl_test_table_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\..\hl\c++\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/hl_test_table_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\..\hl\c++\src,..\..\..\..\..\hl\src,..\..\..\..\..\c++\src,..\..\..\..\..\src,..\..\..\..\..\test,..\..\..\..\..\hl\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;HDF5USE_HLCPPDLL;_HDF5USEHLDLL_;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/hl_test_table_cppdll.pch"
- AssemblerListingLocation=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/"
- ObjectFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/"
- ProgramDataBaseFileName=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../../hl/c++/test/hl_test_table_cppdll/Debug/hl_test_table_cppdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\..\hl\c++\test\ptableTest.cpp"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/allhlcexamples/allhlcexamples.sln b/windows/hl/examples/allhlcexamples/allhlcexamples.sln
deleted file mode 100644
index 90b0ab5..0000000
--- a/windows/hl/examples/allhlcexamples/allhlcexamples.sln
+++ /dev/null
@@ -1,437 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "allhlcexamples", "allhlcexamples.vcproj", "{5CAEEA27-2611-4398-8E10-BF58CA42F3F3}"
- ProjectSection(ProjectDependencies) = postProject
- {25DC2207-299D-4272-9530-B126539BE0E9} = {25DC2207-299D-4272-9530-B126539BE0E9}
- {47753B08-F9F5-409B-9EC2-9135B4508BAF} = {47753B08-F9F5-409B-9EC2-9135B4508BAF}
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0} = {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F} = {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3} = {4116EF37-EBA5-424F-A01B-2D80DB5807E3}
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D} = {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}
- {B4DE42B5-0032-4175-BEEE-F65875360A45} = {B4DE42B5-0032-4175-BEEE-F65875360A45}
- {DB7330C6-D009-4BF7-B85D-656185825950} = {DB7330C6-D009-4BF7-B85D-656185825950}
- {334E19CF-8B74-4067-8303-A398E3F22593} = {334E19CF-8B74-4067-8303-A398E3F22593}
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618} = {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_ds1", "..\ex_ds1\ex_ds1.vcproj", "{70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_ds1dll", "..\ex_ds1dll\ex_ds1dll.vcproj", "{C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_image1", "..\ex_image1\ex_image1.vcproj", "{B4DE42B5-0032-4175-BEEE-F65875360A45}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_image1dll", "..\ex_image1dll\ex_image1dll.vcproj", "{E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_lite1", "..\ex_lite1\ex_lite1.vcproj", "{4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_lite1dll", "..\ex_lite1dll\ex_lite1dll.vcproj", "{DB7330C6-D009-4BF7-B85D-656185825950}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ptExampleFL", "..\ptExampleFL\ptExampleFL.vcproj", "{47753B08-F9F5-409B-9EC2-9135B4508BAF}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ptExampleFLdll", "..\ptExampleFLdll\ptExampleFLdll.vcproj", "{25DC2207-299D-4272-9530-B126539BE0E9}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table01", "..\ex_table01\ex_table01.vcproj", "{334E19CF-8B74-4067-8303-A398E3F22593}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table01dll", "..\ex_table01dll\ex_table01dll.vcproj", "{4116EF37-EBA5-424F-A01B-2D80DB5807E3}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_lite2", "..\ex_lite2\ex_lite2.vcproj", "{47A5C65E-8669-4AF7-AC7F-ABD572567243}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_lite2dll", "..\ex_lite2dll\ex_lite2dll.vcproj", "{95515861-DE06-4542-AA50-6E4780597720}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_lite3", "..\ex_lite3\ex_lite3.vcproj", "{E5A24019-F635-4D4E-8A60-2D757F05C906}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_lite3dll", "..\ex_lite3dll\ex_lite3dll.vcproj", "{8431432A-6535-4599-9D76-008C4420CF1D}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ptExampleVL", "..\ptExampleVL\ptExampleVL.vcproj", "{CD526D51-176A-4AC1-B2C9-B2155FAF59FB}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ptExampleVLdll", "..\ptExampleVLdll\ptExampleVLdll.vcproj", "{0A6C0B51-23EF-40E7-A086-BACF2171B02F}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_image2", "..\ex_image2\ex_image2.vcproj", "{66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_image2dll", "..\ex_image2dll\ex_image2dll.vcproj", "{CADD763A-7F15-453F-A922-79C5FC9FDAED}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table02", "..\ex_table02\ex_table02.vcproj", "{B28B170D-0C78-484C-B398-A6F2FA2C1B26}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table02dll", "..\ex_table02dll\ex_table02dll.vcproj", "{D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table03", "..\ex_table03\ex_table03.vcproj", "{7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table03dll", "..\ex_table03dll\ex_table03dll.vcproj", "{D72AE740-D901-41E7-8A85-04A41EA31EB5}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table04", "..\ex_table04\ex_table04.vcproj", "{A840E983-177E-4218-916F-37391939E32D}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table04dll", "..\ex_table04dll\ex_table04dll.vcproj", "{33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table05", "..\ex_table05\ex_table05.vcproj", "{B0C2EB18-6469-4350-AC72-983E3D0B5F3C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table05dll", "..\ex_table05dll\ex_table05dll.vcproj", "{86418725-0244-46CC-A4E1-D7D21CAC620B}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table06", "..\ex_table06\ex_table06.vcproj", "{8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table06dll", "..\ex_table06dll\ex_table06dll.vcproj", "{DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table07", "..\ex_table07\ex_table07.vcproj", "{2FBE4820-120C-478D-8DF2-39E53C885830}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table07dll", "..\ex_table07dll\ex_table07dll.vcproj", "{9CD7FB43-AEAA-4380-AB53-9DC8487D553F}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table08", "..\ex_table08\ex_table08.vcproj", "{58988CC8-3154-45C1-A05E-9AF4FE45D7FE}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table08dll", "..\ex_table08dll\ex_table08dll.vcproj", "{A800762F-F9D8-494B-AFCE-AFE75053A9C8}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table09", "..\ex_table09\ex_table09.vcproj", "{5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table09dll", "..\ex_table09dll\ex_table09dll.vcproj", "{D3FAA3AB-9A66-4911-85CE-73B674B683EC}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table10", "..\ex_table10\ex_table10.vcproj", "{31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table10dll", "..\ex_table10dll\ex_table10dll.vcproj", "{EBA97261-BA06-4889-8568-0488E791F9A3}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table11", "..\ex_table11\ex_table11.vcproj", "{BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table11dll", "..\ex_table11dll\ex_table11dll.vcproj", "{93EA2B92-DF8B-4D30-9788-99D63D779756}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table12", "..\ex_table12\ex_table12.vcproj", "{F8D2C124-2238-47AB-8A51-4A42D8F10BF5}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ex_table12dll", "..\ex_table12dll\ex_table12dll.vcproj", "{447B9176-2551-4BE1-BBFF-D74C1723D6E0}"
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Debug|Win32.ActiveCfg = Debug|Win32
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Debug|Win32.Build.0 = Debug|Win32
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Debug|x64.ActiveCfg = Debug|x64
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Debug|x64.Build.0 = Debug|x64
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Release|Win32.ActiveCfg = Release|Win32
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Release|Win32.Build.0 = Release|Win32
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Release|x64.ActiveCfg = Release|x64
- {5CAEEA27-2611-4398-8E10-BF58CA42F3F3}.Release|x64.Build.0 = Release|x64
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Debug|Win32.ActiveCfg = Debug|Win32
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Debug|Win32.Build.0 = Debug|Win32
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Debug|x64.ActiveCfg = Debug|x64
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Debug|x64.Build.0 = Debug|x64
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Release|Win32.ActiveCfg = Release|Win32
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Release|Win32.Build.0 = Release|Win32
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Release|x64.ActiveCfg = Release|x64
- {70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}.Release|x64.Build.0 = Release|x64
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Debug|Win32.ActiveCfg = Debug|Win32
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Debug|Win32.Build.0 = Debug|Win32
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Debug|x64.ActiveCfg = Debug|x64
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Debug|x64.Build.0 = Debug|x64
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Release|Win32.ActiveCfg = Release|Win32
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Release|Win32.Build.0 = Release|Win32
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Release|x64.ActiveCfg = Release|x64
- {C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}.Release|x64.Build.0 = Release|x64
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Debug|Win32.ActiveCfg = Debug|Win32
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Debug|Win32.Build.0 = Debug|Win32
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Debug|x64.ActiveCfg = Debug|x64
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Debug|x64.Build.0 = Debug|x64
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Release|Win32.ActiveCfg = Release|Win32
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Release|Win32.Build.0 = Release|Win32
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Release|x64.ActiveCfg = Release|x64
- {B4DE42B5-0032-4175-BEEE-F65875360A45}.Release|x64.Build.0 = Release|x64
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Debug|Win32.ActiveCfg = Debug|Win32
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Debug|Win32.Build.0 = Debug|Win32
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Debug|x64.ActiveCfg = Debug|x64
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Debug|x64.Build.0 = Debug|x64
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Release|Win32.ActiveCfg = Release|Win32
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Release|Win32.Build.0 = Release|Win32
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Release|x64.ActiveCfg = Release|x64
- {E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}.Release|x64.Build.0 = Release|x64
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Debug|Win32.ActiveCfg = Debug|Win32
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Debug|Win32.Build.0 = Debug|Win32
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Debug|x64.ActiveCfg = Debug|x64
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Debug|x64.Build.0 = Debug|x64
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Release|Win32.ActiveCfg = Release|Win32
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Release|Win32.Build.0 = Release|Win32
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Release|x64.ActiveCfg = Release|x64
- {4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}.Release|x64.Build.0 = Release|x64
- {DB7330C6-D009-4BF7-B85D-656185825950}.Debug|Win32.ActiveCfg = Debug|Win32
- {DB7330C6-D009-4BF7-B85D-656185825950}.Debug|Win32.Build.0 = Debug|Win32
- {DB7330C6-D009-4BF7-B85D-656185825950}.Debug|x64.ActiveCfg = Debug|x64
- {DB7330C6-D009-4BF7-B85D-656185825950}.Debug|x64.Build.0 = Debug|x64
- {DB7330C6-D009-4BF7-B85D-656185825950}.Release|Win32.ActiveCfg = Release|Win32
- {DB7330C6-D009-4BF7-B85D-656185825950}.Release|Win32.Build.0 = Release|Win32
- {DB7330C6-D009-4BF7-B85D-656185825950}.Release|x64.ActiveCfg = Release|x64
- {DB7330C6-D009-4BF7-B85D-656185825950}.Release|x64.Build.0 = Release|x64
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Debug|Win32.ActiveCfg = Debug|Win32
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Debug|Win32.Build.0 = Debug|Win32
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Debug|x64.ActiveCfg = Debug|x64
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Debug|x64.Build.0 = Debug|x64
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Release|Win32.ActiveCfg = Release|Win32
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Release|Win32.Build.0 = Release|Win32
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Release|x64.ActiveCfg = Release|x64
- {47753B08-F9F5-409B-9EC2-9135B4508BAF}.Release|x64.Build.0 = Release|x64
- {25DC2207-299D-4272-9530-B126539BE0E9}.Debug|Win32.ActiveCfg = Debug|Win32
- {25DC2207-299D-4272-9530-B126539BE0E9}.Debug|Win32.Build.0 = Debug|Win32
- {25DC2207-299D-4272-9530-B126539BE0E9}.Debug|x64.ActiveCfg = Debug|x64
- {25DC2207-299D-4272-9530-B126539BE0E9}.Debug|x64.Build.0 = Debug|x64
- {25DC2207-299D-4272-9530-B126539BE0E9}.Release|Win32.ActiveCfg = Release|Win32
- {25DC2207-299D-4272-9530-B126539BE0E9}.Release|Win32.Build.0 = Release|Win32
- {25DC2207-299D-4272-9530-B126539BE0E9}.Release|x64.ActiveCfg = Release|x64
- {25DC2207-299D-4272-9530-B126539BE0E9}.Release|x64.Build.0 = Release|x64
- {334E19CF-8B74-4067-8303-A398E3F22593}.Debug|Win32.ActiveCfg = Debug|Win32
- {334E19CF-8B74-4067-8303-A398E3F22593}.Debug|Win32.Build.0 = Debug|Win32
- {334E19CF-8B74-4067-8303-A398E3F22593}.Debug|x64.ActiveCfg = Debug|x64
- {334E19CF-8B74-4067-8303-A398E3F22593}.Debug|x64.Build.0 = Debug|x64
- {334E19CF-8B74-4067-8303-A398E3F22593}.Release|Win32.ActiveCfg = Release|Win32
- {334E19CF-8B74-4067-8303-A398E3F22593}.Release|Win32.Build.0 = Release|Win32
- {334E19CF-8B74-4067-8303-A398E3F22593}.Release|x64.ActiveCfg = Release|x64
- {334E19CF-8B74-4067-8303-A398E3F22593}.Release|x64.Build.0 = Release|x64
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Debug|Win32.ActiveCfg = Debug|Win32
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Debug|Win32.Build.0 = Debug|Win32
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Debug|x64.ActiveCfg = Debug|x64
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Debug|x64.Build.0 = Debug|x64
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Release|Win32.ActiveCfg = Release|Win32
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Release|Win32.Build.0 = Release|Win32
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Release|x64.ActiveCfg = Release|x64
- {4116EF37-EBA5-424F-A01B-2D80DB5807E3}.Release|x64.Build.0 = Release|x64
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Debug|Win32.ActiveCfg = Debug|Win32
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Debug|Win32.Build.0 = Debug|Win32
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Debug|x64.ActiveCfg = Debug|x64
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Debug|x64.Build.0 = Debug|x64
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Release|Win32.ActiveCfg = Release|Win32
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Release|Win32.Build.0 = Release|Win32
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Release|x64.ActiveCfg = Release|x64
- {47A5C65E-8669-4AF7-AC7F-ABD572567243}.Release|x64.Build.0 = Release|x64
- {95515861-DE06-4542-AA50-6E4780597720}.Debug|Win32.ActiveCfg = Debug|Win32
- {95515861-DE06-4542-AA50-6E4780597720}.Debug|Win32.Build.0 = Debug|Win32
- {95515861-DE06-4542-AA50-6E4780597720}.Debug|x64.ActiveCfg = Debug|x64
- {95515861-DE06-4542-AA50-6E4780597720}.Debug|x64.Build.0 = Debug|x64
- {95515861-DE06-4542-AA50-6E4780597720}.Release|Win32.ActiveCfg = Release|Win32
- {95515861-DE06-4542-AA50-6E4780597720}.Release|Win32.Build.0 = Release|Win32
- {95515861-DE06-4542-AA50-6E4780597720}.Release|x64.ActiveCfg = Release|x64
- {95515861-DE06-4542-AA50-6E4780597720}.Release|x64.Build.0 = Release|x64
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Debug|Win32.ActiveCfg = Debug|Win32
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Debug|Win32.Build.0 = Debug|Win32
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Debug|x64.ActiveCfg = Debug|x64
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Debug|x64.Build.0 = Debug|x64
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Release|Win32.ActiveCfg = Release|Win32
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Release|Win32.Build.0 = Release|Win32
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Release|x64.ActiveCfg = Release|x64
- {E5A24019-F635-4D4E-8A60-2D757F05C906}.Release|x64.Build.0 = Release|x64
- {8431432A-6535-4599-9D76-008C4420CF1D}.Debug|Win32.ActiveCfg = Debug|Win32
- {8431432A-6535-4599-9D76-008C4420CF1D}.Debug|Win32.Build.0 = Debug|Win32
- {8431432A-6535-4599-9D76-008C4420CF1D}.Debug|x64.ActiveCfg = Debug|x64
- {8431432A-6535-4599-9D76-008C4420CF1D}.Debug|x64.Build.0 = Debug|x64
- {8431432A-6535-4599-9D76-008C4420CF1D}.Release|Win32.ActiveCfg = Release|Win32
- {8431432A-6535-4599-9D76-008C4420CF1D}.Release|Win32.Build.0 = Release|Win32
- {8431432A-6535-4599-9D76-008C4420CF1D}.Release|x64.ActiveCfg = Release|x64
- {8431432A-6535-4599-9D76-008C4420CF1D}.Release|x64.Build.0 = Release|x64
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Debug|Win32.ActiveCfg = Debug|Win32
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Debug|Win32.Build.0 = Debug|Win32
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Debug|x64.ActiveCfg = Debug|x64
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Debug|x64.Build.0 = Debug|x64
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Release|Win32.ActiveCfg = Release|Win32
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Release|Win32.Build.0 = Release|Win32
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Release|x64.ActiveCfg = Release|x64
- {CD526D51-176A-4AC1-B2C9-B2155FAF59FB}.Release|x64.Build.0 = Release|x64
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Debug|Win32.ActiveCfg = Debug|Win32
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Debug|Win32.Build.0 = Debug|Win32
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Debug|x64.ActiveCfg = Debug|x64
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Debug|x64.Build.0 = Debug|x64
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Release|Win32.ActiveCfg = Release|Win32
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Release|Win32.Build.0 = Release|Win32
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Release|x64.ActiveCfg = Release|x64
- {0A6C0B51-23EF-40E7-A086-BACF2171B02F}.Release|x64.Build.0 = Release|x64
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Debug|Win32.ActiveCfg = Debug|Win32
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Debug|Win32.Build.0 = Debug|Win32
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Debug|x64.ActiveCfg = Debug|x64
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Debug|x64.Build.0 = Debug|x64
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Release|Win32.ActiveCfg = Release|Win32
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Release|Win32.Build.0 = Release|Win32
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Release|x64.ActiveCfg = Release|x64
- {66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}.Release|x64.Build.0 = Release|x64
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Debug|Win32.ActiveCfg = Debug|Win32
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Debug|Win32.Build.0 = Debug|Win32
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Debug|x64.ActiveCfg = Debug|x64
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Debug|x64.Build.0 = Debug|x64
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Release|Win32.ActiveCfg = Release|Win32
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Release|Win32.Build.0 = Release|Win32
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Release|x64.ActiveCfg = Release|x64
- {CADD763A-7F15-453F-A922-79C5FC9FDAED}.Release|x64.Build.0 = Release|x64
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Debug|Win32.ActiveCfg = Debug|Win32
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Debug|Win32.Build.0 = Debug|Win32
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Debug|x64.ActiveCfg = Debug|x64
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Debug|x64.Build.0 = Debug|x64
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Release|Win32.ActiveCfg = Release|Win32
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Release|Win32.Build.0 = Release|Win32
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Release|x64.ActiveCfg = Release|x64
- {B28B170D-0C78-484C-B398-A6F2FA2C1B26}.Release|x64.Build.0 = Release|x64
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Debug|Win32.ActiveCfg = Debug|Win32
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Debug|Win32.Build.0 = Debug|Win32
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Debug|x64.ActiveCfg = Debug|x64
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Debug|x64.Build.0 = Debug|x64
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Release|Win32.ActiveCfg = Release|Win32
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Release|Win32.Build.0 = Release|Win32
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Release|x64.ActiveCfg = Release|x64
- {D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}.Release|x64.Build.0 = Release|x64
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Debug|Win32.ActiveCfg = Debug|Win32
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Debug|Win32.Build.0 = Debug|Win32
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Debug|x64.ActiveCfg = Debug|x64
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Debug|x64.Build.0 = Debug|x64
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Release|Win32.ActiveCfg = Release|Win32
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Release|Win32.Build.0 = Release|Win32
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Release|x64.ActiveCfg = Release|x64
- {7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}.Release|x64.Build.0 = Release|x64
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Debug|Win32.ActiveCfg = Debug|Win32
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Debug|Win32.Build.0 = Debug|Win32
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Debug|x64.ActiveCfg = Debug|x64
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Debug|x64.Build.0 = Debug|x64
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Release|Win32.ActiveCfg = Release|Win32
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Release|Win32.Build.0 = Release|Win32
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Release|x64.ActiveCfg = Release|x64
- {D72AE740-D901-41E7-8A85-04A41EA31EB5}.Release|x64.Build.0 = Release|x64
- {A840E983-177E-4218-916F-37391939E32D}.Debug|Win32.ActiveCfg = Debug|Win32
- {A840E983-177E-4218-916F-37391939E32D}.Debug|Win32.Build.0 = Debug|Win32
- {A840E983-177E-4218-916F-37391939E32D}.Debug|x64.ActiveCfg = Debug|x64
- {A840E983-177E-4218-916F-37391939E32D}.Debug|x64.Build.0 = Debug|x64
- {A840E983-177E-4218-916F-37391939E32D}.Release|Win32.ActiveCfg = Release|Win32
- {A840E983-177E-4218-916F-37391939E32D}.Release|Win32.Build.0 = Release|Win32
- {A840E983-177E-4218-916F-37391939E32D}.Release|x64.ActiveCfg = Release|x64
- {A840E983-177E-4218-916F-37391939E32D}.Release|x64.Build.0 = Release|x64
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Debug|Win32.ActiveCfg = Debug|Win32
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Debug|Win32.Build.0 = Debug|Win32
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Debug|x64.ActiveCfg = Debug|x64
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Debug|x64.Build.0 = Debug|x64
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Release|Win32.ActiveCfg = Release|Win32
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Release|Win32.Build.0 = Release|Win32
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Release|x64.ActiveCfg = Release|x64
- {33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}.Release|x64.Build.0 = Release|x64
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Debug|Win32.ActiveCfg = Debug|Win32
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Debug|Win32.Build.0 = Debug|Win32
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Debug|x64.ActiveCfg = Debug|x64
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Debug|x64.Build.0 = Debug|x64
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Release|Win32.ActiveCfg = Release|Win32
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Release|Win32.Build.0 = Release|Win32
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Release|x64.ActiveCfg = Release|x64
- {B0C2EB18-6469-4350-AC72-983E3D0B5F3C}.Release|x64.Build.0 = Release|x64
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Debug|Win32.ActiveCfg = Debug|Win32
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Debug|Win32.Build.0 = Debug|Win32
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Debug|x64.ActiveCfg = Debug|x64
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Debug|x64.Build.0 = Debug|x64
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Release|Win32.ActiveCfg = Release|Win32
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Release|Win32.Build.0 = Release|Win32
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Release|x64.ActiveCfg = Release|x64
- {86418725-0244-46CC-A4E1-D7D21CAC620B}.Release|x64.Build.0 = Release|x64
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Debug|Win32.ActiveCfg = Debug|Win32
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Debug|Win32.Build.0 = Debug|Win32
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Debug|x64.ActiveCfg = Debug|x64
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Debug|x64.Build.0 = Debug|x64
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Release|Win32.ActiveCfg = Release|Win32
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Release|Win32.Build.0 = Release|Win32
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Release|x64.ActiveCfg = Release|x64
- {8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}.Release|x64.Build.0 = Release|x64
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Debug|Win32.ActiveCfg = Debug|Win32
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Debug|Win32.Build.0 = Debug|Win32
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Debug|x64.ActiveCfg = Debug|x64
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Debug|x64.Build.0 = Debug|x64
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Release|Win32.ActiveCfg = Release|Win32
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Release|Win32.Build.0 = Release|Win32
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Release|x64.ActiveCfg = Release|x64
- {DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}.Release|x64.Build.0 = Release|x64
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Debug|Win32.ActiveCfg = Debug|Win32
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Debug|Win32.Build.0 = Debug|Win32
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Debug|x64.ActiveCfg = Debug|x64
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Debug|x64.Build.0 = Debug|x64
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Release|Win32.ActiveCfg = Release|Win32
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Release|Win32.Build.0 = Release|Win32
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Release|x64.ActiveCfg = Release|x64
- {2FBE4820-120C-478D-8DF2-39E53C885830}.Release|x64.Build.0 = Release|x64
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Debug|Win32.ActiveCfg = Debug|Win32
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Debug|Win32.Build.0 = Debug|Win32
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Debug|x64.ActiveCfg = Debug|x64
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Debug|x64.Build.0 = Debug|x64
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Release|Win32.ActiveCfg = Release|Win32
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Release|Win32.Build.0 = Release|Win32
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Release|x64.ActiveCfg = Release|x64
- {9CD7FB43-AEAA-4380-AB53-9DC8487D553F}.Release|x64.Build.0 = Release|x64
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Debug|Win32.ActiveCfg = Debug|Win32
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Debug|Win32.Build.0 = Debug|Win32
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Debug|x64.ActiveCfg = Debug|x64
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Debug|x64.Build.0 = Debug|x64
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Release|Win32.ActiveCfg = Release|Win32
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Release|Win32.Build.0 = Release|Win32
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Release|x64.ActiveCfg = Release|x64
- {58988CC8-3154-45C1-A05E-9AF4FE45D7FE}.Release|x64.Build.0 = Release|x64
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Debug|Win32.ActiveCfg = Debug|Win32
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Debug|Win32.Build.0 = Debug|Win32
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Debug|x64.ActiveCfg = Debug|x64
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Debug|x64.Build.0 = Debug|x64
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Release|Win32.ActiveCfg = Release|Win32
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Release|Win32.Build.0 = Release|Win32
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Release|x64.ActiveCfg = Release|x64
- {A800762F-F9D8-494B-AFCE-AFE75053A9C8}.Release|x64.Build.0 = Release|x64
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Debug|Win32.ActiveCfg = Debug|Win32
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Debug|Win32.Build.0 = Debug|Win32
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Debug|x64.ActiveCfg = Debug|x64
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Debug|x64.Build.0 = Debug|x64
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Release|Win32.ActiveCfg = Release|Win32
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Release|Win32.Build.0 = Release|Win32
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Release|x64.ActiveCfg = Release|x64
- {5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}.Release|x64.Build.0 = Release|x64
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Debug|Win32.ActiveCfg = Debug|Win32
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Debug|Win32.Build.0 = Debug|Win32
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Debug|x64.ActiveCfg = Debug|x64
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Debug|x64.Build.0 = Debug|x64
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Release|Win32.ActiveCfg = Release|Win32
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Release|Win32.Build.0 = Release|Win32
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Release|x64.ActiveCfg = Release|x64
- {D3FAA3AB-9A66-4911-85CE-73B674B683EC}.Release|x64.Build.0 = Release|x64
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Debug|Win32.ActiveCfg = Debug|Win32
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Debug|Win32.Build.0 = Debug|Win32
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Debug|x64.ActiveCfg = Debug|x64
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Debug|x64.Build.0 = Debug|x64
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Release|Win32.ActiveCfg = Release|Win32
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Release|Win32.Build.0 = Release|Win32
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Release|x64.ActiveCfg = Release|x64
- {31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}.Release|x64.Build.0 = Release|x64
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Debug|Win32.ActiveCfg = Debug|Win32
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Debug|Win32.Build.0 = Debug|Win32
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Debug|x64.ActiveCfg = Debug|x64
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Debug|x64.Build.0 = Debug|x64
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Release|Win32.ActiveCfg = Release|Win32
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Release|Win32.Build.0 = Release|Win32
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Release|x64.ActiveCfg = Release|x64
- {EBA97261-BA06-4889-8568-0488E791F9A3}.Release|x64.Build.0 = Release|x64
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Debug|Win32.ActiveCfg = Debug|Win32
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Debug|Win32.Build.0 = Debug|Win32
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Debug|x64.ActiveCfg = Debug|x64
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Debug|x64.Build.0 = Debug|x64
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Release|Win32.ActiveCfg = Release|Win32
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Release|Win32.Build.0 = Release|Win32
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Release|x64.ActiveCfg = Release|x64
- {BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}.Release|x64.Build.0 = Release|x64
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Debug|Win32.ActiveCfg = Debug|Win32
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Debug|Win32.Build.0 = Debug|Win32
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Debug|x64.ActiveCfg = Debug|x64
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Debug|x64.Build.0 = Debug|x64
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Release|Win32.ActiveCfg = Release|Win32
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Release|Win32.Build.0 = Release|Win32
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Release|x64.ActiveCfg = Release|x64
- {93EA2B92-DF8B-4D30-9788-99D63D779756}.Release|x64.Build.0 = Release|x64
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Debug|Win32.ActiveCfg = Debug|Win32
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Debug|Win32.Build.0 = Debug|Win32
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Debug|x64.ActiveCfg = Debug|x64
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Debug|x64.Build.0 = Debug|x64
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Release|Win32.ActiveCfg = Release|Win32
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Release|Win32.Build.0 = Release|Win32
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Release|x64.ActiveCfg = Release|x64
- {F8D2C124-2238-47AB-8A51-4A42D8F10BF5}.Release|x64.Build.0 = Release|x64
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Debug|Win32.ActiveCfg = Debug|Win32
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Debug|Win32.Build.0 = Debug|Win32
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Debug|x64.ActiveCfg = Debug|x64
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Debug|x64.Build.0 = Debug|x64
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Release|Win32.ActiveCfg = Release|Win32
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Release|Win32.Build.0 = Release|Win32
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Release|x64.ActiveCfg = Release|x64
- {447B9176-2551-4BE1-BBFF-D74C1723D6E0}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/hl/examples/allhlcexamples/allhlcexamples.vcproj b/windows/hl/examples/allhlcexamples/allhlcexamples.vcproj
deleted file mode 100644
index da0459e..0000000
--- a/windows/hl/examples/allhlcexamples/allhlcexamples.vcproj
+++ /dev/null
@@ -1,124 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="allhlcexamples"
- ProjectGUID="{5CAEEA27-2611-4398-8E10-BF58CA42F3F3}"
- Keyword="MakeFileProj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\allhlcexamples\Release/allhlcexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\allhlcexamples\Release/allhlcexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\allhlcexamples\Debug/allhlcexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\allhlcexamples\Debug/allhlcexamples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_ds1/ex_ds1.vcproj b/windows/hl/examples/ex_ds1/ex_ds1.vcproj
deleted file mode 100644
index b63bcf5..0000000
--- a/windows/hl/examples/ex_ds1/ex_ds1.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_ds1"
- ProjectGUID="{70E7B820-4AEB-49EF-93C5-A6BBDF69B76F}"
- RootNamespace="ex_ds1"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1\Release/ex_ds1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1\Release/ex_ds1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1\Release/ex_ds1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1\Release/ex_ds1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1\Release/ex_ds1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1\Release/ex_ds1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1\Debug/ex_ds1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1\Debug/ex_ds1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1\Debug/ex_ds1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1\Debug/ex_ds1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1\Debug/ex_ds1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1\Debug/ex_ds1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_ds1.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_ds1dll/ex_ds1dll.vcproj b/windows/hl/examples/ex_ds1dll/ex_ds1dll.vcproj
deleted file mode 100644
index d728554..0000000
--- a/windows/hl/examples/ex_ds1dll/ex_ds1dll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_ds1dll"
- ProjectGUID="{C17AAC18-2DFC-46DE-AF5F-749F6F043DE0}"
- RootNamespace="ex_ds1dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/ex_ds1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/ex_ds1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/ex_ds1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/ex_ds1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/ex_ds1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1dll\Debug/ex_ds1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1dll\Release/ex_ds1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1dll\Release/ex_ds1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1dll\Release/ex_ds1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_ds1dll\Release/ex_ds1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_ds1dll\Release/ex_ds1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_ds1dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_ds1dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_ds1dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_ds1dll\Release/ex_ds1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_ds1.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_image1/ex_image1.vcproj b/windows/hl/examples/ex_image1/ex_image1.vcproj
deleted file mode 100644
index d253b3d..0000000
--- a/windows/hl/examples/ex_image1/ex_image1.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_image1"
- ProjectGUID="{B4DE42B5-0032-4175-BEEE-F65875360A45}"
- RootNamespace="ex_image1"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1\Debug/ex_image1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1\Debug/ex_image1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1\Debug/ex_image1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1\Debug/ex_image1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1\Debug/ex_image1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1\Debug/ex_image1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1\Release/ex_image1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1\Release/ex_image1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1\Release/ex_image1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1\Release/ex_image1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1\Release/ex_image1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1\Release/ex_image1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_image1.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_image1dll/ex_image1dll.vcproj b/windows/hl/examples/ex_image1dll/ex_image1dll.vcproj
deleted file mode 100644
index cfcf29a..0000000
--- a/windows/hl/examples/ex_image1dll/ex_image1dll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_image1dll"
- ProjectGUID="{E424C58A-BEA8-4801-8A9C-5E976A3FAA5D}"
- RootNamespace="ex_image1dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1dll\Debug/ex_image1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1dll\Debug/ex_image1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1dll\Debug/ex_image1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1dll\Debug/ex_image1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1dll\Debug/ex_image1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1dll\Debug/ex_image1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1dll\Release/ex_image1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1dll\Release/ex_image1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1dll\Release/ex_image1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_image1dll\Release/ex_image1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_image1dll\Release/ex_image1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_image1dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_image1dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_image1dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_image1dll\Release/ex_image1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_image1.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_image2/ex_image2.vcproj b/windows/hl/examples/ex_image2/ex_image2.vcproj
deleted file mode 100644
index c69971f..0000000
--- a/windows/hl/examples/ex_image2/ex_image2.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_image2"
- ProjectGUID="{66106B22-4C8B-4B4A-A8DE-0C1ADEF0A219}"
- RootNamespace="ex_image2"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_image2.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_image2dll/ex_image2dll.vcproj b/windows/hl/examples/ex_image2dll/ex_image2dll.vcproj
deleted file mode 100644
index b17d36f..0000000
--- a/windows/hl/examples/ex_image2dll/ex_image2dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_image2dll"
- ProjectGUID="{CADD763A-7F15-453F-A922-79C5FC9FDAED}"
- RootNamespace="ex_image2dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_image2.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_lite1/ex_lite1.vcproj b/windows/hl/examples/ex_lite1/ex_lite1.vcproj
deleted file mode 100644
index 26864e4..0000000
--- a/windows/hl/examples/ex_lite1/ex_lite1.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_lite1"
- ProjectGUID="{4B6CC6F6-C64D-4A1A-BF78-1304AA91D618}"
- RootNamespace="ex_lite1"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1\Release/ex_lite1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1\Release/ex_lite1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1\Release/ex_lite1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1\Release/ex_lite1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1\Release/ex_lite1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1\Release/ex_lite1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1\Debug/ex_lite1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1\Debug/ex_lite1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1\Debug/ex_lite1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1\Debug/ex_lite1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1\Debug/ex_lite1.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1\Debug/ex_lite1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_lite1.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_lite1dll/ex_lite1dll.vcproj b/windows/hl/examples/ex_lite1dll/ex_lite1dll.vcproj
deleted file mode 100644
index 4b6b00f..0000000
--- a/windows/hl/examples/ex_lite1dll/ex_lite1dll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_lite1dll"
- ProjectGUID="{DB7330C6-D009-4BF7-B85D-656185825950}"
- RootNamespace="ex_lite1dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1dll\Release/ex_lite1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1dll\Release/ex_lite1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1dll\Release/ex_lite1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1dll\Release/ex_lite1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1dll\Release/ex_lite1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1dll\Release/ex_lite1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/ex_lite1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/ex_lite1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/ex_lite1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/ex_lite1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/ex_lite1dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_lite1dll\Debug/ex_lite1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_lite1.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_lite2/ex_lite2.vcproj b/windows/hl/examples/ex_lite2/ex_lite2.vcproj
deleted file mode 100644
index 8662fe8..0000000
--- a/windows/hl/examples/ex_lite2/ex_lite2.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_lite2"
- ProjectGUID="{47A5C65E-8669-4AF7-AC7F-ABD572567243}"
- RootNamespace="ex_lite2"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_lite2.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_lite2dll/ex_lite2dll.vcproj b/windows/hl/examples/ex_lite2dll/ex_lite2dll.vcproj
deleted file mode 100644
index cff4c29..0000000
--- a/windows/hl/examples/ex_lite2dll/ex_lite2dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_lite2dll"
- ProjectGUID="{95515861-DE06-4542-AA50-6E4780597720}"
- RootNamespace="ex_lite2dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_lite2.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_lite3/ex_lite3.vcproj b/windows/hl/examples/ex_lite3/ex_lite3.vcproj
deleted file mode 100644
index 065dae2..0000000
--- a/windows/hl/examples/ex_lite3/ex_lite3.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_lite3"
- ProjectGUID="{E5A24019-F635-4D4E-8A60-2D757F05C906}"
- RootNamespace="ex_lite3"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_lite3.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_lite3dll/ex_lite3dll.vcproj b/windows/hl/examples/ex_lite3dll/ex_lite3dll.vcproj
deleted file mode 100644
index 42b5a9b..0000000
--- a/windows/hl/examples/ex_lite3dll/ex_lite3dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_lite3dll"
- ProjectGUID="{8431432A-6535-4599-9D76-008C4420CF1D}"
- RootNamespace="ex_lite3dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_lite3.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table01/ex_table01.vcproj b/windows/hl/examples/ex_table01/ex_table01.vcproj
deleted file mode 100644
index 71826e8..0000000
--- a/windows/hl/examples/ex_table01/ex_table01.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table01"
- ProjectGUID="{334E19CF-8B74-4067-8303-A398E3F22593}"
- RootNamespace="ex_table01"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01\Release/ex_table01.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01\Release/ex_table01.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01\Release/ex_table01.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01\Release/ex_table01.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01\Release/ex_table01.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01\Release/ex_table01.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01\Debug/ex_table01.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01\Debug/ex_table01.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01\Debug/ex_table01.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01\Debug/ex_table01.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01\Debug/ex_table01.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01\Debug/ex_table01.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_01.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table01dll/ex_table01dll.vcproj b/windows/hl/examples/ex_table01dll/ex_table01dll.vcproj
deleted file mode 100644
index a7790f4..0000000
--- a/windows/hl/examples/ex_table01dll/ex_table01dll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table01dll"
- ProjectGUID="{4116EF37-EBA5-424F-A01B-2D80DB5807E3}"
- RootNamespace="ex_table01dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01dll\Release/ex_table01dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01dll\Release/ex_table01dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01dll\Release/ex_table01dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01dll\Release/ex_table01dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01dll\Release/ex_table01dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01dll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01dll\Release/ex_table01dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01dll\Debug/ex_table01dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01dll\Debug/ex_table01dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01dll\Debug/ex_table01dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ex_table01dll\Debug/ex_table01dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ex_table01dll\Debug/ex_table01dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ex_table01dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ex_table01dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ex_table01dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ex_table01dll\Debug/ex_table01dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_01.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table02/ex_table02.vcproj b/windows/hl/examples/ex_table02/ex_table02.vcproj
deleted file mode 100644
index 6196b1a..0000000
--- a/windows/hl/examples/ex_table02/ex_table02.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table02"
- ProjectGUID="{B28B170D-0C78-484C-B398-A6F2FA2C1B26}"
- RootNamespace="ex_table02"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_02.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table02dll/ex_table02dll.vcproj b/windows/hl/examples/ex_table02dll/ex_table02dll.vcproj
deleted file mode 100644
index c9f185d..0000000
--- a/windows/hl/examples/ex_table02dll/ex_table02dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table02dll"
- ProjectGUID="{D29B5F9D-CA5C-4F2F-AB16-8FE0189E520C}"
- RootNamespace="ex_table02dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_02.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table03/ex_table03.vcproj b/windows/hl/examples/ex_table03/ex_table03.vcproj
deleted file mode 100644
index 27654bf..0000000
--- a/windows/hl/examples/ex_table03/ex_table03.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table03"
- ProjectGUID="{7E46D3EA-E3AA-458B-ACA8-A585F62B24A9}"
- RootNamespace="ex_table03"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_03.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table03dll/ex_table03dll.vcproj b/windows/hl/examples/ex_table03dll/ex_table03dll.vcproj
deleted file mode 100644
index 127f16f..0000000
--- a/windows/hl/examples/ex_table03dll/ex_table03dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table03dll"
- ProjectGUID="{D72AE740-D901-41E7-8A85-04A41EA31EB5}"
- RootNamespace="ex_table03dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_03.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table04/ex_table04.vcproj b/windows/hl/examples/ex_table04/ex_table04.vcproj
deleted file mode 100644
index 748aa82..0000000
--- a/windows/hl/examples/ex_table04/ex_table04.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table04"
- ProjectGUID="{A840E983-177E-4218-916F-37391939E32D}"
- RootNamespace="ex_table04"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_04.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table04dll/ex_table04dll.vcproj b/windows/hl/examples/ex_table04dll/ex_table04dll.vcproj
deleted file mode 100644
index 575989a..0000000
--- a/windows/hl/examples/ex_table04dll/ex_table04dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table04dll"
- ProjectGUID="{33FCABE9-BCC4-4894-9BD5-C3AD8FB0E18A}"
- RootNamespace="ex_table04dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_04.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table05/ex_table05.vcproj b/windows/hl/examples/ex_table05/ex_table05.vcproj
deleted file mode 100644
index 55fe6a0..0000000
--- a/windows/hl/examples/ex_table05/ex_table05.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table05"
- ProjectGUID="{B0C2EB18-6469-4350-AC72-983E3D0B5F3C}"
- RootNamespace="ex_table05"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_05.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table05dll/ex_table05dll.vcproj b/windows/hl/examples/ex_table05dll/ex_table05dll.vcproj
deleted file mode 100644
index 1104d4c..0000000
--- a/windows/hl/examples/ex_table05dll/ex_table05dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table05dll"
- ProjectGUID="{86418725-0244-46CC-A4E1-D7D21CAC620B}"
- RootNamespace="ex_table05dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_05.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table06/ex_table06.vcproj b/windows/hl/examples/ex_table06/ex_table06.vcproj
deleted file mode 100644
index c08a2f4..0000000
--- a/windows/hl/examples/ex_table06/ex_table06.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table06"
- ProjectGUID="{8E92B4DB-65AD-4CF7-B806-3AA6D17F3F5C}"
- RootNamespace="ex_table06"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_06.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table06dll/ex_table06dll.vcproj b/windows/hl/examples/ex_table06dll/ex_table06dll.vcproj
deleted file mode 100644
index a3a83a4..0000000
--- a/windows/hl/examples/ex_table06dll/ex_table06dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table06dll"
- ProjectGUID="{DC9562D3-A5A4-4BB0-98C3-7863AACFF1C8}"
- RootNamespace="ex_table06dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_06.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table07/ex_table07.vcproj b/windows/hl/examples/ex_table07/ex_table07.vcproj
deleted file mode 100644
index 0c3cb2f..0000000
--- a/windows/hl/examples/ex_table07/ex_table07.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table07"
- ProjectGUID="{2FBE4820-120C-478D-8DF2-39E53C885830}"
- RootNamespace="ex_table07"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_07.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table07dll/ex_table07dll.vcproj b/windows/hl/examples/ex_table07dll/ex_table07dll.vcproj
deleted file mode 100644
index 3554428..0000000
--- a/windows/hl/examples/ex_table07dll/ex_table07dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table07dll"
- ProjectGUID="{9CD7FB43-AEAA-4380-AB53-9DC8487D553F}"
- RootNamespace="ex_table07dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_07.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table08/ex_table08.vcproj b/windows/hl/examples/ex_table08/ex_table08.vcproj
deleted file mode 100644
index f91a4d5..0000000
--- a/windows/hl/examples/ex_table08/ex_table08.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table08"
- ProjectGUID="{58988CC8-3154-45C1-A05E-9AF4FE45D7FE}"
- RootNamespace="ex_table08"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_08.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table08dll/ex_table08dll.vcproj b/windows/hl/examples/ex_table08dll/ex_table08dll.vcproj
deleted file mode 100644
index 242a08c..0000000
--- a/windows/hl/examples/ex_table08dll/ex_table08dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table08dll"
- ProjectGUID="{A800762F-F9D8-494B-AFCE-AFE75053A9C8}"
- RootNamespace="ex_table08dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_08.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table09/ex_table09.vcproj b/windows/hl/examples/ex_table09/ex_table09.vcproj
deleted file mode 100644
index 61d1610..0000000
--- a/windows/hl/examples/ex_table09/ex_table09.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table09"
- ProjectGUID="{5FC6935E-35DE-4A68-87FE-8ADCDEED2B48}"
- RootNamespace="ex_table09"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_09.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table09dll/ex_table09dll.vcproj b/windows/hl/examples/ex_table09dll/ex_table09dll.vcproj
deleted file mode 100644
index 06205c7..0000000
--- a/windows/hl/examples/ex_table09dll/ex_table09dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table09dll"
- ProjectGUID="{D3FAA3AB-9A66-4911-85CE-73B674B683EC}"
- RootNamespace="ex_table09dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_09.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table10/ex_table10.vcproj b/windows/hl/examples/ex_table10/ex_table10.vcproj
deleted file mode 100644
index 2f89023..0000000
--- a/windows/hl/examples/ex_table10/ex_table10.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table10"
- ProjectGUID="{31BA8F80-0A8C-4CFC-AD63-D947F1ABAC8C}"
- RootNamespace="ex_table10"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_10.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table10dll/ex_table10dll.vcproj b/windows/hl/examples/ex_table10dll/ex_table10dll.vcproj
deleted file mode 100644
index d15647e..0000000
--- a/windows/hl/examples/ex_table10dll/ex_table10dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table10dll"
- ProjectGUID="{EBA97261-BA06-4889-8568-0488E791F9A3}"
- RootNamespace="ex_table10dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_10.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table11/ex_table11.vcproj b/windows/hl/examples/ex_table11/ex_table11.vcproj
deleted file mode 100644
index 6b5bf6a..0000000
--- a/windows/hl/examples/ex_table11/ex_table11.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table11"
- ProjectGUID="{BB90C9EC-7C4F-4AEA-A64E-95A2EA9C2F06}"
- RootNamespace="ex_table11"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_11.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table11dll/ex_table11dll.vcproj b/windows/hl/examples/ex_table11dll/ex_table11dll.vcproj
deleted file mode 100644
index a004218..0000000
--- a/windows/hl/examples/ex_table11dll/ex_table11dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table11dll"
- ProjectGUID="{93EA2B92-DF8B-4D30-9788-99D63D779756}"
- RootNamespace="ex_table11dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_11.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table12/ex_table12.vcproj b/windows/hl/examples/ex_table12/ex_table12.vcproj
deleted file mode 100644
index 14272d7..0000000
--- a/windows/hl/examples/ex_table12/ex_table12.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table12"
- ProjectGUID="{F8D2C124-2238-47AB-8A51-4A42D8F10BF5}"
- RootNamespace="ex_table12"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_12.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ex_table12dll/ex_table12dll.vcproj b/windows/hl/examples/ex_table12dll/ex_table12dll.vcproj
deleted file mode 100644
index 8107a40..0000000
--- a/windows/hl/examples/ex_table12dll/ex_table12dll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ex_table12dll"
- ProjectGUID="{447B9176-2551-4BE1-BBFF-D74C1723D6E0}"
- RootNamespace="ex_table12dll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ex_table_12.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ptExampleFL/ptExampleFL.vcproj b/windows/hl/examples/ptExampleFL/ptExampleFL.vcproj
deleted file mode 100644
index 2bb3d4f..0000000
--- a/windows/hl/examples/ptExampleFL/ptExampleFL.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ptExampleFL"
- ProjectGUID="{47753B08-F9F5-409B-9EC2-9135B4508BAF}"
- RootNamespace="ptExampleFL"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFL\Debug/ptExampleFL.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFL\Debug/ptExampleFL.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFL\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFL\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFL\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFL\Debug/ptExampleFL.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFL\Debug/ptExampleFL.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFL\Debug/ptExampleFL.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFL\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFL\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFL\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib hdf5_hld.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib\,..\..\..\..\proj\hdf5\debug,..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFL\Debug/ptExampleFL.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFL\Release/ptExampleFL.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFL\Release/ptExampleFL.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFL\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFL\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFL\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFL\Release/ptExampleFL.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFL\Release/ptExampleFL.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFL\Release/ptExampleFL.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFL\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFL\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFL\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib hdf5_hl.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib,..\..\..\..\proj\hdf5\release,..\..\..\..\proj\hdf5_hl\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFL\Release/ptExampleFL.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ptExampleFL.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ptExampleFLdll/ptExampleFLdll.vcproj b/windows/hl/examples/ptExampleFLdll/ptExampleFLdll.vcproj
deleted file mode 100644
index b783dca..0000000
--- a/windows/hl/examples/ptExampleFLdll/ptExampleFLdll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ptExampleFLdll"
- ProjectGUID="{25DC2207-299D-4272-9530-B126539BE0E9}"
- RootNamespace="ptExampleFLdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/ptExampleFLdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/ptExampleFLdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/ptExampleFLdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/ptExampleFLdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\debug\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/ptExampleFLdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll\,..\..\..\..\proj\hdf5dll\debug,..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Debug/ptExampleFLdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/ptExampleFLdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/ptExampleFLdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/ptExampleFLdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/ptExampleFLdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hdf5lib\release\include,..\..\..\..\hl\src,..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/ptExampleFLdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/"
- ObjectFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll\,..\..\..\..\proj\hdf5dll\release,..\..\..\..\proj\hdf5_hldll\release"
- ProgramDatabaseFile=".\..\..\..\..\hl\examples\ptExampleFLdll\Release/ptExampleFLdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ptExampleFL.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ptExampleVL/ptExampleVL.vcproj b/windows/hl/examples/ptExampleVL/ptExampleVL.vcproj
deleted file mode 100644
index 8fc7b0d..0000000
--- a/windows/hl/examples/ptExampleVL/ptExampleVL.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ptExampleVL"
- ProjectGUID="{CD526D51-176A-4AC1-B2C9-B2155FAF59FB}"
- RootNamespace="ptExampleVL"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5d.lib hdf5_hld.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\lib;..\..\..\..\proj\hdf5\debug;..\..\..\..\proj\hdf5_hl\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5.lib hdf5_hl.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\lib;..\..\..\..\proj\hdf5\release;..\..\..\..\proj\hdf5_hl\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ptExampleVL.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/ptExampleVLdll/ptExampleVLdll.vcproj b/windows/hl/examples/ptExampleVLdll/ptExampleVLdll.vcproj
deleted file mode 100644
index 04277b9..0000000
--- a/windows/hl/examples/ptExampleVLdll/ptExampleVLdll.vcproj
+++ /dev/null
@@ -1,361 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ptExampleVLdll"
- ProjectGUID="{0A6C0B51-23EF-40E7-A086-BACF2171B02F}"
- RootNamespace="ptExampleVLdll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\debug\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5ddll.lib hdf5_hlddll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="2"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\debug\dll;..\..\..\..\proj\hdf5dll\debug;..\..\..\..\proj\hdf5_hldll\debug"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\..\src;..\..\..\..\hl\src;..\..\..\..\hdf5lib\release\include"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="hdf5dll.lib hdf5_hldll.lib $(HDF5_EXT_SZIP) $(HDF5_EXT_ZLIB)"
- LinkIncremental="1"
- AdditionalLibraryDirectories="..\..\..\..\hdf5lib\release\dll;..\..\..\..\proj\hdf5dll\release;..\..\..\..\proj\hdf5_hldll\release"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cc;cxx;def;odl;idl;hpj;bat;asm;asmx"
- UniqueIdentifier="{4FC737F1-C7A5-4376-A066-2A32D752A2FF}"
- >
- <File
- RelativePath="..\..\..\..\hl\examples\ptExampleVL.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;inc;xsd"
- UniqueIdentifier="{93995380-89BD-4b04-88EB-625FBE52EBFB}"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="rc;ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe;resx;tiff;tif;png;wav"
- UniqueIdentifier="{67DA6AB6-F800-4c08-8B7A-83BB121AAD01}"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/examples/test_hl_cexamples.BAT b/windows/hl/examples/test_hl_cexamples.BAT
deleted file mode 100644
index 652a602..0000000
--- a/windows/hl/examples/test_hl_cexamples.BAT
+++ /dev/null
@@ -1,100 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-
-rem This batch file is used to test HDF5 High Level C examples.
-rem Written by : Fang GUO
-rem Created : 07/26/2005
-rem Last Modified: 2/18/08
-
-rem Use delayed expansion to track changes inside a loop
-setlocal enabledelayedexpansion
-
-rem Track errors
-set total_error=0
-
-if %1.==. GOTO WRONG
-if "%1"=="/?" GOTO HELP
-if "%1"=="release" GOTO CONFIG
-if "%1"=="debug" GOTO CONFIG
-
-GOTO WRONG
-
-:CONFIG
- if "%2"=="dll" GOTO TEST
- if %2.==. GOTO TEST
- GOTO WRONG
-
-:TEST
- echo Testing %1 %2 version of High Level examples
- echo.
-
- ex_ds1%2\%1\ex_ds1%2.exe ex_ds1%1%2
-
- for /l %%a in (1,1,2) do (
- ex_image%%a%2\%1\ex_image%%a%2.exe ex_image%%a%1%2
- if not !errorlevel!==0 set /A total_error=!total_error!+1
- )
-
- for /l %%a in (1,1,3) do (
- ex_lite%%a%2\%1\ex_lite%%a%2.exe ex_lite%%a%1%2
- if not !errorlevel!==0 set /A total_error=!total_error!+1
- )
-
- for /l %%a in (1,1,9) do (
- ex_table0%%a%2\%1\ex_table0%%a%2.exe ex_table0%%a%1%2
- if not !errorlevel!==0 set /A total_error=!total_error!+1
- )
-
- for /l %%a in (10,1,12) do (
- ex_table%%a%2\%1\ex_table%%a%2.exe ex_table%%a%1%2
- if not !errorlevel!==0 set /A total_error=!total_error!+1
- )
-
- for %%a in (FL VL) do (
- ptExample%%a%2\%1\ptExample%%a%2.exe ptExample%%a%1%2
- if not !errorlevel!==0 set /A total_error=!total_error!+1
- )
-
- if %total_error%==0 (
- echo.
- echo. All of the HL C Examples Passed!
- ) else (
- echo.
- echo. %total_error% HL C Examples Failed!
- )
-
- GOTO END
-
-:WRONG
- echo The syntax of the command is incorrect.
- echo Use test_hl_cexamples /? for usage information
- echo.
- GOTO END
-
-:HELP
- echo Tests HDF5 High Level C examples.
- echo.
- echo test_hl_cexamples [OPTION]
- echo.
- echo Please use one of the following options!
- echo.
- echo test_hl_cexamples release test HDF5 HL C examples -- release version
- echo test_hl_cexamples release dll test HDF5 HL C examples -- release dll version
- echo test_hl_cexamples debug test HDF5 HL C examples -- debug version
- echo test_hl_cexamples debug dll test HDF5 HL C examples -- debug dll version
- echo test_hl_cexamples /? Help information
- echo.
- GOTO END
-
-:END
diff --git a/windows/hl/fortran/examples/allhlf90examples/allhlf90examples.sln b/windows/hl/fortran/examples/allhlf90examples/allhlf90examples.sln
deleted file mode 100644
index 139fb49..0000000
--- a/windows/hl/fortran/examples/allhlf90examples/allhlf90examples.sln
+++ /dev/null
@@ -1,49 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "allhlf90examples", "allhlf90examples.vcproj", "{64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}"
- ProjectSection(ProjectDependencies) = postProject
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042} = {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB} = {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "ex_lite", "..\ex_lite\ex_lite.vfproj", "{7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "ex_litedll", "..\ex_litedll\ex_litedll.vfproj", "{3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}"
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Debug|Win32.ActiveCfg = Debug|Win32
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Debug|Win32.Build.0 = Debug|Win32
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Debug|x64.ActiveCfg = Debug|x64
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Debug|x64.Build.0 = Debug|x64
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Release|Win32.ActiveCfg = Release|Win32
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Release|Win32.Build.0 = Release|Win32
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Release|x64.ActiveCfg = Release|x64
- {64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}.Release|x64.Build.0 = Release|x64
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Debug|Win32.ActiveCfg = Debug|Win32
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Debug|Win32.Build.0 = Debug|Win32
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Debug|x64.ActiveCfg = Debug|x64
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Debug|x64.Build.0 = Debug|x64
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Release|Win32.ActiveCfg = Release|Win32
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Release|Win32.Build.0 = Release|Win32
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Release|x64.ActiveCfg = Release|x64
- {7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}.Release|x64.Build.0 = Release|x64
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Debug|Win32.ActiveCfg = Debug|Win32
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Debug|Win32.Build.0 = Debug|Win32
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Debug|x64.ActiveCfg = Debug|x64
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Debug|x64.Build.0 = Debug|x64
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Release|Win32.ActiveCfg = Release|Win32
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Release|Win32.Build.0 = Release|Win32
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Release|x64.ActiveCfg = Release|x64
- {3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/hl/fortran/examples/allhlf90examples/allhlf90examples.vcproj b/windows/hl/fortran/examples/allhlf90examples/allhlf90examples.vcproj
deleted file mode 100644
index 60a4756..0000000
--- a/windows/hl/fortran/examples/allhlf90examples/allhlf90examples.vcproj
+++ /dev/null
@@ -1,125 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="allhlf90examples"
- ProjectGUID="{64F43EAB-0F7D-4D4F-B01D-52C698C97FDF}"
- RootNamespace="allhlf90examples"
- Keyword="MakeFileProj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\..\hl\fortran\examples\allhlf90examples\Debug/allhlf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\..\hl\fortran\examples\allhlf90examples\Debug/allhlf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\..\hl\fortran\examples\allhlf90examples\Release/allhlf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\..\hl\fortran\examples\allhlf90examples\Release/allhlf90examples.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/hl/fortran/examples/ex_lite/ex_lite.vfproj b/windows/hl/fortran/examples/ex_lite/ex_lite.vfproj
deleted file mode 100644
index 852e157..0000000
--- a/windows/hl/fortran/examples/ex_lite/ex_lite.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{7B837653-CEF4-4B2D-8EB8-29ECC4A1F042}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_lite.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\debug/;..\..\..\..\..\proj\hdf5_hl_fortran\debug/" WarnArgMismatch="true" ModulePath="Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5\debug;..\..\..\..\..\proj\hdf5_hl\debug;..\..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\..\proj\hdf5_hl_fortran\debug" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/ex_lite.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib hdf5_hld.lib hdf5_hl_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_lite.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\release/;..\..\..\..\..\proj\hdf5_hl_fortran\release/" ModulePath="Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5\release;..\..\..\..\..\proj\hdf5_hl\release;..\..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\..\proj\hdf5_hl_fortran\release" ProgramDatabaseFile="$(OUTDIR)/ex_lite.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) hdf5.lib hdf5_hl.lib hdf5_fortran.lib hdf5_hl_fortran.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_lite.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\debug/;..\..\..\..\..\proj\hdf5_hl_fortran\debug/" WarnArgMismatch="true" ModulePath="Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5\debug;..\..\..\..\..\proj\hdf5_hl\debug;..\..\..\..\..\proj\hdf5_fortran\debug;..\..\..\..\..\proj\hdf5_hl_fortran\debug" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/ex_lite.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5d.lib hdf5_fortrand.lib hdf5_hld.lib hdf5_hl_fortrand.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_lite.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\release/;..\..\..\..\..\proj\hdf5_hl_fortran\release/" ModulePath="Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5\release;..\..\..\..\..\proj\hdf5_hl\release;..\..\..\..\..\proj\hdf5_fortran\release;..\..\..\..\..\proj\hdf5_hl_fortran\release" ProgramDatabaseFile="$(OUTDIR)/ex_lite.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) hdf5.lib hdf5_hl.lib hdf5_fortran.lib hdf5_hl_fortran.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\..\hl\fortran\examples\exlite.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/examples/ex_litedll/ex_litedll.vfproj b/windows/hl/fortran/examples/ex_litedll/ex_litedll.vfproj
deleted file mode 100644
index f5f38d8..0000000
--- a/windows/hl/fortran/examples/ex_litedll/ex_litedll.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{3EB0EFA7-69F8-4541-8565-EC7AD3603DBB}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_litedll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\debug/" WarnArgMismatch="true" ModulePath="Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\..\proj\hdf5_hl_fortrandll\debug" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/ex_litedll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5_fortranddll.lib hdf5_hl_fortranddll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_litedll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\release/" ModulePath="Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\..\proj\hdf5_hl_fortrandll\release" ProgramDatabaseFile="$(OUTDIR)/ex_litedll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5_fortrandll.lib hdf5_hl_fortrandll.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_litedll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\debug/" WarnArgMismatch="true" ModulePath="Debug/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5_fortrandll\debug,..\..\..\..\..\proj\hdf5_hl_fortrandll\debug" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/ex_litedll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5_fortranddll.lib hdf5_hl_fortranddll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\examples\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/ex_litedll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\release/" ModulePath="Release/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" AdditionalLibraryDirectories="..\..\..\..\..\proj\hdf5_fortrandll\release,..\..\..\..\..\proj\hdf5_hl_fortrandll\release" ProgramDatabaseFile="$(OUTDIR)/ex_litedll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib hdf5_fortrandll.lib hdf5_hl_fortrandll.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\..\hl\fortran\examples\exlite.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/examples/test_hl_f90examples.BAT b/windows/hl/fortran/examples/test_hl_f90examples.BAT
deleted file mode 100644
index 30a05d4..0000000
--- a/windows/hl/fortran/examples/test_hl_f90examples.BAT
+++ /dev/null
@@ -1,64 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-@ECHO OFF
-@:: This batch file is used to test HDF5 High Level Fortran examples.
-@:: Written by : Fang GUO
-@:: Created : 12/20/2005
-@:: Last Modified:
-
-if %1.==. GOTO WRONG
-if "%1"=="/?" GOTO HELP
-if "%1"=="release" GOTO CONFIG
-if "%1"=="debug" GOTO CONFIG
-
-GOTO WRONG
-
-:CONFIG
-if "%2"=="dll" GOTO TEST
-if %2.==. GOTO TEST
-GOTO WRONG
-
-:TEST
-echo.
-HLf90examples%1%2\ex_lite%2
-if ERRORLEVEL == 0 (
-echo. %1 %2 version of High Level Fortran examples PASSED
-)else (
-echo. %1 %2 version of High Level Fortran examples FAILED
-)
-echo.
-GOTO END
-
-:WRONG
-echo The syntax of the command is incorrect.
-echo Use test_hl_f90examples /? for usage informtaion
-echo.
-GOTO END
-
-:HELP
-echo Tests HDF5 High Level Fortran examples.
-echo.
-echo test_hl_f90examples [OPTION]
-echo.
-echo Please use one of the following options!
-echo.
-echo test_hl_f90examples release test HDF5 HL Fortran examples -- release version
-echo test_hl_f90examples release dll test HDF5 HL Fortran examples -- release dll version
-echo test_hl_f90examples debug test HDF5 HL Fortran examples -- debug version
-echo test_hl_f90examples debug dll test HDF5 HL Fortran examples -- debug dll version
-echo test_hl_f90examples /? Help information
-echo.
-GOTO END
-
-:END
diff --git a/windows/hl/fortran/test/checkhlfortrantests.bat b/windows/hl/fortran/test/checkhlfortrantests.bat
deleted file mode 100644
index 28d4822..0000000
--- a/windows/hl/fortran/test/checkhlfortrantests.bat
+++ /dev/null
@@ -1,101 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 hl fortran library
-rem
-rem Created: Scott Wegner, 9/6/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_HLFORTTEST_", as we use these for our
-rem tests. Also clear "HDF5_HLFORTTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_hlforttest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_hlforttest_') do set %%a=
-set hdf5_hlforttest_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the hlforttest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_hlforttest_tests=%hdf5_hlforttest_tests% %1
- set hdf5_hlforttest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_hlforttest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_hlforttest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test hl_test_lite_fortran%2 .\hl_test_lite_fortran%2\%1
- call :add_test hl_test_image_fortran%2 .\hl_test_image_fortran%2\%1
- call :add_test hl_test_table_fortran%2 .\hl_test_table_fortran%2\%1
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All HL Fortran library tests passed.
- ) else (
- echo.** FAILED HL Fortran Library tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
- \ No newline at end of file
diff --git a/windows/hl/fortran/test/hl_test_image_fortran/hl_test_image_fortran.vfproj b/windows/hl/fortran/test/hl_test_image_fortran/hl_test_image_fortran.vfproj
deleted file mode 100644
index c615f0c..0000000
--- a/windows/hl/fortran/test/hl_test_image_fortran/hl_test_image_fortran.vfproj
+++ /dev/null
@@ -1,46 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{1C2FF3B6-639A-4047-90DE-327B82BF3ACB}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Release/;..\..\..\..\..\proj\hdf5_hl_fortran\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Debug/;..\..\..\..\..\proj\hdf5_hl_fortran\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Release/;..\..\..\..\..\proj\hdf5_hl_fortran\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Debug/;..\..\..\..\..\proj\hdf5_hl_fortran\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\..\hl\fortran\test\tstimage.f90"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/test/hl_test_image_fortrandll/hl_test_image_fortrandll.vfproj b/windows/hl/fortran/test/hl_test_image_fortrandll/hl_test_image_fortrandll.vfproj
deleted file mode 100644
index 2000f23..0000000
--- a/windows/hl/fortran/test/hl_test_image_fortrandll/hl_test_image_fortrandll.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{8659AEE0-2C9A-4666-B70F-C2B8280FD909}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_image_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_image_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\..\hl\fortran\test\tstimage.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/test/hl_test_lite_fortran/hl_test_lite_fortran.vfproj b/windows/hl/fortran/test/hl_test_lite_fortran/hl_test_lite_fortran.vfproj
deleted file mode 100644
index adeb772..0000000
--- a/windows/hl/fortran/test/hl_test_lite_fortran/hl_test_lite_fortran.vfproj
+++ /dev/null
@@ -1,46 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{3F8103CC-1DB2-4C23-9ABC-430434244D40}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Debug/;..\..\..\..\..\proj\hdf5_hl_fortran\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Release/;..\..\..\..\..\proj\hdf5_hl_fortran\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Debug/;..\..\..\..\..\proj\hdf5_hl_fortran\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Release/;..\..\..\..\..\proj\hdf5_hl_fortran\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\..\hl\fortran\test\tstlite.f90"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/test/hl_test_lite_fortrandll/hl_test_lite_fortrandll.vfproj b/windows/hl/fortran/test/hl_test_lite_fortrandll/hl_test_lite_fortrandll.vfproj
deleted file mode 100644
index 5e4125f..0000000
--- a/windows/hl/fortran/test/hl_test_lite_fortrandll/hl_test_lite_fortrandll.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{5E617A56-25B2-41E8-8D69-109600819716}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_lite_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_lite_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\..\hl\fortran\test\tstlite.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/test/hl_test_table_fortran/hl_test_table_fortran.vfproj b/windows/hl/fortran/test/hl_test_table_fortran/hl_test_table_fortran.vfproj
deleted file mode 100644
index f53f14a..0000000
--- a/windows/hl/fortran/test/hl_test_table_fortran/hl_test_table_fortran.vfproj
+++ /dev/null
@@ -1,46 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{C8202A85-1F3A-4B34-869C-B1E8CA829299}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Release/;..\..\..\..\..\proj\hdf5_hl_fortran\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Debug/;..\..\..\..\..\proj\hdf5_hl_fortran\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Release/;..\..\..\..\..\proj\hdf5_hl_fortran\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortran.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortran\Debug/;..\..\..\..\..\proj\hdf5_hl_fortran\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortran.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <File RelativePath="..\..\..\..\..\hl\fortran\test\tsttable.f90"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/fortran/test/hl_test_table_fortrandll/hl_test_table_fortrandll.vfproj b/windows/hl/fortran/test/hl_test_table_fortrandll/hl_test_table_fortrandll.vfproj
deleted file mode 100644
index 1ae031f..0000000
--- a/windows/hl/fortran/test/hl_test_table_fortrandll/hl_test_table_fortrandll.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{3F645EFF-3A91-4CF3-9B60-76E0C33686A7}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Debug/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Debug/" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\..\hl\fortran\test\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hl_test_table_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\..\..\proj\hdf5_fortrandll\Release/;..\..\..\..\..\proj\hdf5_hl_fortrandll\Release/" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/hl_test_table_fortrandll.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\..\hl\fortran\test\tsttable.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/hl/test/H5srcdir_str.h b/windows/hl/test/H5srcdir_str.h
deleted file mode 100644
index 4d32264..0000000
--- a/windows/hl/test/H5srcdir_str.h
+++ /dev/null
@@ -1,22 +0,0 @@
-/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
- * Copyright by The HDF Group. *
- * Copyright by the Board of Trustees of the University of Illinois. *
- * All rights reserved. *
- * *
- * This file is part of HDF5. The full HDF5 copyright notice, including *
- * terms governing use, modification, and redistribution, is contained in *
- * the files COPYING and Copyright.html. COPYING can be found at the root *
- * of the source code distribution tree; Copyright.html can be found at the *
- * root level of an installed copy of the electronic HDF5 document set and *
- * is linked from the top-level documents page. It can also be found at *
- * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
- * access to either file, you may request a copy from help@hdfgroup.org. *
- * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
-
-/* If you are reading this file and it has a '.h' suffix, it was automatically
- * generated from the '.in' version. Make changes there.
- */
-
-/* Set the 'srcdir' path from configure time */
-static const char *config_srcdir = ".";
-
diff --git a/windows/hl/test/checkhltests.bat b/windows/hl/test/checkhltests.bat
deleted file mode 100644
index 0804148..0000000
--- a/windows/hl/test/checkhltests.bat
+++ /dev/null
@@ -1,151 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 HL library
-rem
-rem Created: Scott Wegner, 9/10/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_HLTEST_", as we use these for our
-rem tests. Also clear "HDF5_HLTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_hltest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_hltest_') do set %%a=
-set hdf5_hltest_tests=
-
-rem See if we have built the HL C++ / Fortran libraries, and set
-rem BUILD_*_CONDITIONAL appropriately
-call :check_built fortran %*
-call :check_built cxx %*
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the hltest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_hltest_tests=%hdf5_hltest_tests% %1
- set hdf5_hltest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_hltest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_hltest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem Check to see if one of our output files exist for the given parameter. If
-rem it does, we can assume that that set of files were set to build, and we can
-rem test them. In Linux, the corresponding variable is set by the Makefile.
-rem Expects the following parameters:
-rem %1 - fortran or cxx
-rem %2 - debug or release
-rem %3 - dll or nothing
-:check_built
-
- rem diffuse early if the variable is already defined
- if defined build_%1_conditional exit /b
-
- if /i "%1" equ "cxx" (
- if "%2"=="release" (
- set hdf5_hl_cpp=hdf5_hl_cpp%3.lib
- ) else (
- set hdf5_hl_cpp=hdf5_hl_cppd%3.lib
- )
-
- if exist %CD%\..\..\proj\hdf5_hl_cpp%3\%2\!hdf5_hl_cpp! (
- set build_cxx_conditional=true
- )
- ) else if /i "%1" equ "fortran" (
- if "%2"=="release" (
- set hdf5_hl_fortran=hdf5_hl_fortran%3.lib
- ) else (
- set hdf5_hl_fortran=hdf5_hl_fortrand%3.lib
- )
-
- if exist %CD%\..\..\proj\hdf5_hl_fortran%3\%2\!hdf5_hl_fortran! (
- set build_fortran_conditional=true
- )
- )
-
- exit /b
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test hl_test_lite%2 .\hl_test_lite%2\%1
- call :add_test hl_test_image%2 .\hl_test_image%2\%1
- call :add_test hl_test_table%2 .\hl_test_table%2\%1
- call :add_test hl_test_ds%2 .\hl_test_ds%2\%1
- call :add_test hl_test_packet%2 .\hl_test_packet%2\%1
-
- rem Only check HL C++/Fortran if they are set to build.
- if defined build_cxx_conditional (
- call :add_test checkhlcpptests.bat ..\c++\test
- )
- if defined build_fortran_conditional (
- call :add_test checkhlfortrantests.bat ..\fortran\test
- )
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All HL library tests passed.
- ) else (
- echo.** FAILED HL Library tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
- \ No newline at end of file
diff --git a/windows/hl/test/hl_test_ds/hl_test_ds.vcproj b/windows/hl/test/hl_test_ds/hl_test_ds.vcproj
deleted file mode 100644
index 1c8aa7b..0000000
--- a/windows/hl/test/hl_test_ds/hl_test_ds.vcproj
+++ /dev/null
@@ -1,415 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_ds"
- ProjectGUID="{6410E6D2-EDBF-439D-8C43-1AB0C37AC851}"
- RootNamespace="hl_test_ds"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_ds/Debug/hl_test_ds.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_ds/Debug/hl_test_ds.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_ds/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_ds/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_ds/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_ds/Debug/hl_test_ds.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_ds/Debug/hl_test_ds.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_ds/Debug/hl_test_ds.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_ds/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_ds/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_ds/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_ds/Debug/hl_test_ds.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_ds/Release/hl_test_ds.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_ds/Release/hl_test_ds.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_ds/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_ds/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_ds/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_ds/Release/hl_test_ds.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_ds/Release/hl_test_ds.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_ds/Release/hl_test_ds.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_ds/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_ds/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_ds/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_ds/Release/hl_test_ds.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\test\test_ds.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\..\hl\src\H5DS.h"
- >
- </File>
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_dsdll/hl_test_dsdll.vcproj b/windows/hl/test/hl_test_dsdll/hl_test_dsdll.vcproj
deleted file mode 100644
index 6093600..0000000
--- a/windows/hl/test/hl_test_dsdll/hl_test_dsdll.vcproj
+++ /dev/null
@@ -1,413 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_dsdll"
- ProjectGUID="{3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}"
- RootNamespace="hl_test_dsdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_dsdll/Release/hl_test_dsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_dsdll/Release/hl_test_dsdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_dsdll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_dsdll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_dsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_hldll\hdf5_hlddll.dll"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_dsdll/Release/hl_test_dsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_dsdll/Release/hl_test_dsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_dsdll/Release/hl_test_dsdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_dsdll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_dsdll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_dsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\..\proj\hdf5_hldll\hdf5_hlddll.dll"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_dsdll/Release/hl_test_dsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_dsdll/Debug/hl_test_dsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_dsdll/Debug/hl_test_dsdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_dsdll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_dsdll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_dsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories=".."
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_dsdll/Debug/hl_test_dsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_dsdll/Debug/hl_test_dsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_dsdll/Debug/hl_test_dsdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_dsdll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_dsdll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_dsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories=".."
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_dsdll/Debug/hl_test_dsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\test\test_ds.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_image/hl_test_image.vcproj b/windows/hl/test/hl_test_image/hl_test_image.vcproj
deleted file mode 100644
index 2f01f6c..0000000
--- a/windows/hl/test/hl_test_image/hl_test_image.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_image"
- ProjectGUID="{03359B45-E43D-44B3-BDE5-8B14D9F0D827}"
- RootNamespace="hl_test_image"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_image/Debug/hl_test_image.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_image/Debug/hl_test_image.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_image/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_image/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_image/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_image/Debug/hl_test_image.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_image/Debug/hl_test_image.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_image/Debug/hl_test_image.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_image/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_image/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_image/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_image/Debug/hl_test_image.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_image/Release/hl_test_image.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_image/Release/hl_test_image.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_image/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_image/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_image/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_image/Release/hl_test_image.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_image/Release/hl_test_image.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_image/Release/hl_test_image.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_image/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_image/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_image/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_image/Release/hl_test_image.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\hl\test\test_image.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_imagedll/hl_test_imagedll.vcproj b/windows/hl/test/hl_test_imagedll/hl_test_imagedll.vcproj
deleted file mode 100644
index 111bc6d..0000000
--- a/windows/hl/test/hl_test_imagedll/hl_test_imagedll.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_imagedll"
- ProjectGUID="{9A226D92-9326-4907-A462-25997D5C9427}"
- RootNamespace="hl_test_imagedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_imagedll/Debug/hl_test_imagedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_imagedll/Debug/hl_test_imagedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_imagedll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_imagedll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_imagedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_imagedll/Debug/hl_test_imagedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_imagedll/Debug/hl_test_imagedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_imagedll/Debug/hl_test_imagedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_imagedll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_imagedll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_imagedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_imagedll/Debug/hl_test_imagedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_imagedll/Release/hl_test_imagedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_imagedll/Release/hl_test_imagedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_imagedll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_imagedll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_imagedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_imagedll/Release/hl_test_imagedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_imagedll/Release/hl_test_imagedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_imagedll/Release/hl_test_imagedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_imagedll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_imagedll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_imagedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_imagedll/Release/hl_test_imagedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\hl\test\test_image.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_lite/hl_test_lite.vcproj b/windows/hl/test/hl_test_lite/hl_test_lite.vcproj
deleted file mode 100644
index 0de34b5..0000000
--- a/windows/hl/test/hl_test_lite/hl_test_lite.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_lite"
- ProjectGUID="{6FFCE804-EF4A-468F-A174-561934C153A1}"
- RootNamespace="hl_test_lite"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_lite/Release/hl_test_lite.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_lite/Release/hl_test_lite.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_lite/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_lite/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_lite/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_lite/Release/hl_test_lite.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_lite/Release/hl_test_lite.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_lite/Release/hl_test_lite.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_lite/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_lite/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_lite/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_lite/Release/hl_test_lite.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_lite/Debug/hl_test_lite.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_lite/Debug/hl_test_lite.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_lite/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_lite/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_lite/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_lite/Debug/hl_test_lite.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_lite/Debug/hl_test_lite.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_lite/Debug/hl_test_lite.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_lite/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_lite/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_lite/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_lite/Debug/hl_test_lite.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\hl\test\test_lite.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_litedll/hl_test_litedll.vcproj b/windows/hl/test/hl_test_litedll/hl_test_litedll.vcproj
deleted file mode 100644
index 03425ff..0000000
--- a/windows/hl/test/hl_test_litedll/hl_test_litedll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_litedll"
- ProjectGUID="{98AE818A-E887-414B-985F-85F8411916C9}"
- RootNamespace="hl_test_litedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_litedll/Release/hl_test_litedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_litedll/Release/hl_test_litedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_litedll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_litedll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_litedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_litedll/Release/hl_test_litedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_litedll/Release/hl_test_litedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_litedll/Release/hl_test_litedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_litedll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_litedll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_litedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_litedll/Release/hl_test_litedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_litedll/Debug/hl_test_litedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_litedll/Debug/hl_test_litedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_litedll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_litedll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_litedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_litedll/Debug/hl_test_litedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_litedll/Debug/hl_test_litedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_litedll/Debug/hl_test_litedll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_litedll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_litedll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_litedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_litedll/Debug/hl_test_litedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\test\test_lite.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_packet/hl_test_packet.vcproj b/windows/hl/test/hl_test_packet/hl_test_packet.vcproj
deleted file mode 100644
index b1103f0..0000000
--- a/windows/hl/test/hl_test_packet/hl_test_packet.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_packet"
- ProjectGUID="{5CC7FFCE-2612-41B6-AF83-C1B61F67949B}"
- RootNamespace="hl_test_packet"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_packet/Release/hl_test_packet.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packet/Release/hl_test_packet.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packet/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_packet/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packet/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packet/Release/hl_test_packet.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_packet/Release/hl_test_packet.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packet/Release/hl_test_packet.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packet/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_packet/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packet/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packet/Release/hl_test_packet.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_packet/Debug/hl_test_packet.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packet/Debug/hl_test_packet.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packet/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_packet/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packet/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packet/Debug/hl_test_packet.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_packet/Debug/hl_test_packet.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packet/Debug/hl_test_packet.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packet/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_packet/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packet/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packet/Debug/hl_test_packet.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\test\test_packet.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_packetdll/hl_test_packetdll.vcproj b/windows/hl/test/hl_test_packetdll/hl_test_packetdll.vcproj
deleted file mode 100644
index 9da2096..0000000
--- a/windows/hl/test/hl_test_packetdll/hl_test_packetdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_packetdll"
- ProjectGUID="{E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}"
- RootNamespace="hl_test_packetdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_packetdll/Release/hl_test_packetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packetdll/Release/hl_test_packetdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packetdll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_packetdll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packetdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packetdll/Release/hl_test_packetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_packetdll/Release/hl_test_packetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packetdll/Release/hl_test_packetdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packetdll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_packetdll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packetdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packetdll/Release/hl_test_packetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_packetdll/Debug/hl_test_packetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packetdll/Debug/hl_test_packetdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packetdll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_packetdll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packetdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packetdll/Debug/hl_test_packetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_packetdll/Debug/hl_test_packetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_packetdll/Debug/hl_test_packetdll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_packetdll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_packetdll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_packetdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_packetdll/Debug/hl_test_packetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\test\test_packet.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_table/hl_test_table.vcproj b/windows/hl/test/hl_test_table/hl_test_table.vcproj
deleted file mode 100644
index 342d13f..0000000
--- a/windows/hl/test/hl_test_table/hl_test_table.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_table"
- ProjectGUID="{43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}"
- RootNamespace="hl_test_table"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_table/Release/hl_test_table.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_table/Release/hl_test_table.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_table/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_table/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_table/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_table/Release/hl_test_table.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_table/Release/hl_test_table.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_table/Release/hl_test_table.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_table/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_table/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_table/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_table/Release/hl_test_table.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_table/Debug/hl_test_table.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_table/Debug/hl_test_table.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_table/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_table/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_table/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_table/Debug/hl_test_table.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_table/Debug/hl_test_table.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\hl\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_table/Debug/hl_test_table.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_table/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_table/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_table/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_table/Debug/hl_test_table.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\hl\test\test_table.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/test/hl_test_tabledll/hl_test_tabledll.vcproj b/windows/hl/test/hl_test_tabledll/hl_test_tabledll.vcproj
deleted file mode 100644
index 6a4cf58..0000000
--- a/windows/hl/test/hl_test_tabledll/hl_test_tabledll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hl_test_tabledll"
- ProjectGUID="{D1AADCA9-FB5A-4F44-8E11-8232941E2C33}"
- RootNamespace="hl_test_tabledll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_tabledll/Debug/hl_test_tabledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_tabledll/Debug/hl_test_tabledll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_tabledll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_tabledll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_tabledll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_tabledll/Debug/hl_test_tabledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_tabledll/Debug/hl_test_tabledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_tabledll/Debug/hl_test_tabledll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_tabledll/Debug/"
- ObjectFile=".\../../../../hl/test/hl_test_tabledll/Debug/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_tabledll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_tabledll/Debug/hl_test_tabledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../hl/test/hl_test_tabledll/Release/hl_test_tabledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_tabledll/Release/hl_test_tabledll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_tabledll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_tabledll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_tabledll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_tabledll/Release/hl_test_tabledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../hl/test/hl_test_tabledll/Release/hl_test_tabledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\hl\src,..\..\..\..\src,..\..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../hl/test/hl_test_tabledll/Release/hl_test_tabledll.pch"
- AssemblerListingLocation=".\../../../../hl/test/hl_test_tabledll/Release/"
- ObjectFile=".\../../../../hl/test/hl_test_tabledll/Release/"
- ProgramDataBaseFileName=".\../../../../hl/test/hl_test_tabledll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../hl/test/hl_test_tabledll/Release/hl_test_tabledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\test\test_table.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/tools/gif2h5/h52giftest.bat b/windows/hl/tools/gif2h5/h52giftest.bat
deleted file mode 100644
index 742743b..0000000
--- a/windows/hl/tools/gif2h5/h52giftest.bat
+++ /dev/null
@@ -1,89 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem HDF Utilities Test script
-rem
-rem Created: Scott Wegner, 4/5/07
-rem Modified: Scott Wegner, 8/22/07
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem h52gif name
-set h52gif=h52gif%2
-rem The path to the h52gif binary
-set h52gif_bin=%CD%\..\gifconv%2\%h52gif%\%1\%h52gif%
-rem gif2h5 name
-set gif2h5=gif2h5%2
-rem The path to the gif2h5 binary
-set gif2h5_bin=%CD%\..\gifconv%2\%gif2h5%\%1\%gif2h5%
-
-set testfile1=%CD%\testfiles\h52giftst.h5
-set testfile2=%CD%\testfiles\image1.gif
-
-rem initialze errors variable
-set errors=0
-
-goto main
-
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=%test_msg%
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-
-:tooltest1
- %h52gif_bin% %*
-
- if %errorlevel% neq 0 (
- call :testing *FAILED* %h52gif_bin% %*
- set /a errors=!errors!+1
- ) else (
- call :testing PASSED %h52gif_bin% %*
- )
-
- exit /b
-
-
-:tooltest2
- %gif2h5_bin% %*
-
- if %errorlevel% neq 0 (
- call :testing *FAILED* %gif2h5_bin% %*
- set /a errors=!errors!+1
- ) else (
- call :testing PASSED %gif2h5_bin% %*
- )
-
- exit /b
-
-
-:main
- call :tooltest1 %testfile1% image1.gif -i image
- call :tooltest2 %testfile2% image1.h5
-
- popd
- endlocal & exit /b %errors%
- \ No newline at end of file
diff --git a/windows/hl/tools/gifconv/gif2h5.vcproj b/windows/hl/tools/gifconv/gif2h5.vcproj
deleted file mode 100644
index 501e88d..0000000
--- a/windows/hl/tools/gifconv/gif2h5.vcproj
+++ /dev/null
@@ -1,419 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="gif2h5"
- ProjectGUID="{7C30B2A4-A24D-4796-9754-CABBDB46D0F8}"
- RootNamespace="gif2h5"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/gif2h5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/gif2h5.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/gif2h5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/gif2h5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/gif2h5.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Debug/gif2h5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/gif2h5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/gif2h5.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/gif2h5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/gif2h5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/gif2h5.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\gif2h5\Release/gif2h5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\decompress.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\gif2hdf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\gif2mem.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\gifread.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\writehdf.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/tools/gifconv/h52gif.vcproj b/windows/hl/tools/gifconv/h52gif.vcproj
deleted file mode 100644
index 5ca9eed..0000000
--- a/windows/hl/tools/gifconv/h52gif.vcproj
+++ /dev/null
@@ -1,407 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h52gif"
- ProjectGUID="{AA7A40A2-A837-4557-AB3D-D64980F6F8E4}"
- RootNamespace="h52gif"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/h52gif.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/h52gif.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/h52gif.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/h52gif.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/h52gif.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Release/h52gif.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\h52gif\Debug/h52gif.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/h52gif.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Debug/h52gif.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconv\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconv\h52gif\Debug/h52gif.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/h52gif.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconv\h52gif\debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconv\h52gif\Debug/h52gif.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\hdf2gif.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\hdfgifwr.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/tools/gifconvdll/gif2h5dll.vcproj b/windows/hl/tools/gifconvdll/gif2h5dll.vcproj
deleted file mode 100644
index 6075f68..0000000
--- a/windows/hl/tools/gifconvdll/gif2h5dll.vcproj
+++ /dev/null
@@ -1,411 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="gif2h5dll"
- ProjectGUID="{C325E167-DBC3-4611-8AC8-2A118432E35B}"
- RootNamespace="gif2h5dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/gif2h5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/gif2h5dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/gif2h5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/gif2h5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/gif2h5dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Release/gif2h5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/gif2h5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/gif2h5dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/gif2h5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/gif2h5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/gif2h5dll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\gif2h5dll\Debug/gif2h5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\decompress.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\gif2hdf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\gif2mem.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\gifread.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\writehdf.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/hl/tools/gifconvdll/h52gifdll.vcproj b/windows/hl/tools/gifconvdll/h52gifdll.vcproj
deleted file mode 100644
index e269244..0000000
--- a/windows/hl/tools/gifconvdll/h52gifdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h52gifdll"
- ProjectGUID="{834DD32C-D078-441F-95F4-9CDE108B60AE}"
- RootNamespace="h52gifdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/h52gifdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/h52gifdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/h52gifdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/h52gifdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/h52gifdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Release/h52gifdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/h52gifdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/h52gifdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/h52gifdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\hl\tools\gifconvdll\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/h52gifdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib,..\..\..\..\hl\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/h52gifdll.pch"
- AssemblerListingLocation=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/"
- ObjectFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\..\..\..\..\hl\tools\gifconvdll\h52gifdll\Debug/h52gifdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\hdf2gif.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\hl\tools\gif2h5\hdfgifwr.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/install_dll.BAT b/windows/install_dll.BAT
deleted file mode 100755
index 089d169..0000000
--- a/windows/install_dll.BAT
+++ /dev/null
@@ -1,81 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from helphdfgroup.org.
-rem
-rem
-rem File name: install_dll.bat
-rem This batch file is used to copy ALL HDF5 DLLs into system folder.
-rem By Xuan Bai
-rem Created: Aug. 12, 2004
-rem Last Scott Wegner, 9/10/07
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set install_dir=%systemroot%\system
-
-goto :main
-
-rem This function actally copies the DLL over, and prints a status message
-rem Expected parameters:
-rem %1 - name of DLL to copy
-:copy_dll
-
- if exist %1 (
- echo.Installing %~nx1
- copy /y %1 %install_dir% > nul
- )
-
- exit /b
-
-
-rem Add the DLLS to be copied here.
-:main
-
- rem HDF5 Library
- call :copy_dll proj\hdf5dll\debug\hdf5ddll.dll
- call :copy_dll proj\hdf5dll\release\hdf5dll.dll
- call :copy_dll test\libtestdll\debug\libtestddll.dll
- call :copy_dll test\libtestdll\release\libtestdll.dll
-
- rem C++
- call :copy_dll proj\hdf5_cppdll\debug\hdf5_cppddll.dll
- call :copy_dll proj\hdf5_cppdll\release\hdf5_cppdll.dll
-
- rem Fortran
- call :copy_dll proj\hdf5_f90cstubdll\debug\hdf5_f90cstubddll.dll
- call :copy_dll proj\hdf5_f90cstubdll\release\hdf5_f90cstubdll.dll
- call :copy_dll proj\hdf5_fortrandll\debug\hdf5_fortranddll.dll
- call :copy_dll proj\hdf5_fortrandll\release\hdf5_fortrandll.dll
- call :copy_dll fortran\test\libtest_cstubdll\debug\libtest_cstubddll.dll
- call :copy_dll fortran\test\libtest_cstubdll\release\libtest_cstubdll.dll
- call :copy_dll fortran\test\libtest_fortrandll\debug\libtest_fortranddll.dll
- call :copy_dll fortran\test\libtest_fortrandll\release\libtest_fortrandll.dll
-
- rem HL
- call :copy_dll proj\hdf5_hldll\Debug\hdf5_hlddll.dll
- call :copy_dll proj\hdf5_hldll\Release\hdf5_hldll.dll
-
- rem HL C++
- call :copy_dll proj\hdf5_hl_cppdll\Release\hdf5_hl_cppdll.dll
- call :copy_dll proj\hdf5_hl_cppdll\Debug\hdf5_hl_cppddll.dll
-
- rem HL Fortran
- call :copy_dll proj\hdf5_hl_fortrandll\Debug\hdf5_hl_fortranddll.dll
- call :copy_dll proj\hdf5_hl_f90cstubdll\Debug\hdf5_hl_f90cstubddll.dll
- call :copy_dll proj\hdf5_hl_fortrandll\Release\hdf5_hl_fortrandll.dll
- call :copy_dll proj\hdf5_hl_f90cstubdll\Release\hdf5_hl_f90cstubdll.dll
-
- popd
- endlocal & exit /b
-
diff --git a/windows/install_hlcexamples.BAT b/windows/install_hlcexamples.BAT
deleted file mode 100755
index 043b7ee..0000000
--- a/windows/install_hlcexamples.BAT
+++ /dev/null
@@ -1,93 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-
-
-rem This batch file is used to install HDF5 High Level C
-rem Examples' executable files.
-rem Written by : Fang GUO
-rem Created on : 07/25/2005
-rem Last Modified: 2/18/2008
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set exdir=hl\examples
-set nerrors=0
-goto main
-
-rem Simply create the needed directories
-:makedirs
- mkdir %exdir%\HLCexamplesRELEASE
- mkdir %exdir%\HLCexamplesRELEASEDLL
- exit /b
-
-rem This function actally copies the file over, first making sure it exists. If not, we increment nerrors
-rem and print an error message
-rem Expected parameters:
-rem %1 - name of file to copy
-rem %2 - destination to copy to
-:safe_copy
-
- if exist %exdir%\%1 (
- copy /y %exdir%\%1 %exdir%\%2 > nul
- ) else (
- echo.Warning: Cannot find example file: %exdir%\%1
- set /a nerrors=%nerrors%+1
- )
-
- exit /b %nerrors%
-
-
-:main
- if not exist %exdir% (
- echo.Error: Examples directory doesn't exist: %CD%\%exdir%
- set /a nerrors=!nerrors!+1
- goto :end
- )
-
- call :makedirs
-
- rem copy the files
- for %%a in (RELEASE) do (
- for %%b in (DLL static) do (
- set ver=%%b
- set ver=!ver:static=!
-
- call :safe_copy ex_ds1!ver!\%%a\ex_ds1!ver!.exe HLCexamples%%a!ver!
-
- for /l %%c in (1,1,2) do (
- call :safe_copy ex_image%%c!ver!\%%a\ex_image%%c!ver!.exe HLCexamples%%a!ver!
- )
-
- for /l %%c in (1,1,3) do (
- call :safe_copy ex_lite%%c!ver!\%%a\ex_lite%%c!ver!.exe HLCexamples%%a!ver!
- )
-
- for /l %%c in (1,1,9) do (
- call :safe_copy ex_table0%%c!ver!\%%a\ex_table0%%c!ver!.exe HLCexamples%%a!ver!
- )
-
- for /l %%c in (10,1,12) do (
- call :safe_copy ex_table%%c!ver!\%%a\ex_table%%c!ver!.exe HLCexamples%%a!ver!
- )
-
- for %%c in (FL VL) do (
- call :safe_copy ptExample%%c!ver!\%%a\ptExample%%c!ver!.exe HLCexamples%%a!ver!
- )
- )
- )
-
-:end
-popd
-endlocal & exit /b %nerrors% \ No newline at end of file
diff --git a/windows/install_hlf90examples.BAT b/windows/install_hlf90examples.BAT
deleted file mode 100644
index 779acea..0000000
--- a/windows/install_hlf90examples.BAT
+++ /dev/null
@@ -1,34 +0,0 @@
-@REM Copyright by The HDF Group.
-@REM Copyright by the Board of Trustees of the University of Illinois.
-@REM All rights reserved.
-@REM
-@REM This file is part of HDF5. The full HDF5 copyright notice, including
-@REM terms governing use, modification, and redistribution, is contained in
-@REM the files COPYING and Copyright.html. COPYING can be found at the root
-@REM of the source code distribution tree; Copyright.html can be found at the
-@REM root level of an installed copy of the electronic HDF5 document set and
-@REM is linked from the top-level documents page. It can also be found at
-@REM http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-@REM access to either file, you may request a copy from help@hdfgroup.org.
-
-
-@ECHO OFF
-@:: This batch file is used to install HDF5 High Level C
-@:: Examples' executable files.
-@:: Written by : Fang GUO
-@:: Created on : 12/20/2005
-@:: Last Modified:
-
-cd hl/fortran/examples
-
-mkdir HLf90examplesRELEASE
-mkdir HLf90examplesRELEASEDLL
-
-cd ex_lite
-copy release\ex_lite.exe ..\HLf90examplesRELEASE\
-cd ..
-
-cd ex_litedll
-copy release\ex_litedll.exe ..\HLf90examplesRELEASEDLL\
-cd ..
-
diff --git a/windows/installhdf5lib.bat b/windows/installhdf5lib.bat
deleted file mode 100755
index 5c81f33..0000000
--- a/windows/installhdf5lib.bat
+++ /dev/null
@@ -1,334 +0,0 @@
-@echo OFF
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-
-
-rem This batch file is used to install HDF5 libraries and tools
-rem Last Updated: 3/3/08
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set install_dir=%systemroot%\system
-
-goto main
-
-rem Create the directory structure that we'll need to install
-:create_directories
-
- for %%a in (debug release) do (
- for %%b in (bin bindll dll lib include mods modsdll) do (
- if not exist hdf5lib\%%a\%%b (
- mkdir hdf5lib\%%a\%%b
- )
- )
- )
-
- exit /b
-
-rem This function actally copies the file over, first making sure it exists. If not, we increment nerrors
-rem Expected parameters:
-rem %1 - name of file to copy
-rem %2 - destination to copy to
-:safe_copy
-
- if exist %1 (
- copy /y %1 %2 > nul
- ) else (
- set /a nerrors=%nerrors%+1
- )
-
- exit /b
-
-
-rem Only delete a file if it actually exists. Return the status of delete if it was called
-rem Expected paramters:
-rem %1 - name of file to delete
-:safe_delete
- if exist %1 (
- del /f %1 > nul
- )
-
- exit /b
-
-
-rem Install C Libraries and Tools
-:install_c
- set nerrors=0
-
- rem ===DEBUG===
- rem include
- call :safe_copy src\*.h hdf5lib\debug\include
- call :safe_delete hdf5lib\debug\include\*private.h
- rem lib
- call :safe_copy proj\hdf5\debug\hdf5d.lib hdf5lib\debug\lib
- rem dll
- call :safe_copy proj\hdf5dll\debug\hdf5ddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5dll\debug\hdf5ddll.dll hdf5lib\debug\dll
- rem bin
- call :safe_copy hl\tools\gifconv\gif2h5\debug\gif2h5.exe hdf5lib\debug\bin
- call :safe_copy hl\tools\gifconv\h52gif\debug\h52gif.exe hdf5lib\debug\bin
- call :safe_copy tools\h5copy\debug\h5copy.exe hdf5lib\debug\bin
- call :safe_copy tools\h5debug\debug\h5debug.exe hdf5lib\debug\bin
- call :safe_copy tools\h5diff\debug\h5diff.exe hdf5lib\debug\bin
- call :safe_copy tools\h5dump\debug\h5dump.exe hdf5lib\debug\bin
- call :safe_copy tools\h5import\debug\h5import.exe hdf5lib\debug\bin
- call :safe_copy tools\h5jam\debug\h5jam.exe hdf5lib\debug\bin
- call :safe_copy tools\h5ls\debug\h5ls.exe hdf5lib\debug\bin
- call :safe_copy tools\h5mkgrp\debug\h5mkgrp.exe hdf5lib\debug\bin
- call :safe_copy tools\h5repack\debug\h5repack.exe hdf5lib\debug\bin
- call :safe_copy tools\h5repart\debug\h5repart.exe hdf5lib\debug\bin
- call :safe_copy tools\h5stat\debug\h5stat.exe hdf5lib\debug\bin
- call :safe_copy tools\h5unjam\debug\h5unjam.exe hdf5lib\debug\bin
- rem bindll
- call :safe_copy hl\tools\gifconvdll\h52gifdll\debug\h52gifdll.exe hdf5lib\debug\bindll
- call :safe_copy hl\tools\gifconvdll\gif2h5dll\debug\gif2h5dll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5debugdll\debug\h5debugdll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5diffdll\debug\h5diffdll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5dumpdll\debug\h5dumpdll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5importdll\debug\h5importdll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5lsdll\debug\h5lsdll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5repackdll\debug\h5repackdll.exe hdf5lib\debug\bindll
- call :safe_copy tools\h5repartdll\debug\h5repartdll.exe hdf5lib\debug\bindll
-
- rem ===RELEASE===
- rem include
- call :safe_copy src\*.h hdf5lib\release\include
- call :safe_delete hdf5lib\release\include\*private.h
- rem lib
- call :safe_copy proj\hdf5\release\hdf5.lib hdf5lib\release\lib
- rem dll
- call :safe_copy proj\hdf5dll\release\hdf5dll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5dll\release\hdf5dll.dll hdf5lib\release\dll
- rem bin
- call :safe_copy hl\tools\gifconv\gif2h5\release\gif2h5.exe hdf5lib\release\bin
- call :safe_copy hl\tools\gifconv\h52gif\release\h52gif.exe hdf5lib\release\bin
- call :safe_copy tools\h5copy\release\h5copy.exe hdf5lib\release\bin
- call :safe_copy tools\h5debug\release\h5debug.exe hdf5lib\release\bin
- call :safe_copy tools\h5diff\release\h5diff.exe hdf5lib\release\bin
- call :safe_copy tools\h5dump\release\h5dump.exe hdf5lib\release\bin
- call :safe_copy tools\h5import\release\h5import.exe hdf5lib\release\bin
- call :safe_copy tools\h5jam\release\h5jam.exe hdf5lib\release\bin
- call :safe_copy tools\h5ls\release\h5ls.exe hdf5lib\release\bin
- call :safe_copy tools\h5mkgrp\release\h5mkgrp.exe hdf5lib\release\bin
- call :safe_copy tools\h5repack\release\h5repack.exe hdf5lib\release\bin
- call :safe_copy tools\h5repart\release\h5repart.exe hdf5lib\release\bin
- call :safe_copy tools\h5stat\release\h5stat.exe hdf5lib\release\bin
- call :safe_copy tools\h5unjam\release\h5unjam.exe hdf5lib\release\bin
- rem bindll
- call :safe_copy hl\tools\gifconvdll\h52gifdll\release\h52gifdll.exe hdf5lib\release\bindll
- call :safe_copy hl\tools\gifconvdll\gif2h5dll\release\gif2h5dll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5debugdll\release\h5debugdll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5diffdll\release\h5diffdll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5dumpdll\release\h5dumpdll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5importdll\release\h5importdll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5lsdll\release\h5lsdll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5repackdll\release\h5repackdll.exe hdf5lib\release\bindll
- call :safe_copy tools\h5repartdll\release\h5repartdll.exe hdf5lib\release\bindll
-
- exit /b %nerrors%
-
-
-rem Install HL Libraries and Tools
-:install_hl
- set nerrors=0
-
- rem ===DEBUG===
- rem include
- call :safe_copy hl\src\*.h hdf5lib\debug\include
- rem lib
- call :safe_copy proj\hdf5_hl\debug\hdf5_hld.lib hdf5lib\debug\lib
- rem dll
- call :safe_copy proj\hdf5_hldll\debug\hdf5_hlddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_hldll\debug\hdf5_hlddll.dll hdf5lib\debug\dll
-
- rem ===RELEASE===
- rem include
- call :safe_copy hl\src\*.h hdf5lib\release\include
- rem lib
- call :safe_copy proj\hdf5_hl\release\hdf5_hl.lib hdf5lib\release\lib
- rem dll
- call :safe_copy proj\hdf5_hldll\release\hdf5_hldll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_hldll\release\hdf5_hldll.dll hdf5lib\release\dll
-
- exit /b %nerrors%
-
-
-rem Install C++ Libraries and Tools
-:install_cpp
- set nerrors=0
-
- REM ===DEBUG===
- rem include
- call :safe_copy "c++\src\*.h" hdf5lib\debug\include
- rem lib
- call :safe_copy proj\hdf5_cpp\debug\hdf5_cppd.lib hdf5lib\debug\lib
- rem dll
- call :safe_copy proj\hdf5_cppdll\debug\hdf5_cppddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_cppdll\debug\hdf5_cppddll.dll hdf5lib\debug\dll
-
- rem ===RELEASE===
- rem include
- call :safe_copy "c++\src\*.h" hdf5lib\release\include
- rem lib
- call :safe_copy proj\hdf5_cpp\release\hdf5_cpp.lib hdf5lib\release\lib
- rem dll
- call :safe_copy proj\hdf5_cppdll\release\hdf5_cppdll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_cppdll\release\hdf5_cppdll.dll hdf5lib\release\dll
-
- exit /b %nerrors%
-
-
-rem Install HL C++ Libraries and Tools
-:install_hlcpp
- set nerrors=0
-
- rem ===DEBUG===
- rem include
- call :safe_copy "hl\c++\src\*.h" hdf5lib\debug\include
- rem lib
- call :safe_copy proj\hdf5_hl_cpp\debug\hdf5_hl_cppd.lib hdf5lib\debug\lib
- rem dll
- call :safe_copy proj\hdf5_hl_cppdll\debug\hdf5_hl_cppddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_hl_cppdll\debug\hdf5_hl_cppddll.dll hdf5lib\debug\dll
-
- rem ===RELEASE===
- rem include
- call :safe_copy "hl\c++\src\*.h" hdf5lib\release\include
- rem lib
- call :safe_copy proj\hdf5_hl_cpp\release\hdf5_hl_cpp.lib hdf5lib\release\lib
- rem dll
- call :safe_copy proj\hdf5_hl_cppdll\release\hdf5_hl_cppdll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_hl_cppdll\release\hdf5_hl_cppdll.dll hdf5lib\release\dll
-
- exit /b %nerrors%
-
-
-rem Install Fortran Libraries and Tools
-:install_fortran
- set nerrors=0
-
- rem ===DEBUG===
- rem include
- call :safe_copy proj\hdf5_fortran\debug\*.mod hdf5lib\debug\mods
- rem lib
- call :safe_copy proj\hdf5_fortran\debug\hdf5_fortrand.lib hdf5lib\debug\lib
- call :safe_copy proj\hdf5_f90cstub\debug\hdf5_f90cstubd.lib hdf5lib\debug\lib
- rem modsdll
- call :safe_copy proj\hdf5_fortrandll\debug\*.mod hdf5lib\debug\modsdll
- rem dll
- call :safe_copy proj\hdf5_fortrandll\debug\hdf5_fortranddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_fortrandll\debug\hdf5_fortranddll.dll hdf5lib\debug\dll
- call :safe_copy proj\hdf5_f90cstubdll\debug\hdf5_f90cstubddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_f90cstubdll\debug\hdf5_f90cstubddll.dll hdf5lib\debug\dll
-
- rem ===RELEASE===
- rem include
- call :safe_copy proj\hdf5_fortran\release\*.mod hdf5lib\release\mods
- rem lib
- call :safe_copy proj\hdf5_fortran\release\hdf5_fortran.lib hdf5lib\release\lib
- call :safe_copy proj\hdf5_f90cstub\release\hdf5_f90cstub.lib hdf5lib\release\lib
- rem modsdll
- call :safe_copy proj\hdf5_fortrandll\release\*.mod hdf5lib\release\modsdll
- rem dll
- call :safe_copy proj\hdf5_fortrandll\release\hdf5_fortrandll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_fortrandll\release\hdf5_fortrandll.dll hdf5lib\release\dll
- call :safe_copy proj\hdf5_f90cstubdll\release\hdf5_f90cstubdll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_f90cstubdll\release\hdf5_f90cstubdll.dll hdf5lib\release\dll
-
- exit /b %nerrors%
-
-
-rem Install HL Fortran Libraries and Tools
-:install_hlfortran
- set nerrors=0
-
- rem ===DEBUG===
- rem include
- call :safe_copy proj\hdf5_hl_fortran\debug\*.mod hdf5lib\debug\mods
- rem lib
- call :safe_copy proj\hdf5_hl_fortran\debug\hdf5_hl_fortrand.lib hdf5lib\debug\lib
- call :safe_copy proj\hdf5_hl_f90cstub\debug\hdf5_hl_f90cstubd.lib hdf5lib\debug\lib
- rem modsdll
- call :safe_copy proj\hdf5_hl_fortrandll\debug\*.mod hdf5lib\debug\modsdll
- rem dll
- call :safe_copy proj\hdf5_hl_fortrandll\debug\hdf5_hl_fortranddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_hl_fortrandll\debug\hdf5_hl_fortranddll.dll hdf5lib\debug\dll
- call :safe_copy proj\hdf5_hl_f90cstubdll\debug\hdf5_hl_f90cstubddll.lib hdf5lib\debug\dll
- call :safe_copy proj\hdf5_hl_f90cstubdll\debug\hdf5_hl_f90cstubddll.dll hdf5lib\debug\dll
-
- rem ===RELEASE===
- rem include
- call :safe_copy proj\hdf5_hl_fortran\release\*.mod hdf5lib\release\mods
- rem lib
- call :safe_copy proj\hdf5_hl_fortran\release\hdf5_hl_fortran.lib hdf5lib\release\lib
- call :safe_copy proj\hdf5_hl_f90cstub\release\hdf5_hl_f90cstub.lib hdf5lib\release\lib
- rem modsdll
- call :safe_copy proj\hdf5_hl_fortrandll\release\*.mod hdf5lib\release\modsdll
- rem dll
- call :safe_copy proj\hdf5_hl_fortrandll\release\hdf5_hl_fortrandll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_hl_fortrandll\release\hdf5_hl_fortrandll.dll hdf5lib\release\dll
- call :safe_copy proj\hdf5_hl_f90cstubdll\release\hdf5_hl_f90cstubdll.lib hdf5lib\release\dll
- call :safe_copy proj\hdf5_hl_f90cstubdll\release\hdf5_hl_f90cstubdll.dll hdf5lib\release\dll
-
- exit /b %nerrors%
-
-
-:main
-
- call :create_directories
-
- call :install_c
- if %errorlevel% equ 0 (
- echo.C libraries and tools installed
- ) else (
- echo.C libraries and tools NOT installed
- )
-
- call :install_hl
- if %errorlevel% equ 0 (
- echo.High Level C libraries and tools installed
- ) else (
- echo.High Level C libraries and tools NOT installed
- )
-
- call :install_cpp
- if %errorlevel% equ 0 (
- echo.C++ libraries and tools installed
- ) else (
- echo.C++ libraries and tools NOT installed
- )
-
- call :install_hlcpp
- if %errorlevel% equ 0 (
- echo.High Level C++ libraries and tools installed
- ) else (
- echo.High Level C++ libraries and tools NOT installed
- )
-
- call :install_fortran
- if %errorlevel% equ 0 (
- echo.Fortran libraries and tools installed
- ) else (
- echo.Fortran libraries and tools NOT installed
- )
-
- call :install_hlfortran
- if %errorlevel% equ 0 (
- echo.High Level Fortran libraries and tools installed
- ) else (
- echo.High Level Fortran libraries and tools NOT installed
- )
-
- popd
- endlocal & exit /b 0
diff --git a/windows/misc/typegen/h5fort_type_defines/h5fort_type_defines.vfproj b/windows/misc/typegen/h5fort_type_defines/h5fort_type_defines.vfproj
deleted file mode 100644
index 22a4d18..0000000
--- a/windows/misc/typegen/h5fort_type_defines/h5fort_type_defines.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/H5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot;" Description="Generating H5fort_type_defines.h"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/H5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/H5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot;" Description="Generating H5fort_type_defines.h"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/H5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/H5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot;" Description="Generating H5fort_type_defines.h"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/H5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/H5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fort_type_defines.h&quot;" Description="Generating H5fort_type_defines.h"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/H5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\fortran\src\H5fortran_detect.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/misc/typegen/h5fortran_detect/h5fortran_detect.vfproj b/windows/misc/typegen/h5fortran_detect/h5fortran_detect.vfproj
deleted file mode 100644
index 624f155..0000000
--- a/windows/misc/typegen/h5fortran_detect/h5fortran_detect.vfproj
+++ /dev/null
@@ -1,48 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectCreator="Intel Fortran" Keyword="Console Application - Converted from CVF" Version="9.10" ProjectIdGuid="{4505FF13-2C16-4348-8989-BB10AF85FB95}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/h5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot;" Description="Generating H5fortran_detect.f90"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/h5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/h5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot;" Description="Generating H5fortran_detect.f90"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/h5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/h5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot;" Description="Generating H5fortran_detect.f90"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ProgramDatabaseFile="$(OUTDIR)/h5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" MustRebuild="true">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/h5fortran_detect.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool" CommandLine="if not exist &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5fortran_detect.f90&quot;" Description="Generating H5fortran_detect.f90"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ProgramDatabaseFile="$(OUTDIR)/h5fortran_detect.pdb" SubSystem="subSystemConsole" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\..\fortran\src\H5test_kind_SIZEOF.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/misc/typegen/h5libsettings/h5libsettings.vcproj b/windows/misc/typegen/h5libsettings/h5libsettings.vcproj
deleted file mode 100644
index 8a86079..0000000
--- a/windows/misc/typegen/h5libsettings/h5libsettings.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5libsettings"
- ProjectGUID="{50D207BC-2B27-4BD9-B5D4-FCF8358BE757}"
- RootNamespace="h5libsettings"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/h5libsettings.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Release/h5libsettings.pch"
- AssemblerListingLocation=".\Release/"
- ObjectFile=".\Release/"
- ProgramDataBaseFileName=".\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\Release/h5libsettings.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5lib_settings.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5lib_settings.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5lib_settings.c&quot;"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/h5libsettings.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Release/h5libsettings.pch"
- AssemblerListingLocation=".\Release/"
- ObjectFile=".\Release/"
- ProgramDataBaseFileName=".\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\Release/h5libsettings.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5lib_settings.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5lib_settings.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5lib_settings.c&quot;"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Debug/h5libsettings.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Debug/h5libsettings.pch"
- AssemblerListingLocation=".\Debug/"
- ObjectFile=".\Debug/"
- ProgramDataBaseFileName=".\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\Debug/h5libsettings.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5lib_settings.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5lib_settings.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5lib_settings.c&quot;"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/h5libsettings.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Debug/h5libsettings.pch"
- AssemblerListingLocation=".\Debug/"
- ObjectFile=".\Debug/"
- ProgramDataBaseFileName=".\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\Debug/h5libsettings.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5lib_settings.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5lib_settings.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5lib_settings.c&quot;"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\src\H5make_libsettings.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/misc/typegen/h5match_types/h5match_types.vcproj b/windows/misc/typegen/h5match_types/h5match_types.vcproj
deleted file mode 100644
index 1bad059..0000000
--- a/windows/misc/typegen/h5match_types/h5match_types.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="H5match_types"
- ProjectGUID="{B6430FB3-3BEF-48C3-84DD-98106C6F6113}"
- RootNamespace="H5match_types"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Debug/H5match_types.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../../fortran/src,../../../../src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Debug/H5match_types.pch"
- AssemblerListingLocation=".\Debug/"
- ObjectFile=".\Debug/"
- ProgramDataBaseFileName=".\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\Debug/H5match_types.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5f90i_gen.h and H5fortran_types.f90"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5f90i_gen.h&quot; (cd &quot;$(TargetDir)..\..&quot; &amp;&amp; &quot;$(TargetPath)&quot;)"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/H5match_types.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../../fortran/src,../../../../src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Debug/H5match_types.pch"
- AssemblerListingLocation=".\Debug/"
- ObjectFile=".\Debug/"
- ProgramDataBaseFileName=".\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\Debug/H5match_types.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5f90i_gen.h and H5fortran_types.f90"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5f90i_gen.h&quot; (cd &quot;$(TargetDir)..\..&quot; &amp;&amp; &quot;$(TargetPath)&quot;)"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/H5match_types.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../../fortran/src,../../../../src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Release/H5match_types.pch"
- AssemblerListingLocation=".\Release/"
- ObjectFile=".\Release/"
- ProgramDataBaseFileName=".\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\Release/H5match_types.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5f90i_gen.h and H5fortran_types.f90"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5f90i_gen.h&quot; (cd &quot;$(TargetDir)..\..&quot; &amp;&amp; &quot;$(TargetPath)&quot;)"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\fortran\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/H5match_types.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../../fortran/src,../../../../src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Release/H5match_types.pch"
- AssemblerListingLocation=".\Release/"
- ObjectFile=".\Release/"
- ProgramDataBaseFileName=".\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\Release/H5match_types.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5f90i_gen.h and H5fortran_types.f90"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5f90i_gen.h&quot; (cd &quot;$(TargetDir)..\..&quot; &amp;&amp; &quot;$(TargetPath)&quot;)"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\fortran\src\H5match_types.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/misc/typegen/h5tinit/h5tinit.vcproj b/windows/misc/typegen/h5tinit/h5tinit.vcproj
deleted file mode 100644
index 55cf356..0000000
--- a/windows/misc/typegen/h5tinit/h5tinit.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5tinit"
- ProjectGUID="{B123D196-2F43-4FEB-80B5-990F06DED319}"
- RootNamespace="h5tinit"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/h5tinit.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Release/h5tinit.pch"
- AssemblerListingLocation=".\Release/"
- ObjectFile=".\Release/"
- ProgramDataBaseFileName=".\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\Release/h5tinit.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5tinit.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5tinit.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5tinit.c&quot;"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/h5tinit.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Release/h5tinit.pch"
- AssemblerListingLocation=".\Release/"
- ObjectFile=".\Release/"
- ProgramDataBaseFileName=".\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\Release/h5tinit.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5tinit.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5tinit.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5tinit.c&quot;"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Debug/h5tinit.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Debug/h5tinit.pch"
- AssemblerListingLocation=".\Debug/"
- ObjectFile=".\Debug/"
- ProgramDataBaseFileName=".\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\Debug/h5tinit.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5tinit.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5tinit.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5tinit.c&quot;"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\src\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/h5tinit.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\Debug/h5tinit.pch"
- AssemblerListingLocation=".\Debug/"
- ObjectFile=".\Debug/"
- ProgramDataBaseFileName=".\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\Debug/h5tinit.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- Description="Generating H5tinit.c"
- CommandLine="if not exist &quot;$(TargetDir)..\..\H5tinit.c&quot; &quot;$(TargetPath)&quot; &gt; &quot;$(TargetDir)..\..\H5tinit.c&quot;"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\src\H5detect.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/perform/checkperformtests.bat b/windows/perform/checkperformtests.bat
deleted file mode 100644
index 228dbe5..0000000
--- a/windows/perform/checkperformtests.bat
+++ /dev/null
@@ -1,102 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 performance tools
-rem
-rem Created: Scott Wegner, 9/10/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_PERFTEST_", as we use these for our
-rem tests. Also clear "HDF5_PERFTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_perftest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_perftest_') do set %%a=
-set hdf5_perftest_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the perftest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_perftest_tests=%hdf5_perftest_tests% %1
- set hdf5_perftest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_perftest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_perftest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test iopipe%2 ..\test\iopipe%2\%1
- call :add_test chunk%2 ..\test\chunk%2\%1
- call :add_test overhead%2 ..\test\overhead%2\%1
- call :add_test perf_serial%2 ..\perform\perf_serial%2\%1
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All performance tests passed.
- ) else (
- echo.** FAILED performance tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
- \ No newline at end of file
diff --git a/windows/perform/perf_serial/perf_serial.vcproj b/windows/perform/perf_serial/perf_serial.vcproj
deleted file mode 100644
index c9302a7..0000000
--- a/windows/perform/perf_serial/perf_serial.vcproj
+++ /dev/null
@@ -1,405 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="perf_serial"
- ProjectGUID="{B8923279-9E37-43D2-8ECF-5225BFB3356A}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../perform/perf_serial/Debug/perf_serial.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serial/Debug/perf_serial.pch"
- AssemblerListingLocation=".\../../../perform/perf_serial/Debug/"
- ObjectFile=".\../../../perform/perf_serial/Debug/"
- ProgramDataBaseFileName=".\../../../perform/perf_serial/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../perform/perf_serial/Debug/perf_serial.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../perform/perf_serial/Debug/perf_serial.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serial/Debug/perf_serial.pch"
- AssemblerListingLocation=".\../../../perform/perf_serial/Debug/"
- ObjectFile=".\../../../perform/perf_serial/Debug/"
- ProgramDataBaseFileName=".\../../../perform/perf_serial/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5d.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\debug,..\..\..\hdf5lib\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../perform/perf_serial/Debug/perf_serial.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../perform/perf_serial/Release/perf_serial.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serial/Release/perf_serial.pch"
- AssemblerListingLocation=".\../../../perform/perf_serial/Release/"
- ObjectFile=".\../../../perform/perf_serial/Release/"
- ProgramDataBaseFileName=".\../../../perform/perf_serial/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../perform/perf_serial/Release/perf_serial.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../perform/perf_serial/Release/perf_serial.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serial/Release/perf_serial.pch"
- AssemblerListingLocation=".\../../../perform/perf_serial/Release/"
- ObjectFile=".\../../../perform/perf_serial/Release/"
- ProgramDataBaseFileName=".\../../../perform/perf_serial/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5\release,..\..\..\hdf5lib\release\lib"
- ProgramDatabaseFile=".\../../../perform/perf_serial/Release/perf_serial.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\sio_engine.c"
- >
- </File>
- <File
- RelativePath="..\..\..\perform\sio_perf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\perform\sio_timer.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/perform/perf_serialdll/perf_serialdll.vcproj b/windows/perform/perf_serialdll/perf_serialdll.vcproj
deleted file mode 100644
index a657ab7..0000000
--- a/windows/perform/perf_serialdll/perf_serialdll.vcproj
+++ /dev/null
@@ -1,406 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="perf_serialdll"
- ProjectGUID="{BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}"
- RootNamespace="perf_serialdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../perform/perf_serialdll/Debug/perf_serialdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serialdll/Debug/perf_serialdll.pch"
- AssemblerListingLocation=".\../../../perform/perf_serialdll/Debug/"
- ObjectFile=".\../../../perform/perf_serialdll/Debug/"
- ProgramDataBaseFileName=".\../../../perform/perf_serialdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5libdll\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../perform/perf_serialdll/Debug/perf_serialdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../perform/perf_serialdll/Debug/perf_serialdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serialdll/Debug/perf_serialdll.pch"
- AssemblerListingLocation=".\../../../perform/perf_serialdll/Debug/"
- ObjectFile=".\../../../perform/perf_serialdll/Debug/"
- ProgramDataBaseFileName=".\../../../perform/perf_serialdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5ddll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\debug,..\..\..\hdf5libdll\debug\lib"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../perform/perf_serialdll/Debug/perf_serialdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../perform/perf_serialdll/Release/perf_serialdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serialdll/Release/perf_serialdll.pch"
- AssemblerListingLocation=".\../../../perform/perf_serialdll/Release/"
- ObjectFile=".\../../../perform/perf_serialdll/Release/"
- ProgramDataBaseFileName=".\../../../perform/perf_serialdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5libdll\release\lib"
- ProgramDatabaseFile=".\../../../perform/perf_serialdll/Release/perf_serialdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\perform\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../perform/perf_serialdll/Release/perf_serialdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../perform/perf_serialdll/Release/perf_serialdll.pch"
- AssemblerListingLocation=".\../../../perform/perf_serialdll/Release/"
- ObjectFile=".\../../../perform/perf_serialdll/Release/"
- ProgramDataBaseFileName=".\../../../perform/perf_serialdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib hdf5dll.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories="..\..\..\proj\hdf5dll\release,..\..\..\hdf5libdll\release\lib"
- ProgramDatabaseFile=".\../../../perform/perf_serialdll/Release/perf_serialdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\sio_engine.c"
- >
- </File>
- <File
- RelativePath="..\..\..\perform\sio_perf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\perform\sio_timer.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/all/all.sln b/windows/proj/all/all.sln
deleted file mode 100755
index 1821f3c..0000000
--- a/windows/proj/all/all.sln
+++ /dev/null
@@ -1,2492 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "all", "all.vcproj", "{24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}"
- ProjectSection(ProjectDependencies) = postProject
- {8C6D9C00-44A9-432F-B695-F56439C1B288} = {8C6D9C00-44A9-432F-B695-F56439C1B288}
- {0A049202-6533-413E-89D6-5D6866AAE703} = {0A049202-6533-413E-89D6-5D6866AAE703}
- {6FFCE804-EF4A-468F-A174-561934C153A1} = {6FFCE804-EF4A-468F-A174-561934C153A1}
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A} = {4AC79406-D6E0-43B3-82B0-7A032FABB52A}
- {E1F98D07-4724-46CB-B327-5677C1C9266D} = {E1F98D07-4724-46CB-B327-5677C1C9266D}
- {364FF608-7969-4ED1-95B2-8592872F8264} = {364FF608-7969-4ED1-95B2-8592872F8264}
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {854F7E09-CEB5-44CD-B924-3FFAC7936323} = {854F7E09-CEB5-44CD-B924-3FFAC7936323}
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5} = {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD} = {89DA820B-7A3B-46FA-AE09-971A739BEEFD}
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4} = {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90} = {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}
- {B21CA611-6DAE-4051-8B4C-989E135711B1} = {B21CA611-6DAE-4051-8B4C-989E135711B1}
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F} = {9FCBE814-3818-4F1A-975D-05BAF6FF432F}
- {52E83C17-2B68-44B5-881D-4F6338FB14C7} = {52E83C17-2B68-44B5-881D-4F6338FB14C7}
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E} = {E3B24219-DEB9-4ECB-809C-AD98EE51974E}
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0} = {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6} = {D279901A-8E21-47D3-B7EA-A572EE12F2E6}
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07} = {411D221C-9FA1-417E-8A2B-DF746F4C7E07}
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4} = {794B7E1E-E6AD-456D-9F33-FCE317325EC4}
- {7D293021-0601-498B-91B8-C49580EFB08D} = {7D293021-0601-498B-91B8-C49580EFB08D}
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576} = {BE1A0022-708E-4CC2-B01C-26BD99AB6576}
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D} = {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}
- {C4811E26-A7DA-424D-8A44-F29DFD588533} = {C4811E26-A7DA-424D-8A44-F29DFD588533}
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5} = {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}
- {2248C52C-75DC-465B-A598-6E89CC93E00D} = {2248C52C-75DC-465B-A598-6E89CC93E00D}
- {834DD32C-D078-441F-95F4-9CDE108B60AE} = {834DD32C-D078-441F-95F4-9CDE108B60AE}
- {AF696934-5004-4C1D-90C3-B434E92AFB89} = {AF696934-5004-4C1D-90C3-B434E92AFB89}
- {3EDEB434-F59E-4C50-8884-F0BB29845619} = {3EDEB434-F59E-4C50-8884-F0BB29845619}
- {69952435-F01F-46A7-B907-A78EBC864ED7} = {69952435-F01F-46A7-B907-A78EBC864ED7}
- {D4395435-B3B0-4937-9AC5-89BD73C47303} = {D4395435-B3B0-4937-9AC5-89BD73C47303}
- {196F5935-2391-49A7-B6A2-410DF8149F0D} = {196F5935-2391-49A7-B6A2-410DF8149F0D}
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E} = {E5C9E235-E10F-4F46-A94F-A112CD8D867E}
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5} = {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7} = {0C5E3F36-3338-4B2C-A956-4D577B6119E7}
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF} = {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892} = {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}
- {80892339-F6CE-4E96-B61B-131095F2612D} = {80892339-F6CE-4E96-B61B-131095F2612D}
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A} = {9ADAE03A-2060-471E-A7B5-9D8F6995223A}
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF} = {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8} = {D8D53F43-41EE-486A-8DBD-956D8CD072E8}
- {CEA44545-33C8-4C63-9F8C-85BA48F45637} = {CEA44545-33C8-4C63-9F8C-85BA48F45637}
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827} = {03359B45-E43D-44B3-BDE5-8B14D9F0D827}
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987} = {F5109F4B-5869-40A7-BC6A-8130CA4BB987}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- {3C224452-C71A-4B3E-937A-891144D1941D} = {3C224452-C71A-4B3E-937A-891144D1941D}
- {37605955-FA00-41C9-9D39-D078CF270376} = {37605955-FA00-41C9-9D39-D078CF270376}
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75} = {57A5C559-F1BD-49F1-9B5E-13591D22FD75}
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F} = {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- {5A90FD64-6EED-45E1-A147-D9FE72788570} = {5A90FD64-6EED-45E1-A147-D9FE72788570}
- {68A52165-E0EF-4019-B658-1AC734649955} = {68A52165-E0EF-4019-B658-1AC734649955}
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB} = {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}
- {737F7A65-62E7-4707-B3DB-B9856131687D} = {737F7A65-62E7-4707-B3DB-B9856131687D}
- {6312B365-AA53-43AA-BD00-848C1323CA8B} = {6312B365-AA53-43AA-BD00-848C1323CA8B}
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823} = {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC} = {AE5D4766-9668-4EB5-B801-5DF8F53363FC}
- {C325E167-DBC3-4611-8AC8-2A118432E35B} = {C325E167-DBC3-4611-8AC8-2A118432E35B}
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03} = {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}
- {7E207F6A-DC28-4DEB-8454-7977092131DC} = {7E207F6A-DC28-4DEB-8454-7977092131DC}
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767} = {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0} = {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}
- {C2E6106F-1450-4F62-8D8E-17A93E986B26} = {C2E6106F-1450-4F62-8D8E-17A93E986B26}
- {0DA16B6F-0156-417A-9093-589D55BB066C} = {0DA16B6F-0156-417A-9093-589D55BB066C}
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1} = {D1518671-CB9D-471F-8BCE-A03DE67F26B1}
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD} = {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {8792D377-8105-4C67-87F1-115E48D0178F} = {8792D377-8105-4C67-87F1-115E48D0178F}
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50} = {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7} = {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B} = {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35} = {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}
- {EBF7C380-5F58-462D-993D-75B53F83FA81} = {EBF7C380-5F58-462D-993D-75B53F83FA81}
- {71A1C081-FF1C-452B-B938-95551D565302} = {71A1C081-FF1C-452B-B938-95551D565302}
- {7693B383-C2CB-43FD-A428-598F73D214F7} = {7693B383-C2CB-43FD-A428-598F73D214F7}
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B} = {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0} = {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}
- {A90ADD88-DA1B-4642-A97B-37DF89433858} = {A90ADD88-DA1B-4642-A97B-37DF89433858}
- {98AE818A-E887-414B-985F-85F8411916C9} = {98AE818A-E887-414B-985F-85F8411916C9}
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6} = {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF} = {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}
- {8708E58C-F990-4B6C-AD83-745CA9582E92} = {8708E58C-F990-4B6C-AD83-745CA9582E92}
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0} = {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169} = {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}
- {FD8B058E-F53A-4197-B75E-849904E5AA79} = {FD8B058E-F53A-4197-B75E-849904E5AA79}
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F} = {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}
- {EFA04391-B35B-44C0-AB27-1383D4C9E358} = {EFA04391-B35B-44C0-AB27-1383D4C9E358}
- {9A226D92-9326-4907-A462-25997D5C9427} = {9A226D92-9326-4907-A462-25997D5C9427}
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F} = {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}
- {34EEE194-B77E-453E-9C59-252C0421188A} = {34EEE194-B77E-453E-9C59-252C0421188A}
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466} = {0E0F449A-7998-4113-BDD2-A74E0B6D3466}
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE} = {D15E5D9B-A1A6-4935-889C-D880FD0068CE}
- {3E41969B-D69B-4235-B192-A94F7853D869} = {3E41969B-D69B-4235-B192-A94F7853D869}
- {4941199C-EB11-460D-8EF7-9F68293AE202} = {4941199C-EB11-460D-8EF7-9F68293AE202}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC} = {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D} = {8CE6FF9D-8A14-4A45-971A-18972109AC9D}
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4} = {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}
- {0C618DA2-4097-46B9-83D0-144AEB774568} = {0C618DA2-4097-46B9-83D0-144AEB774568}
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8} = {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33} = {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}
- {E02CDAAC-05F4-436B-B245-2A402FFA131F} = {E02CDAAC-05F4-436B-B245-2A402FFA131F}
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160} = {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30} = {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}
- {265C41B2-30D7-4FF8-A08C-B997363DA763} = {265C41B2-30D7-4FF8-A08C-B997363DA763}
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3} = {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3} = {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392} = {DBA493BD-3AF1-4616-8A80-F6FD41B70392}
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D} = {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0} = {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850} = {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A} = {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14} = {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B} = {9321B2C5-74B3-4743-9D87-B0FDCB47373B}
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05} = {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF} = {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7} = {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}
- {F4386ECB-D688-4C18-A091-673F1F8A96E7} = {F4386ECB-D688-4C18-A091-673F1F8A96E7}
- {E81413CC-046C-42B0-B862-0BB81AED2854} = {E81413CC-046C-42B0-B862-0BB81AED2854}
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B} = {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}
- {D10F67D0-8057-49C2-A62A-12D0C512288E} = {D10F67D0-8057-49C2-A62A-12D0C512288E}
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A} = {B36344D1-122C-4BC6-A292-CC82F74CBB0A}
- {165195D1-B742-4030-8B12-3FE94B829D45} = {165195D1-B742-4030-8B12-3FE94B829D45}
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851} = {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A} = {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91} = {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF} = {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700} = {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5} = {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}
- {55894CDC-C220-40FE-B403-D74EAC6EBACF} = {55894CDC-C220-40FE-B403-D74EAC6EBACF}
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2} = {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE} = {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8} = {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835} = {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}
- {E6A9BFE8-84DE-46C0-A372-72087598018E} = {E6A9BFE8-84DE-46C0-A372-72087598018E}
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098} = {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21} = {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8} = {1AB767EA-546C-4F72-BC1F-6AA0458512D8}
- {1B298EEC-0B47-4145-88AA-C6558E0BD993} = {1B298EEC-0B47-4145-88AA-C6558E0BD993}
- {685666ED-4640-47EE-AEA5-35B9602CA541} = {685666ED-4640-47EE-AEA5-35B9602CA541}
- {E8896FEE-8601-4AFC-91EA-6F9698574174} = {E8896FEE-8601-4AFC-91EA-6F9698574174}
- {4E8105F2-56D4-45D6-9017-706F804052E7} = {4E8105F2-56D4-45D6-9017-706F804052E7}
- {0CB176F2-1FA9-467A-986D-512FAD8144B0} = {0CB176F2-1FA9-467A-986D-512FAD8144B0}
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7} = {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27} = {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4} = {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4} = {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}
- {D1FD44F8-8263-4B29-985D-21CE26F45A76} = {D1FD44F8-8263-4B29-985D-21CE26F45A76}
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC} = {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0} = {34C0FDFA-81D6-4652-B841-894BD1A15FB0}
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33} = {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589} = {BA86B1FE-8CA7-4A96-9FD0-11941F885589}
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED} = {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF} = {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "big", "..\..\test\big\big.vcproj", "{009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "bigdll", "..\..\test\bigdll\bigdll.vcproj", "{8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "binread", "..\..\tools\testfiles\binread\binread.vcproj", "{BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "bittests", "..\..\test\bittests\bittests.vcproj", "{958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "bittestsdll", "..\..\test\bittestsdll\bittestsdll.vcproj", "{E5C9E235-E10F-4F46-A94F-A112CD8D867E}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "btree2", "..\..\test\btree2\btree2.vcproj", "{8CE6FF9D-8A14-4A45-971A-18972109AC9D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "btree2dll", "..\..\test\btree2dll\btree2dll.vcproj", "{34EEE194-B77E-453E-9C59-252C0421188A}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cache", "..\..\test\cache\cache.vcproj", "{4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cache_api", "..\..\test\cache_api\cache_api.vcproj", "{4F8B23C1-9832-4C3B-A836-2FBB53F628A0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cache_apidll", "..\..\test\cache_apidll\cache_apidll.vcproj", "{EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cachedll", "..\..\test\cachedll\cachedll.vcproj", "{D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunk", "..\..\test\chunk\chunk.vcproj", "{57A5C559-F1BD-49F1-9B5E-13591D22FD75}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunkdll", "..\..\test\chunkdll\chunkdll.vcproj", "{4941199C-EB11-460D-8EF7-9F68293AE202}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cmpd_dset", "..\..\test\cmpd_dset\cmpd_dset.vcproj", "{BA86B1FE-8CA7-4A96-9FD0-11941F885589}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cmpd_dsetdll", "..\..\test\cmpd_dsetdll\cmpd_dsetdll.vcproj", "{8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cross_read", "..\..\test\cross_read\cross_read.vcproj", "{68A52165-E0EF-4019-B658-1AC734649955}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cross_readdll", "..\..\test\cross_readdll\cross_readdll.vcproj", "{E1F98D07-4724-46CB-B327-5677C1C9266D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dangle", "..\..\test\dangle\dangle.vcproj", "{8C6D9C00-44A9-432F-B695-F56439C1B288}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dangledll", "..\..\test\dangledll\dangledll.vcproj", "{D15E5D9B-A1A6-4935-889C-D880FD0068CE}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dsets", "..\..\test\dsets\dsets.vcproj", "{A90ADD88-DA1B-4642-A97B-37DF89433858}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dsetsdll", "..\..\test\dsetsdll\dsetsdll.vcproj", "{1B298EEC-0B47-4145-88AA-C6558E0BD993}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dt_arith", "..\..\test\dt_arith\dt_arith.vcproj", "{AE5D4766-9668-4EB5-B801-5DF8F53363FC}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dt_arithdll", "..\..\test\dt_arithdll\dt_arithdll.vcproj", "{3E41969B-D69B-4235-B192-A94F7853D869}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtransform", "..\..\test\dtransform\dtransform.vcproj", "{F5109F4B-5869-40A7-BC6A-8130CA4BB987}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtransformdll", "..\..\test\dtransformdll\dtransformdll.vcproj", "{0E0F449A-7998-4113-BDD2-A74E0B6D3466}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtypes", "..\..\test\dtypes\dtypes.vcproj", "{4AC79406-D6E0-43B3-82B0-7A032FABB52A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtypesdll", "..\..\test\dtypesdll\dtypesdll.vcproj", "{AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "enum", "..\..\test\enum\enum.vcproj", "{FD8B058E-F53A-4197-B75E-849904E5AA79}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "enumdll", "..\..\test\enumdll\enumdll.vcproj", "{D8D53F43-41EE-486A-8DBD-956D8CD072E8}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "error_test", "..\..\test\error_test\error_test.vcproj", "{7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "error_testdll", "..\..\test\error_testdll\error_testdll.vcproj", "{55894CDC-C220-40FE-B403-D74EAC6EBACF}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "err_compat", "..\..\test\err_compat\err_compat.vcproj", "{265C41B2-30D7-4FF8-A08C-B997363DA763}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "err_compatdll", "..\..\test\err_compatdll\err_compatdll.vcproj", "{309CE6F8-4658-44CB-8E99-0B86DCA77EFC}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extend", "..\..\test\extend\extend.vcproj", "{8708E58C-F990-4B6C-AD83-745CA9582E92}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extenddll", "..\..\test\extenddll\extenddll.vcproj", "{76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "external", "..\..\test\external\external.vcproj", "{7D293021-0601-498B-91B8-C49580EFB08D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "externaldll", "..\..\test\externaldll\externaldll.vcproj", "{0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fheap", "..\..\test\fheap\fheap.vcproj", "{AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fheapdll", "..\..\test\fheapdll\fheapdll.vcproj", "{CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fillval", "..\..\test\fillval\fillval.vcproj", "{CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fillvaldll", "..\..\test\fillvaldll\fillvaldll.vcproj", "{0CB176F2-1FA9-467A-986D-512FAD8144B0}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush1", "..\..\test\flush1\flush1.vcproj", "{364FF608-7969-4ED1-95B2-8592872F8264}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush1dll", "..\..\test\flush1dll\flush1dll.vcproj", "{B36344D1-122C-4BC6-A292-CC82F74CBB0A}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush2", "..\..\test\flush2\flush2.vcproj", "{E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush2dll", "..\..\test\flush2dll\flush2dll.vcproj", "{F4386ECB-D688-4C18-A091-673F1F8A96E7}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "getname", "..\..\test\getname\getname.vcproj", "{B21CA611-6DAE-4051-8B4C-989E135711B1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "getnamedll", "..\..\test\getnamedll\getnamedll.vcproj", "{80892339-F6CE-4E96-B61B-131095F2612D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "getub", "..\..\test\getub\getub.vcproj", "{2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gheap", "..\..\test\gheap\gheap.vcproj", "{9FCBE814-3818-4F1A-975D-05BAF6FF432F}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gheapdll", "..\..\test\gheapdll\gheapdll.vcproj", "{0DA16B6F-0156-417A-9093-589D55BB066C}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gif2h5", "..\..\hl\tools\gifconv\gif2h5.vcproj", "{7C30B2A4-A24D-4796-9754-CABBDB46D0F8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gif2h5dll", "..\..\hl\tools\gifconvdll\gif2h5dll.vcproj", "{C325E167-DBC3-4611-8AC8-2A118432E35B}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h52gif", "..\..\hl\tools\gifconv\h52gif.vcproj", "{AA7A40A2-A837-4557-AB3D-D64980F6F8E4}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h52gifdll", "..\..\hl\tools\gifconvdll\h52gifdll.vcproj", "{834DD32C-D078-441F-95F4-9CDE108B60AE}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5copy", "..\..\tools\h5copy\h5copy.vcproj", "{69952435-F01F-46A7-B907-A78EBC864ED7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5debug", "..\..\tools\h5debug\h5debug.vcproj", "{BE1A0022-708E-4CC2-B01C-26BD99AB6576}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5debugdll", "..\..\tools\h5debugdll\h5debugdll.vcproj", "{D10F67D0-8057-49C2-A62A-12D0C512288E}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5diff", "..\..\tools\h5diff\h5diff.vcproj", "{1C5A9EC8-F882-4A8A-B773-E79CD46369AF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5diffdll", "..\..\tools\h5diffdll\h5diffdll.vcproj", "{EC6B5EAD-D938-4211-A7B1-01C9D2C15160}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5difftst", "..\..\tools\TESTFILES\h5difftst\h5difftst.vcproj", "{1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5dump", "..\..\tools\h5dump\h5dump.vcproj", "{9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5dumpdll", "..\..\tools\h5dumpdll\h5dumpdll.vcproj", "{7E207F6A-DC28-4DEB-8454-7977092131DC}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5dumptst", "..\..\tools\testfiles\h5dumptst\h5dumptst.vcproj", "{0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5import", "..\..\tools\h5import\h5import.vcproj", "{9E588AD8-14BD-4BA3-B4EA-16D1D882C700}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5importdll", "..\..\tools\h5importdll\h5importdll.vcproj", "{2DCDB978-79B7-4A3A-B24A-D908A49B7D50}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5importtst", "..\..\tools\TESTFILES\h5importtst\h5importtst.vcproj", "{AF696934-5004-4C1D-90C3-B434E92AFB89}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5jam", "..\..\tools\h5jam\h5jam.vcproj", "{196F5935-2391-49A7-B6A2-410DF8149F0D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5jamtst", "..\..\tools\TESTFILES\h5jamtst\h5jamtst.vcproj", "{E8896FEE-8601-4AFC-91EA-6F9698574174}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5ls", "..\..\tools\h5ls\h5ls.vcproj", "{357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5lsdll", "..\..\tools\h5lsdll\h5lsdll.vcproj", "{18FBE8C2-CD20-4D99-9E0B-63B408CE4850}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5mkgrp", "..\..\tools\h5mkgrp\h5mkgrp.vcproj", "{ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repack", "..\..\tools\h5repack\h5repack.vcproj", "{411D221C-9FA1-417E-8A2B-DF746F4C7E07}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repackdll", "..\..\tools\h5repackdll\h5repackdll.vcproj", "{854F7E09-CEB5-44CD-B924-3FFAC7936323}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repacktst", "..\..\tools\testfiles\h5repacktst\h5repacktst.vcproj", "{AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repart", "..\..\tools\h5repart\h5repart.vcproj", "{F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repart_gentest", "..\..\tools\TESTFILES\h5repart_gentest\h5repart_gentest.vcproj", "{EBF7C380-5F58-462D-993D-75B53F83FA81}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repartdll", "..\..\tools\h5repartdll\h5repartdll.vcproj", "{89DA820B-7A3B-46FA-AE09-971A739BEEFD}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5reparttst", "..\..\tools\TESTFILES\h5reparttst\h5reparttst.vcproj", "{53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5stat", "..\..\tools\h5stat\h5stat.vcproj", "{405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5statdll", "..\..\tools\h5statdll\h5statdll.vcproj", "{165195D1-B742-4030-8B12-3FE94B829D45}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5unjam", "..\..\tools\h5unjam\h5unjam.vcproj", "{52E83C17-2B68-44B5-881D-4F6338FB14C7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5", "..\hdf5\hdf5.vcproj", "{26346A09-C500-49E7-963A-D22A8E09AAB7}"
- ProjectSection(ProjectDependencies) = postProject
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757} = {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_cpp", "..\hdf5_cpp\hdf5_cpp.vcproj", "{FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_cppdll", "..\hdf5_cppdll\hdf5_cppdll.vcproj", "{D279901A-8E21-47D3-B7EA-A572EE12F2E6}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl", "..\hdf5_hl\hdf5_hl.vcproj", "{9A124450-EC54-4813-B0B1-2CA96B695009}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl_cpp", "..\hdf5_hl_cpp\hdf5_hl_cpp.vcproj", "{7693B383-C2CB-43FD-A428-598F73D214F7}"
- ProjectSection(ProjectDependencies) = postProject
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl_cppdll", "..\hdf5_hl_cppdll\hdf5_hl_cppdll.vcproj", "{3EDEB434-F59E-4C50-8884-F0BB29845619}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hldll", "..\hdf5_hldll\hdf5_hldll.vcproj", "{CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5dll", "..\hdf5dll\hdf5dll.vcproj", "{C9535AD9-C61D-4691-A5CE-52EF359892AF}"
- ProjectSection(ProjectDependencies) = postProject
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_ds", "..\..\hl\test\hl_test_ds\hl_test_ds.vcproj", "{6410E6D2-EDBF-439D-8C43-1AB0C37AC851}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_dsdll", "..\..\hl\test\hl_test_dsdll\hl_test_dsdll.vcproj", "{3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_image", "..\..\hl\test\hl_test_image\hl_test_image.vcproj", "{03359B45-E43D-44B3-BDE5-8B14D9F0D827}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_imagedll", "..\..\hl\test\hl_test_imagedll\hl_test_imagedll.vcproj", "{9A226D92-9326-4907-A462-25997D5C9427}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_lite", "..\..\hl\test\hl_test_lite\hl_test_lite.vcproj", "{6FFCE804-EF4A-468F-A174-561934C153A1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_litedll", "..\..\hl\test\hl_test_litedll\hl_test_litedll.vcproj", "{98AE818A-E887-414B-985F-85F8411916C9}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_packet", "..\..\hl\test\hl_test_packet\hl_test_packet.vcproj", "{5CC7FFCE-2612-41B6-AF83-C1B61F67949B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_packetdll", "..\..\hl\test\hl_test_packetdll\hl_test_packetdll.vcproj", "{E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_table", "..\..\hl\test\hl_test_table\hl_test_table.vcproj", "{43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_table_cpp", "..\..\hl\c++\test\hl_test_table_cpp\hl_test_table_cpp.vcproj", "{6312B365-AA53-43AA-BD00-848C1323CA8B}"
- ProjectSection(ProjectDependencies) = postProject
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- {7693B383-C2CB-43FD-A428-598F73D214F7} = {7693B383-C2CB-43FD-A428-598F73D214F7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_table_cppdll", "..\..\hl\c++\test\hl_test_table_cppdll\hl_test_table_cppdll.vcproj", "{0D18A50F-52B3-4322-AC0D-F15CD657CEC4}"
- ProjectSection(ProjectDependencies) = postProject
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6} = {D279901A-8E21-47D3-B7EA-A572EE12F2E6}
- {3EDEB434-F59E-4C50-8884-F0BB29845619} = {3EDEB434-F59E-4C50-8884-F0BB29845619}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_tabledll", "..\..\hl\test\hl_test_tabledll\hl_test_tabledll.vcproj", "{D1AADCA9-FB5A-4F44-8E11-8232941E2C33}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hyperslab", "..\..\test\hyperslab\hyperslab.vcproj", "{1AB767EA-546C-4F72-BC1F-6AA0458512D8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hyperslabdll", "..\..\test\hyperslabdll\hyperslabdll.vcproj", "{CEA44545-33C8-4C63-9F8C-85BA48F45637}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "iopipe", "..\..\test\iopipe\iopipe.vcproj", "{73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "iopipedll", "..\..\test\iopipedll\iopipedll.vcproj", "{4E8105F2-56D4-45D6-9017-706F804052E7}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "istore", "..\..\test\istore\istore.vcproj", "{C4BA3E66-2310-43E7-B30A-ABDCCF44D823}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "istoredll", "..\..\test\istoredll\istoredll.vcproj", "{BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "lheap", "..\..\test\lheap\lheap.vcproj", "{5A90FD64-6EED-45E1-A147-D9FE72788570}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "lheapdll", "..\..\test\lheapdll\lheapdll.vcproj", "{E02CDAAC-05F4-436B-B245-2A402FFA131F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtest", "..\..\test\libtest\libtest.vcproj", "{A80D439C-37B4-4619-A122-1C69F567733B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtestdll", "..\..\test\libtestdll\libtestdll.vcproj", "{54BDA057-C716-4807-A35E-73185DCB236D}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "links", "..\..\test\links\links.vcproj", "{8792D377-8105-4C67-87F1-115E48D0178F}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "linksdll", "..\..\test\linksdll\linksdll.vcproj", "{27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mount", "..\..\test\mount\mount.vcproj", "{4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mountdll", "..\..\test\mountdll\mountdll.vcproj", "{CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mtime", "..\..\test\mtime\mtime.vcproj", "{40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mtimedll", "..\..\test\mtimedll\mtimedll.vcproj", "{7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ntypes", "..\..\test\ntypes\ntypes.vcproj", "{0A049202-6533-413E-89D6-5D6866AAE703}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ntypesdll", "..\..\test\ntypesdll\ntypesdll.vcproj", "{9AAC897A-70FA-4E5E-BF48-F664C12B05C7}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "objcopy", "..\..\test\objcopy\objcopy.vcproj", "{34C0FDFA-81D6-4652-B841-894BD1A15FB0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "objcopydll", "..\..\test\objcopydll\objcopydll.vcproj", "{794B7E1E-E6AD-456D-9F33-FCE317325EC4}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ohdr", "..\..\test\ohdr\ohdr.vcproj", "{DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ohdrdll", "..\..\test\ohdrdll\ohdrdll.vcproj", "{37605955-FA00-41C9-9D39-D078CF270376}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "overhead", "..\..\test\overhead\overhead.vcproj", "{9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "overheaddll", "..\..\test\overheaddll\overheaddll.vcproj", "{71A1C081-FF1C-452B-B938-95551D565302}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "pool", "..\..\test\pool\pool.vcproj", "{9ADAE03A-2060-471E-A7B5-9D8F6995223A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "pooldll", "..\..\test\pooldll\pooldll.vcproj", "{DFE42486-47A2-487C-81B9-DDCDA9F07BF0}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "reserved", "..\..\test\reserved\reserved.vcproj", "{2248C52C-75DC-465B-A598-6E89CC93E00D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "reserveddll", "..\..\test\reserveddll\reserveddll.vcproj", "{C2E6106F-1450-4F62-8D8E-17A93E986B26}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "set_extent", "..\..\test\set_extent\set_extent.vcproj", "{E81413CC-046C-42B0-B862-0BB81AED2854}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "set_extentdll", "..\..\test\set_extentdll\set_extentdll.vcproj", "{14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "stab", "..\..\test\stab\stab.vcproj", "{17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "stabdll", "..\..\test\stabdll\stabdll.vcproj", "{7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "talign", "..\..\tools\talign\talign.vcproj", "{E6A9BFE8-84DE-46C0-A372-72087598018E}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "taligndll", "..\..\tools\taligndll\taligndll.vcproj", "{3BBA31F8-2679-4655-975D-52FDA5ABD5C4}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "tellub", "..\..\test\tellub\tellub.vcproj", "{A26C50E9-D3FB-4490-9CD7-606EB2E77D21}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testh5repack_detect_szip", "..\..\tools\TESTFILES\testh5repack_detect_szip\testh5repack_detect_szip.vcproj", "{5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testh5repack_detect_szipdll", "..\..\tools\TESTFILES\testh5repack_detect_szipdll\testh5repack_detect_szipdll.vcproj", "{E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5", "..\..\test\testhdf5\testhdf5.vcproj", "{D1518671-CB9D-471F-8BCE-A03DE67F26B1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5_cpp", "..\..\c++\test\testhdf5_cpp\testhdf5_cpp.vcproj", "{EFA04391-B35B-44C0-AB27-1383D4C9E358}"
- ProjectSection(ProjectDependencies) = postProject
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5_cppdll", "..\..\c++\test\testhdf5_cppdll\testhdf5_cppdll.vcproj", "{DBA493BD-3AF1-4616-8A80-F6FD41B70392}"
- ProjectSection(ProjectDependencies) = postProject
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6} = {D279901A-8E21-47D3-B7EA-A572EE12F2E6}
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5dll", "..\..\test\testhdf5dll\testhdf5dll.vcproj", "{D1FD44F8-8263-4B29-985D-21CE26F45A76}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "toolslib", "..\..\tools\toolslib\toolslib.vcproj", "{473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "toolslibdll", "..\..\tools\toolslibdll\toolslibdll.vcproj", "{832DD776-BC7F-40B5-90D0-E6448014CA5B}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ttsafedll", "..\..\test\ttsafedll\ttsafedll.vcproj", "{DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "unlink", "..\..\test\unlink\unlink.vcproj", "{9321B2C5-74B3-4743-9D87-B0FDCB47373B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "unlinkdll", "..\..\test\unlinkdll\unlinkdll.vcproj", "{685666ED-4640-47EE-AEA5-35B9602CA541}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "vfd", "..\..\test\vfd\vfd.vcproj", "{744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "vfddll", "..\..\test\vfddll\vfddll.vcproj", "{0C5E3F36-3338-4B2C-A956-4D577B6119E7}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5tinit", "..\..\misc\typegen\h5tinit\h5tinit.vcproj", "{B123D196-2F43-4FEB-80B5-990F06DED319}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunk_info", "..\..\test\chunk_info\chunk_info.vcproj", "{E3B24219-DEB9-4ECB-809C-AD98EE51974E}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunk_infodll", "..\..\test\chunk_infodll\chunk_infodll.vcproj", "{D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "app_ref", "..\..\test\app_ref\app_ref.vcproj", "{C35122F6-49FF-4AAA-A2AA-482628E5E2A7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "app_refdll", "..\..\test\app_refdll\app_refdll.vcproj", "{0C618DA2-4097-46B9-83D0-144AEB774568}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "earray", "..\..\test\earray\earray.vcproj", "{D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "earraydll", "..\..\test\earraydll\earraydll.vcproj", "{3C224452-C71A-4B3E-937A-891144D1941D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "freespace", "..\..\test\freespace\freespace.vcproj", "{D4395435-B3B0-4937-9AC5-89BD73C47303}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "freespacedll", "..\..\test\freespacedll\freespacedll.vcproj", "{737F7A65-62E7-4707-B3DB-B9856131687D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mf", "..\..\test\mf\mf.vcproj", "{4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mfdll", "..\..\test\mfdll\mfdll.vcproj", "{C4811E26-A7DA-424D-8A44-F29DFD588533}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "perf_serial", "..\..\perform\perf_serial\perf_serial.vcproj", "{B8923279-9E37-43D2-8ECF-5225BFB3356A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "perf_serialdll", "..\..\perform\perf_serialdll\perf_serialdll.vcproj", "{BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "farray", "..\..\test\farray\farray.vcproj", "{AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "farraydll", "..\..\test\farraydll\farraydll.vcproj", "{79FF58EE-7427-4732-AC25-370341859292}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "tcheckversion", "..\..\test\tcheckversion\tcheckversion.vcproj", "{DFB6DCC1-2E00-4566-B935-F32172FDA483}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "tcheckversiondll", "..\..\test\tcheckversiondll\tcheckversiondll.vcproj", "{7B3EB7A5-DA01-4488-A06B-63E2941EE078}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5libsettings", "..\..\misc\typegen\h5libsettings\h5libsettings.vcproj", "{50D207BC-2B27-4BD9-B5D4-FCF8358BE757}"
- ProjectSection(ProjectDependencies) = postProject
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- EndProjectSection
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|Win32.ActiveCfg = Debug|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|Win32.Build.0 = Debug|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|x64.ActiveCfg = Debug|x64
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|x64.Build.0 = Debug|x64
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|Win32.ActiveCfg = Release|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|Win32.Build.0 = Release|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|x64.ActiveCfg = Release|x64
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|x64.Build.0 = Release|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|Win32.Build.0 = Debug|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|x64.ActiveCfg = Debug|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|x64.Build.0 = Debug|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|Win32.ActiveCfg = Release|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|Win32.Build.0 = Release|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|x64.ActiveCfg = Release|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|x64.Build.0 = Release|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|Win32.ActiveCfg = Debug|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|Win32.Build.0 = Debug|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|x64.ActiveCfg = Debug|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|x64.Build.0 = Debug|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|Win32.ActiveCfg = Release|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|Win32.Build.0 = Release|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|x64.ActiveCfg = Release|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|x64.Build.0 = Release|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|Win32.ActiveCfg = Debug|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|Win32.Build.0 = Debug|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|x64.ActiveCfg = Debug|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|x64.Build.0 = Debug|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|Win32.ActiveCfg = Release|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|Win32.Build.0 = Release|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|x64.ActiveCfg = Release|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|x64.Build.0 = Release|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|Win32.ActiveCfg = Debug|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|Win32.Build.0 = Debug|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|x64.ActiveCfg = Debug|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|x64.Build.0 = Debug|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|Win32.ActiveCfg = Release|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|Win32.Build.0 = Release|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|x64.ActiveCfg = Release|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|x64.Build.0 = Release|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|Win32.ActiveCfg = Debug|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|Win32.Build.0 = Debug|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|x64.ActiveCfg = Debug|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|x64.Build.0 = Debug|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|Win32.ActiveCfg = Release|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|Win32.Build.0 = Release|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|x64.ActiveCfg = Release|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|x64.Build.0 = Release|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|Win32.ActiveCfg = Debug|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|Win32.Build.0 = Debug|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|x64.ActiveCfg = Debug|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|x64.Build.0 = Debug|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|Win32.ActiveCfg = Release|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|Win32.Build.0 = Release|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|x64.ActiveCfg = Release|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|x64.Build.0 = Release|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|Win32.ActiveCfg = Debug|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|Win32.Build.0 = Debug|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|x64.ActiveCfg = Debug|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|x64.Build.0 = Debug|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|Win32.ActiveCfg = Release|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|Win32.Build.0 = Release|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|x64.ActiveCfg = Release|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|x64.Build.0 = Release|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|Win32.ActiveCfg = Debug|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|Win32.Build.0 = Debug|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|x64.ActiveCfg = Debug|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|x64.Build.0 = Debug|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|Win32.ActiveCfg = Release|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|Win32.Build.0 = Release|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|x64.ActiveCfg = Release|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|x64.Build.0 = Release|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|Win32.ActiveCfg = Debug|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|Win32.Build.0 = Debug|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|x64.ActiveCfg = Debug|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|x64.Build.0 = Debug|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|Win32.ActiveCfg = Release|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|Win32.Build.0 = Release|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|x64.ActiveCfg = Release|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|x64.Build.0 = Release|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|Win32.ActiveCfg = Debug|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|Win32.Build.0 = Debug|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|x64.ActiveCfg = Debug|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|x64.Build.0 = Debug|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|Win32.ActiveCfg = Release|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|Win32.Build.0 = Release|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|x64.ActiveCfg = Release|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|x64.Build.0 = Release|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|Win32.ActiveCfg = Debug|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|Win32.Build.0 = Debug|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|x64.ActiveCfg = Debug|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|x64.Build.0 = Debug|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|Win32.ActiveCfg = Release|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|Win32.Build.0 = Release|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|x64.ActiveCfg = Release|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|x64.Build.0 = Release|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|Win32.ActiveCfg = Debug|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|Win32.Build.0 = Debug|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|x64.ActiveCfg = Debug|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|x64.Build.0 = Debug|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|Win32.ActiveCfg = Release|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|Win32.Build.0 = Release|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|x64.ActiveCfg = Release|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|x64.Build.0 = Release|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|Win32.ActiveCfg = Debug|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|Win32.Build.0 = Debug|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|x64.ActiveCfg = Debug|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|x64.Build.0 = Debug|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|Win32.ActiveCfg = Release|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|Win32.Build.0 = Release|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|x64.ActiveCfg = Release|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|x64.Build.0 = Release|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|Win32.ActiveCfg = Debug|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|Win32.Build.0 = Debug|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|x64.ActiveCfg = Debug|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|x64.Build.0 = Debug|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|Win32.ActiveCfg = Release|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|Win32.Build.0 = Release|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|x64.ActiveCfg = Release|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|x64.Build.0 = Release|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|Win32.ActiveCfg = Debug|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|Win32.Build.0 = Debug|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|x64.ActiveCfg = Debug|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|x64.Build.0 = Debug|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|Win32.ActiveCfg = Release|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|Win32.Build.0 = Release|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|x64.ActiveCfg = Release|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|x64.Build.0 = Release|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|Win32.ActiveCfg = Debug|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|Win32.Build.0 = Debug|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|x64.ActiveCfg = Debug|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|x64.Build.0 = Debug|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|Win32.ActiveCfg = Release|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|Win32.Build.0 = Release|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|x64.ActiveCfg = Release|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|x64.Build.0 = Release|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|Win32.ActiveCfg = Debug|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|Win32.Build.0 = Debug|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|x64.ActiveCfg = Debug|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|x64.Build.0 = Debug|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|Win32.ActiveCfg = Release|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|Win32.Build.0 = Release|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|x64.ActiveCfg = Release|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|x64.Build.0 = Release|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|Win32.ActiveCfg = Debug|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|Win32.Build.0 = Debug|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|x64.ActiveCfg = Debug|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|x64.Build.0 = Debug|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|Win32.ActiveCfg = Release|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|Win32.Build.0 = Release|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|x64.ActiveCfg = Release|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|x64.Build.0 = Release|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|Win32.ActiveCfg = Debug|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|Win32.Build.0 = Debug|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|x64.ActiveCfg = Debug|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|x64.Build.0 = Debug|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|Win32.ActiveCfg = Release|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|Win32.Build.0 = Release|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|x64.ActiveCfg = Release|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|x64.Build.0 = Release|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|Win32.ActiveCfg = Debug|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|Win32.Build.0 = Debug|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|x64.ActiveCfg = Debug|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|x64.Build.0 = Debug|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|Win32.ActiveCfg = Release|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|Win32.Build.0 = Release|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|x64.ActiveCfg = Release|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|x64.Build.0 = Release|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|Win32.ActiveCfg = Debug|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|Win32.Build.0 = Debug|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|x64.ActiveCfg = Debug|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|x64.Build.0 = Debug|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|Win32.ActiveCfg = Release|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|Win32.Build.0 = Release|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|x64.ActiveCfg = Release|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|x64.Build.0 = Release|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|Win32.ActiveCfg = Debug|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|Win32.Build.0 = Debug|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|x64.ActiveCfg = Debug|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|x64.Build.0 = Debug|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|Win32.ActiveCfg = Release|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|Win32.Build.0 = Release|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|x64.ActiveCfg = Release|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|x64.Build.0 = Release|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|Win32.ActiveCfg = Debug|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|Win32.Build.0 = Debug|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|x64.ActiveCfg = Debug|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|x64.Build.0 = Debug|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|Win32.ActiveCfg = Release|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|Win32.Build.0 = Release|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|x64.ActiveCfg = Release|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|x64.Build.0 = Release|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|Win32.ActiveCfg = Debug|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|Win32.Build.0 = Debug|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|x64.ActiveCfg = Debug|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|x64.Build.0 = Debug|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|Win32.ActiveCfg = Release|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|Win32.Build.0 = Release|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|x64.ActiveCfg = Release|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|x64.Build.0 = Release|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|Win32.ActiveCfg = Debug|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|Win32.Build.0 = Debug|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|x64.ActiveCfg = Debug|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|x64.Build.0 = Debug|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|Win32.ActiveCfg = Release|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|Win32.Build.0 = Release|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|x64.ActiveCfg = Release|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|x64.Build.0 = Release|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|Win32.ActiveCfg = Debug|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|Win32.Build.0 = Debug|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|x64.ActiveCfg = Debug|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|x64.Build.0 = Debug|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|Win32.ActiveCfg = Release|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|Win32.Build.0 = Release|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|x64.ActiveCfg = Release|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|x64.Build.0 = Release|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|Win32.ActiveCfg = Debug|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|Win32.Build.0 = Debug|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|x64.ActiveCfg = Debug|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|x64.Build.0 = Debug|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|Win32.ActiveCfg = Release|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|Win32.Build.0 = Release|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|x64.ActiveCfg = Release|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|x64.Build.0 = Release|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|Win32.ActiveCfg = Debug|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|Win32.Build.0 = Debug|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|x64.ActiveCfg = Debug|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|x64.Build.0 = Debug|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|Win32.ActiveCfg = Release|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|Win32.Build.0 = Release|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|x64.ActiveCfg = Release|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|x64.Build.0 = Release|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|Win32.ActiveCfg = Debug|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|Win32.Build.0 = Debug|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|x64.ActiveCfg = Debug|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|x64.Build.0 = Debug|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|Win32.ActiveCfg = Release|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|Win32.Build.0 = Release|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|x64.ActiveCfg = Release|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|x64.Build.0 = Release|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|Win32.ActiveCfg = Debug|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|Win32.Build.0 = Debug|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|x64.ActiveCfg = Debug|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|x64.Build.0 = Debug|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|Win32.ActiveCfg = Release|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|Win32.Build.0 = Release|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|x64.ActiveCfg = Release|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|x64.Build.0 = Release|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|Win32.ActiveCfg = Debug|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|Win32.Build.0 = Debug|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|x64.ActiveCfg = Debug|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|x64.Build.0 = Debug|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|Win32.ActiveCfg = Release|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|Win32.Build.0 = Release|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|x64.ActiveCfg = Release|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|x64.Build.0 = Release|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|Win32.ActiveCfg = Debug|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|Win32.Build.0 = Debug|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|x64.ActiveCfg = Debug|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|x64.Build.0 = Debug|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|Win32.ActiveCfg = Release|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|Win32.Build.0 = Release|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|x64.ActiveCfg = Release|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|x64.Build.0 = Release|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|Win32.ActiveCfg = Debug|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|Win32.Build.0 = Debug|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|x64.ActiveCfg = Debug|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|x64.Build.0 = Debug|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|Win32.ActiveCfg = Release|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|Win32.Build.0 = Release|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|x64.ActiveCfg = Release|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|x64.Build.0 = Release|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|Win32.ActiveCfg = Debug|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|Win32.Build.0 = Debug|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|x64.ActiveCfg = Debug|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|x64.Build.0 = Debug|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|Win32.ActiveCfg = Release|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|Win32.Build.0 = Release|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|x64.ActiveCfg = Release|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|x64.Build.0 = Release|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|Win32.ActiveCfg = Debug|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|Win32.Build.0 = Debug|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|x64.ActiveCfg = Debug|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|x64.Build.0 = Debug|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|Win32.ActiveCfg = Release|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|Win32.Build.0 = Release|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|x64.ActiveCfg = Release|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|x64.Build.0 = Release|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|Win32.ActiveCfg = Debug|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|Win32.Build.0 = Debug|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|x64.ActiveCfg = Debug|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|x64.Build.0 = Debug|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|Win32.ActiveCfg = Release|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|Win32.Build.0 = Release|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|x64.ActiveCfg = Release|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|x64.Build.0 = Release|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|Win32.ActiveCfg = Debug|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|Win32.Build.0 = Debug|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|x64.ActiveCfg = Debug|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|x64.Build.0 = Debug|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|Win32.ActiveCfg = Release|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|Win32.Build.0 = Release|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|x64.ActiveCfg = Release|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|x64.Build.0 = Release|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|Win32.ActiveCfg = Debug|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|Win32.Build.0 = Debug|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|x64.ActiveCfg = Debug|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|x64.Build.0 = Debug|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|Win32.ActiveCfg = Release|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|Win32.Build.0 = Release|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|x64.ActiveCfg = Release|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|x64.Build.0 = Release|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|Win32.ActiveCfg = Debug|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|Win32.Build.0 = Debug|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|x64.ActiveCfg = Debug|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|x64.Build.0 = Debug|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|Win32.ActiveCfg = Release|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|Win32.Build.0 = Release|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|x64.ActiveCfg = Release|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|x64.Build.0 = Release|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|Win32.ActiveCfg = Debug|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|Win32.Build.0 = Debug|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|x64.ActiveCfg = Debug|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|x64.Build.0 = Debug|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|Win32.ActiveCfg = Release|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|Win32.Build.0 = Release|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|x64.ActiveCfg = Release|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|x64.Build.0 = Release|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|Win32.ActiveCfg = Debug|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|Win32.Build.0 = Debug|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|x64.ActiveCfg = Debug|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|x64.Build.0 = Debug|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|Win32.ActiveCfg = Release|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|Win32.Build.0 = Release|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|x64.ActiveCfg = Release|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|x64.Build.0 = Release|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|Win32.ActiveCfg = Debug|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|Win32.Build.0 = Debug|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|x64.ActiveCfg = Debug|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|x64.Build.0 = Debug|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|Win32.ActiveCfg = Release|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|Win32.Build.0 = Release|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|x64.ActiveCfg = Release|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|x64.Build.0 = Release|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|Win32.ActiveCfg = Debug|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|Win32.Build.0 = Debug|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|x64.ActiveCfg = Debug|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|x64.Build.0 = Debug|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|Win32.ActiveCfg = Release|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|Win32.Build.0 = Release|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|x64.ActiveCfg = Release|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|x64.Build.0 = Release|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|Win32.ActiveCfg = Debug|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|Win32.Build.0 = Debug|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|x64.ActiveCfg = Debug|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|x64.Build.0 = Debug|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|Win32.ActiveCfg = Release|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|Win32.Build.0 = Release|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|x64.ActiveCfg = Release|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|x64.Build.0 = Release|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|Win32.ActiveCfg = Debug|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|Win32.Build.0 = Debug|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|x64.ActiveCfg = Debug|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|x64.Build.0 = Debug|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|Win32.ActiveCfg = Release|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|Win32.Build.0 = Release|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|x64.ActiveCfg = Release|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|x64.Build.0 = Release|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|Win32.ActiveCfg = Debug|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|Win32.Build.0 = Debug|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|x64.ActiveCfg = Debug|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|x64.Build.0 = Debug|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|Win32.ActiveCfg = Release|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|Win32.Build.0 = Release|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|x64.ActiveCfg = Release|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|x64.Build.0 = Release|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|Win32.ActiveCfg = Debug|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|Win32.Build.0 = Debug|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|x64.ActiveCfg = Debug|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|x64.Build.0 = Debug|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|Win32.ActiveCfg = Release|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|Win32.Build.0 = Release|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|x64.ActiveCfg = Release|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|x64.Build.0 = Release|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|Win32.ActiveCfg = Debug|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|Win32.Build.0 = Debug|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|x64.ActiveCfg = Debug|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|x64.Build.0 = Debug|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|Win32.ActiveCfg = Release|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|Win32.Build.0 = Release|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|x64.ActiveCfg = Release|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|x64.Build.0 = Release|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|Win32.ActiveCfg = Debug|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|Win32.Build.0 = Debug|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|x64.ActiveCfg = Debug|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|x64.Build.0 = Debug|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|Win32.ActiveCfg = Release|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|Win32.Build.0 = Release|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|x64.ActiveCfg = Release|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|x64.Build.0 = Release|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|Win32.ActiveCfg = Debug|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|Win32.Build.0 = Debug|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|x64.ActiveCfg = Debug|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|x64.Build.0 = Debug|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|Win32.ActiveCfg = Release|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|Win32.Build.0 = Release|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|x64.ActiveCfg = Release|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|x64.Build.0 = Release|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|Win32.ActiveCfg = Debug|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|Win32.Build.0 = Debug|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|x64.ActiveCfg = Debug|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|x64.Build.0 = Debug|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|Win32.ActiveCfg = Release|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|Win32.Build.0 = Release|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|x64.ActiveCfg = Release|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|x64.Build.0 = Release|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|Win32.ActiveCfg = Debug|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|Win32.Build.0 = Debug|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|x64.ActiveCfg = Debug|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|x64.Build.0 = Debug|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|Win32.ActiveCfg = Release|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|Win32.Build.0 = Release|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|x64.ActiveCfg = Release|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|x64.Build.0 = Release|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|Win32.ActiveCfg = Debug|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|Win32.Build.0 = Debug|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|x64.ActiveCfg = Debug|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|x64.Build.0 = Debug|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|Win32.ActiveCfg = Release|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|Win32.Build.0 = Release|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|x64.ActiveCfg = Release|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|x64.Build.0 = Release|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|Win32.ActiveCfg = Debug|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|Win32.Build.0 = Debug|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|x64.ActiveCfg = Debug|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|x64.Build.0 = Debug|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|Win32.ActiveCfg = Release|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|Win32.Build.0 = Release|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|x64.ActiveCfg = Release|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|x64.Build.0 = Release|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|Win32.ActiveCfg = Debug|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|Win32.Build.0 = Debug|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|x64.ActiveCfg = Debug|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|x64.Build.0 = Debug|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|Win32.ActiveCfg = Release|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|Win32.Build.0 = Release|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|x64.ActiveCfg = Release|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|x64.Build.0 = Release|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|Win32.ActiveCfg = Debug|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|Win32.Build.0 = Debug|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|x64.ActiveCfg = Debug|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|x64.Build.0 = Debug|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|Win32.ActiveCfg = Release|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|Win32.Build.0 = Release|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|x64.ActiveCfg = Release|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|x64.Build.0 = Release|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|Win32.ActiveCfg = Debug|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|Win32.Build.0 = Debug|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|x64.ActiveCfg = Debug|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|x64.Build.0 = Debug|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|Win32.ActiveCfg = Release|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|Win32.Build.0 = Release|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|x64.ActiveCfg = Release|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|x64.Build.0 = Release|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|Win32.ActiveCfg = Debug|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|Win32.Build.0 = Debug|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|x64.ActiveCfg = Debug|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|x64.Build.0 = Debug|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|Win32.ActiveCfg = Release|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|Win32.Build.0 = Release|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|x64.ActiveCfg = Release|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|x64.Build.0 = Release|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|Win32.ActiveCfg = Debug|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|Win32.Build.0 = Debug|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|x64.ActiveCfg = Debug|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|x64.Build.0 = Debug|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|Win32.ActiveCfg = Release|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|Win32.Build.0 = Release|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|x64.ActiveCfg = Release|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|x64.Build.0 = Release|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|Win32.ActiveCfg = Debug|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|Win32.Build.0 = Debug|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|x64.ActiveCfg = Debug|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|x64.Build.0 = Debug|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|Win32.ActiveCfg = Release|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|Win32.Build.0 = Release|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|x64.ActiveCfg = Release|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|x64.Build.0 = Release|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|Win32.Build.0 = Debug|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|x64.ActiveCfg = Debug|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|x64.Build.0 = Debug|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|Win32.ActiveCfg = Release|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|Win32.Build.0 = Release|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|x64.ActiveCfg = Release|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|x64.Build.0 = Release|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|Win32.ActiveCfg = Debug|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|Win32.Build.0 = Debug|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|x64.ActiveCfg = Debug|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|x64.Build.0 = Debug|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|Win32.ActiveCfg = Release|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|Win32.Build.0 = Release|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|x64.ActiveCfg = Release|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|x64.Build.0 = Release|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|Win32.ActiveCfg = Debug|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|Win32.Build.0 = Debug|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|x64.ActiveCfg = Debug|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|x64.Build.0 = Debug|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|Win32.ActiveCfg = Release|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|Win32.Build.0 = Release|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|x64.ActiveCfg = Release|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|x64.Build.0 = Release|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|Win32.ActiveCfg = Debug|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|Win32.Build.0 = Debug|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|x64.ActiveCfg = Debug|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|x64.Build.0 = Debug|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|Win32.ActiveCfg = Release|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|Win32.Build.0 = Release|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|x64.ActiveCfg = Release|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|x64.Build.0 = Release|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|Win32.ActiveCfg = Debug|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|Win32.Build.0 = Debug|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|x64.ActiveCfg = Debug|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|x64.Build.0 = Debug|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|Win32.ActiveCfg = Release|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|Win32.Build.0 = Release|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|x64.ActiveCfg = Release|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|x64.Build.0 = Release|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|Win32.ActiveCfg = Debug|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|Win32.Build.0 = Debug|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|x64.ActiveCfg = Debug|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|x64.Build.0 = Debug|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|Win32.ActiveCfg = Release|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|Win32.Build.0 = Release|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|x64.ActiveCfg = Release|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|x64.Build.0 = Release|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|Win32.ActiveCfg = Debug|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|Win32.Build.0 = Debug|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|x64.ActiveCfg = Debug|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|x64.Build.0 = Debug|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|Win32.ActiveCfg = Release|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|Win32.Build.0 = Release|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|x64.ActiveCfg = Release|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|x64.Build.0 = Release|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|Win32.ActiveCfg = Debug|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|Win32.Build.0 = Debug|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|x64.ActiveCfg = Debug|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|x64.Build.0 = Debug|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|Win32.ActiveCfg = Release|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|Win32.Build.0 = Release|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|x64.ActiveCfg = Release|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|x64.Build.0 = Release|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|Win32.ActiveCfg = Debug|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|Win32.Build.0 = Debug|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|x64.ActiveCfg = Debug|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|x64.Build.0 = Debug|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|Win32.ActiveCfg = Release|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|Win32.Build.0 = Release|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|x64.ActiveCfg = Release|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|x64.Build.0 = Release|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|Win32.ActiveCfg = Debug|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|Win32.Build.0 = Debug|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|x64.ActiveCfg = Debug|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|x64.Build.0 = Debug|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|Win32.ActiveCfg = Release|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|Win32.Build.0 = Release|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|x64.ActiveCfg = Release|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|x64.Build.0 = Release|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|Win32.ActiveCfg = Debug|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|Win32.Build.0 = Debug|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|x64.ActiveCfg = Debug|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|x64.Build.0 = Debug|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|Win32.ActiveCfg = Release|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|Win32.Build.0 = Release|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|x64.ActiveCfg = Release|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|x64.Build.0 = Release|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|Win32.ActiveCfg = Debug|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|Win32.Build.0 = Debug|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|x64.ActiveCfg = Debug|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|x64.Build.0 = Debug|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|Win32.ActiveCfg = Release|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|Win32.Build.0 = Release|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|x64.ActiveCfg = Release|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|x64.Build.0 = Release|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|Win32.ActiveCfg = Debug|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|Win32.Build.0 = Debug|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|x64.ActiveCfg = Debug|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|x64.Build.0 = Debug|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|Win32.ActiveCfg = Release|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|Win32.Build.0 = Release|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|x64.ActiveCfg = Release|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|x64.Build.0 = Release|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|Win32.ActiveCfg = Debug|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|Win32.Build.0 = Debug|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|x64.ActiveCfg = Debug|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|x64.Build.0 = Debug|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|Win32.ActiveCfg = Release|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|Win32.Build.0 = Release|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|x64.ActiveCfg = Release|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|x64.Build.0 = Release|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|Win32.ActiveCfg = Debug|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|Win32.Build.0 = Debug|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|x64.ActiveCfg = Debug|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|x64.Build.0 = Debug|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|Win32.ActiveCfg = Release|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|Win32.Build.0 = Release|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|x64.ActiveCfg = Release|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|x64.Build.0 = Release|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|Win32.ActiveCfg = Debug|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|Win32.Build.0 = Debug|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|x64.ActiveCfg = Debug|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|x64.Build.0 = Debug|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|Win32.ActiveCfg = Release|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|Win32.Build.0 = Release|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|x64.ActiveCfg = Release|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|x64.Build.0 = Release|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|Win32.ActiveCfg = Debug|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|Win32.Build.0 = Debug|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|x64.ActiveCfg = Debug|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|x64.Build.0 = Debug|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|Win32.ActiveCfg = Release|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|Win32.Build.0 = Release|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|x64.ActiveCfg = Release|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|x64.Build.0 = Release|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|Win32.ActiveCfg = Debug|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|Win32.Build.0 = Debug|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|x64.ActiveCfg = Debug|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|x64.Build.0 = Debug|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|Win32.ActiveCfg = Release|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|Win32.Build.0 = Release|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|x64.ActiveCfg = Release|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|x64.Build.0 = Release|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|Win32.ActiveCfg = Debug|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|Win32.Build.0 = Debug|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|x64.ActiveCfg = Debug|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|x64.Build.0 = Debug|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|Win32.ActiveCfg = Release|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|Win32.Build.0 = Release|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|x64.ActiveCfg = Release|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|x64.Build.0 = Release|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|Win32.ActiveCfg = Debug|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|Win32.Build.0 = Debug|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|x64.ActiveCfg = Debug|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|x64.Build.0 = Debug|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|Win32.ActiveCfg = Release|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|Win32.Build.0 = Release|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|x64.ActiveCfg = Release|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|x64.Build.0 = Release|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|Win32.Build.0 = Debug|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|x64.ActiveCfg = Debug|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|x64.Build.0 = Debug|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|Win32.ActiveCfg = Release|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|Win32.Build.0 = Release|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|x64.ActiveCfg = Release|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|x64.Build.0 = Release|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|Win32.ActiveCfg = Debug|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|Win32.Build.0 = Debug|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|x64.ActiveCfg = Debug|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|x64.Build.0 = Debug|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|Win32.ActiveCfg = Release|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|Win32.Build.0 = Release|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|x64.ActiveCfg = Release|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|x64.Build.0 = Release|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|Win32.ActiveCfg = Debug|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|Win32.Build.0 = Debug|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|x64.ActiveCfg = Debug|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|x64.Build.0 = Debug|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|Win32.ActiveCfg = Release|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|Win32.Build.0 = Release|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|x64.ActiveCfg = Release|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|x64.Build.0 = Release|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|Win32.ActiveCfg = Debug|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|Win32.Build.0 = Debug|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|x64.ActiveCfg = Debug|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|x64.Build.0 = Debug|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|Win32.ActiveCfg = Release|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|Win32.Build.0 = Release|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|x64.ActiveCfg = Release|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|x64.Build.0 = Release|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|Win32.Build.0 = Debug|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|x64.ActiveCfg = Debug|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|x64.Build.0 = Debug|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|Win32.ActiveCfg = Release|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|Win32.Build.0 = Release|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|x64.ActiveCfg = Release|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|x64.Build.0 = Release|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|Win32.ActiveCfg = Debug|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|Win32.Build.0 = Debug|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|x64.ActiveCfg = Debug|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|x64.Build.0 = Debug|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|Win32.ActiveCfg = Release|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|Win32.Build.0 = Release|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|x64.ActiveCfg = Release|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|x64.Build.0 = Release|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|Win32.ActiveCfg = Debug|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|Win32.Build.0 = Debug|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|x64.ActiveCfg = Debug|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|x64.Build.0 = Debug|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|Win32.ActiveCfg = Release|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|Win32.Build.0 = Release|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|x64.ActiveCfg = Release|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|x64.Build.0 = Release|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|Win32.ActiveCfg = Debug|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|Win32.Build.0 = Debug|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|x64.ActiveCfg = Debug|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|x64.Build.0 = Debug|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|Win32.ActiveCfg = Release|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|Win32.Build.0 = Release|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|x64.ActiveCfg = Release|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|x64.Build.0 = Release|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|Win32.ActiveCfg = Debug|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|Win32.Build.0 = Debug|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|x64.ActiveCfg = Debug|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|x64.Build.0 = Debug|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|Win32.ActiveCfg = Release|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|Win32.Build.0 = Release|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|x64.ActiveCfg = Release|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|x64.Build.0 = Release|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|Win32.ActiveCfg = Debug|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|Win32.Build.0 = Debug|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|x64.ActiveCfg = Debug|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|x64.Build.0 = Debug|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|Win32.ActiveCfg = Release|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|Win32.Build.0 = Release|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|x64.ActiveCfg = Release|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|x64.Build.0 = Release|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|Win32.ActiveCfg = Debug|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|Win32.Build.0 = Debug|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|x64.ActiveCfg = Debug|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|x64.Build.0 = Debug|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|Win32.ActiveCfg = Release|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|Win32.Build.0 = Release|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|x64.ActiveCfg = Release|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|x64.Build.0 = Release|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|Win32.ActiveCfg = Debug|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|Win32.Build.0 = Debug|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|x64.ActiveCfg = Debug|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|x64.Build.0 = Debug|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|Win32.ActiveCfg = Release|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|Win32.Build.0 = Release|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|x64.ActiveCfg = Release|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|x64.Build.0 = Release|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|Win32.Build.0 = Debug|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|x64.ActiveCfg = Debug|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|x64.Build.0 = Debug|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|Win32.ActiveCfg = Release|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|Win32.Build.0 = Release|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|x64.ActiveCfg = Release|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|x64.Build.0 = Release|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|Win32.ActiveCfg = Debug|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|Win32.Build.0 = Debug|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|x64.ActiveCfg = Debug|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|x64.Build.0 = Debug|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|Win32.ActiveCfg = Release|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|Win32.Build.0 = Release|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|x64.ActiveCfg = Release|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|x64.Build.0 = Release|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|Win32.ActiveCfg = Debug|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|Win32.Build.0 = Debug|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|x64.ActiveCfg = Debug|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|x64.Build.0 = Debug|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|Win32.ActiveCfg = Release|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|Win32.Build.0 = Release|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|x64.ActiveCfg = Release|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|x64.Build.0 = Release|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|Win32.ActiveCfg = Debug|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|Win32.Build.0 = Debug|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|x64.ActiveCfg = Debug|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|x64.Build.0 = Debug|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|Win32.ActiveCfg = Release|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|Win32.Build.0 = Release|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|x64.ActiveCfg = Release|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|x64.Build.0 = Release|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|Win32.ActiveCfg = Debug|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|Win32.Build.0 = Debug|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|x64.ActiveCfg = Debug|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|x64.Build.0 = Debug|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|Win32.ActiveCfg = Release|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|Win32.Build.0 = Release|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|x64.ActiveCfg = Release|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|x64.Build.0 = Release|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|Win32.ActiveCfg = Debug|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|Win32.Build.0 = Debug|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|x64.ActiveCfg = Debug|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|x64.Build.0 = Debug|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|Win32.ActiveCfg = Release|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|Win32.Build.0 = Release|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|x64.ActiveCfg = Release|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|x64.Build.0 = Release|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|Win32.ActiveCfg = Debug|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|Win32.Build.0 = Debug|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|x64.ActiveCfg = Debug|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|x64.Build.0 = Debug|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|Win32.ActiveCfg = Release|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|Win32.Build.0 = Release|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|x64.ActiveCfg = Release|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|x64.Build.0 = Release|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|Win32.ActiveCfg = Debug|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|Win32.Build.0 = Debug|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|x64.ActiveCfg = Debug|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|x64.Build.0 = Debug|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|Win32.ActiveCfg = Release|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|Win32.Build.0 = Release|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|x64.ActiveCfg = Release|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|x64.Build.0 = Release|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|Win32.ActiveCfg = Debug|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|Win32.Build.0 = Debug|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|x64.ActiveCfg = Debug|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|x64.Build.0 = Debug|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|Win32.ActiveCfg = Release|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|Win32.Build.0 = Release|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|x64.ActiveCfg = Release|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|x64.Build.0 = Release|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|Win32.ActiveCfg = Debug|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|Win32.Build.0 = Debug|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|x64.ActiveCfg = Debug|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|x64.Build.0 = Debug|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|Win32.ActiveCfg = Release|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|Win32.Build.0 = Release|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|x64.ActiveCfg = Release|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|x64.Build.0 = Release|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|Win32.ActiveCfg = Debug|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|Win32.Build.0 = Debug|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|x64.ActiveCfg = Debug|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|x64.Build.0 = Debug|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|Win32.ActiveCfg = Release|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|Win32.Build.0 = Release|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|x64.ActiveCfg = Release|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|x64.Build.0 = Release|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|Win32.ActiveCfg = Debug|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|Win32.Build.0 = Debug|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|x64.ActiveCfg = Debug|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|x64.Build.0 = Debug|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|Win32.ActiveCfg = Release|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|Win32.Build.0 = Release|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|x64.ActiveCfg = Release|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|x64.Build.0 = Release|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|Win32.ActiveCfg = Debug|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|Win32.Build.0 = Debug|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|x64.ActiveCfg = Debug|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|x64.Build.0 = Debug|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|Win32.ActiveCfg = Release|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|Win32.Build.0 = Release|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|x64.ActiveCfg = Release|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|x64.Build.0 = Release|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|Win32.ActiveCfg = Debug|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|Win32.Build.0 = Debug|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|x64.ActiveCfg = Debug|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|x64.Build.0 = Debug|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|Win32.ActiveCfg = Release|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|Win32.Build.0 = Release|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|x64.ActiveCfg = Release|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|x64.Build.0 = Release|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|Win32.ActiveCfg = Debug|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|Win32.Build.0 = Debug|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|x64.ActiveCfg = Debug|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|x64.Build.0 = Debug|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|Win32.ActiveCfg = Release|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|Win32.Build.0 = Release|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|x64.ActiveCfg = Release|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|x64.Build.0 = Release|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|Win32.ActiveCfg = Debug|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|Win32.Build.0 = Debug|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|x64.ActiveCfg = Debug|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|x64.Build.0 = Debug|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|Win32.ActiveCfg = Release|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|Win32.Build.0 = Release|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|x64.ActiveCfg = Release|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|x64.Build.0 = Release|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|Win32.ActiveCfg = Debug|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|Win32.Build.0 = Debug|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|x64.ActiveCfg = Debug|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|x64.Build.0 = Debug|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|Win32.ActiveCfg = Release|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|Win32.Build.0 = Release|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|x64.ActiveCfg = Release|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|x64.Build.0 = Release|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|Win32.ActiveCfg = Debug|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|Win32.Build.0 = Debug|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|x64.ActiveCfg = Debug|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|x64.Build.0 = Debug|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|Win32.ActiveCfg = Release|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|Win32.Build.0 = Release|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|x64.ActiveCfg = Release|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|x64.Build.0 = Release|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|Win32.ActiveCfg = Debug|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|Win32.Build.0 = Debug|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|x64.ActiveCfg = Debug|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|x64.Build.0 = Debug|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|Win32.ActiveCfg = Release|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|Win32.Build.0 = Release|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|x64.ActiveCfg = Release|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|x64.Build.0 = Release|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|Win32.ActiveCfg = Debug|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|Win32.Build.0 = Debug|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|x64.ActiveCfg = Debug|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|x64.Build.0 = Debug|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|Win32.ActiveCfg = Release|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|Win32.Build.0 = Release|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|x64.ActiveCfg = Release|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|x64.Build.0 = Release|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|Win32.ActiveCfg = Debug|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|Win32.Build.0 = Debug|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|x64.ActiveCfg = Debug|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|x64.Build.0 = Debug|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|Win32.ActiveCfg = Release|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|Win32.Build.0 = Release|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|x64.ActiveCfg = Release|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|x64.Build.0 = Release|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|Win32.ActiveCfg = Debug|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|Win32.Build.0 = Debug|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|x64.ActiveCfg = Debug|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|x64.Build.0 = Debug|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|Win32.ActiveCfg = Release|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|Win32.Build.0 = Release|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|x64.ActiveCfg = Release|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|x64.Build.0 = Release|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|Win32.ActiveCfg = Debug|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|Win32.Build.0 = Debug|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|x64.ActiveCfg = Debug|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|x64.Build.0 = Debug|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|Win32.ActiveCfg = Release|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|Win32.Build.0 = Release|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|x64.ActiveCfg = Release|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|x64.Build.0 = Release|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|Win32.ActiveCfg = Debug|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|Win32.Build.0 = Debug|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|x64.ActiveCfg = Debug|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|x64.Build.0 = Debug|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|Win32.ActiveCfg = Release|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|Win32.Build.0 = Release|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|x64.ActiveCfg = Release|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|x64.Build.0 = Release|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|Win32.ActiveCfg = Debug|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|Win32.Build.0 = Debug|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|x64.ActiveCfg = Debug|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|x64.Build.0 = Debug|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|Win32.ActiveCfg = Release|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|Win32.Build.0 = Release|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|x64.ActiveCfg = Release|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|x64.Build.0 = Release|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|Win32.ActiveCfg = Debug|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|Win32.Build.0 = Debug|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|x64.ActiveCfg = Debug|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|x64.Build.0 = Debug|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|Win32.ActiveCfg = Release|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|Win32.Build.0 = Release|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|x64.ActiveCfg = Release|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|x64.Build.0 = Release|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|Win32.Build.0 = Debug|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|x64.ActiveCfg = Debug|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|x64.Build.0 = Debug|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|Win32.ActiveCfg = Release|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|Win32.Build.0 = Release|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|x64.ActiveCfg = Release|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|x64.Build.0 = Release|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|Win32.ActiveCfg = Debug|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|Win32.Build.0 = Debug|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|x64.ActiveCfg = Debug|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|x64.Build.0 = Debug|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|Win32.ActiveCfg = Release|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|Win32.Build.0 = Release|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|x64.ActiveCfg = Release|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|x64.Build.0 = Release|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|Win32.ActiveCfg = Debug|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|Win32.Build.0 = Debug|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|x64.ActiveCfg = Debug|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|x64.Build.0 = Debug|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|Win32.ActiveCfg = Release|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|Win32.Build.0 = Release|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|x64.ActiveCfg = Release|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|x64.Build.0 = Release|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|Win32.ActiveCfg = Debug|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|Win32.Build.0 = Debug|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|x64.ActiveCfg = Debug|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|x64.Build.0 = Debug|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|Win32.ActiveCfg = Release|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|Win32.Build.0 = Release|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|x64.ActiveCfg = Release|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|x64.Build.0 = Release|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|Win32.ActiveCfg = Debug|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|Win32.Build.0 = Debug|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|x64.ActiveCfg = Debug|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|x64.Build.0 = Debug|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|Win32.ActiveCfg = Release|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|Win32.Build.0 = Release|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|x64.ActiveCfg = Release|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|x64.Build.0 = Release|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|Win32.Build.0 = Debug|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|x64.ActiveCfg = Debug|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|x64.Build.0 = Debug|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|Win32.ActiveCfg = Release|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|Win32.Build.0 = Release|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|x64.ActiveCfg = Release|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|x64.Build.0 = Release|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|Win32.ActiveCfg = Debug|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|Win32.Build.0 = Debug|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|x64.ActiveCfg = Debug|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|x64.Build.0 = Debug|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|Win32.ActiveCfg = Release|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|Win32.Build.0 = Release|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|x64.ActiveCfg = Release|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|x64.Build.0 = Release|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|Win32.ActiveCfg = Debug|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|Win32.Build.0 = Debug|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|x64.ActiveCfg = Debug|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|x64.Build.0 = Debug|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|Win32.ActiveCfg = Release|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|Win32.Build.0 = Release|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|x64.ActiveCfg = Release|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|x64.Build.0 = Release|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|Win32.ActiveCfg = Debug|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|Win32.Build.0 = Debug|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|x64.ActiveCfg = Debug|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|x64.Build.0 = Debug|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|Win32.ActiveCfg = Release|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|Win32.Build.0 = Release|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|x64.ActiveCfg = Release|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|x64.Build.0 = Release|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|Win32.ActiveCfg = Debug|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|Win32.Build.0 = Debug|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|x64.ActiveCfg = Debug|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|x64.Build.0 = Debug|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|Win32.ActiveCfg = Release|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|Win32.Build.0 = Release|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|x64.ActiveCfg = Release|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|x64.Build.0 = Release|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|Win32.ActiveCfg = Debug|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|Win32.Build.0 = Debug|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|x64.ActiveCfg = Debug|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|x64.Build.0 = Debug|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|Win32.ActiveCfg = Release|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|Win32.Build.0 = Release|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|x64.ActiveCfg = Release|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|x64.Build.0 = Release|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|Win32.ActiveCfg = Debug|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|Win32.Build.0 = Debug|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|x64.ActiveCfg = Debug|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|x64.Build.0 = Debug|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|Win32.ActiveCfg = Release|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|Win32.Build.0 = Release|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|x64.ActiveCfg = Release|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|x64.Build.0 = Release|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|Win32.ActiveCfg = Debug|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|Win32.Build.0 = Debug|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|x64.ActiveCfg = Debug|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|x64.Build.0 = Debug|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|Win32.ActiveCfg = Release|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|Win32.Build.0 = Release|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|x64.ActiveCfg = Release|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|x64.Build.0 = Release|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|Win32.ActiveCfg = Debug|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|Win32.Build.0 = Debug|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|x64.ActiveCfg = Debug|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|x64.Build.0 = Debug|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|Win32.ActiveCfg = Release|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|Win32.Build.0 = Release|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|x64.ActiveCfg = Release|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|x64.Build.0 = Release|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|Win32.ActiveCfg = Debug|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|Win32.Build.0 = Debug|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|x64.ActiveCfg = Debug|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|x64.Build.0 = Debug|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|Win32.ActiveCfg = Release|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|Win32.Build.0 = Release|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|x64.ActiveCfg = Release|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|x64.Build.0 = Release|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|Win32.ActiveCfg = Debug|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|Win32.Build.0 = Debug|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|x64.ActiveCfg = Debug|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|x64.Build.0 = Debug|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|Win32.ActiveCfg = Release|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|Win32.Build.0 = Release|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|x64.ActiveCfg = Release|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|x64.Build.0 = Release|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|Win32.ActiveCfg = Debug|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|Win32.Build.0 = Debug|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|x64.ActiveCfg = Debug|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|x64.Build.0 = Debug|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|Win32.ActiveCfg = Release|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|Win32.Build.0 = Release|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|x64.ActiveCfg = Release|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|x64.Build.0 = Release|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|Win32.ActiveCfg = Debug|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|Win32.Build.0 = Debug|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|x64.ActiveCfg = Debug|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|x64.Build.0 = Debug|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|Win32.ActiveCfg = Release|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|Win32.Build.0 = Release|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|x64.ActiveCfg = Release|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|x64.Build.0 = Release|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|Win32.ActiveCfg = Debug|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|Win32.Build.0 = Debug|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|x64.ActiveCfg = Debug|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|x64.Build.0 = Debug|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|Win32.ActiveCfg = Release|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|Win32.Build.0 = Release|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|x64.ActiveCfg = Release|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|x64.Build.0 = Release|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|Win32.ActiveCfg = Debug|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|Win32.Build.0 = Debug|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|x64.ActiveCfg = Debug|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|x64.Build.0 = Debug|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|Win32.ActiveCfg = Release|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|Win32.Build.0 = Release|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|x64.ActiveCfg = Release|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|x64.Build.0 = Release|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|Win32.ActiveCfg = Debug|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|Win32.Build.0 = Debug|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|x64.ActiveCfg = Debug|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|x64.Build.0 = Debug|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|Win32.ActiveCfg = Release|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|Win32.Build.0 = Release|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|x64.ActiveCfg = Release|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|x64.Build.0 = Release|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|Win32.ActiveCfg = Debug|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|Win32.Build.0 = Debug|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|x64.ActiveCfg = Debug|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|x64.Build.0 = Debug|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|Win32.ActiveCfg = Release|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|Win32.Build.0 = Release|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|x64.ActiveCfg = Release|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|x64.Build.0 = Release|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|Win32.ActiveCfg = Debug|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|Win32.Build.0 = Debug|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|x64.ActiveCfg = Debug|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|x64.Build.0 = Debug|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|Win32.ActiveCfg = Release|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|Win32.Build.0 = Release|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|x64.ActiveCfg = Release|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|x64.Build.0 = Release|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|Win32.ActiveCfg = Debug|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|Win32.Build.0 = Debug|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|x64.ActiveCfg = Debug|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|x64.Build.0 = Debug|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|Win32.ActiveCfg = Release|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|Win32.Build.0 = Release|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|x64.ActiveCfg = Release|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|x64.Build.0 = Release|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|Win32.ActiveCfg = Debug|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|Win32.Build.0 = Debug|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|x64.ActiveCfg = Debug|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|x64.Build.0 = Debug|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|Win32.ActiveCfg = Release|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|Win32.Build.0 = Release|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|x64.ActiveCfg = Release|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|x64.Build.0 = Release|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|Win32.ActiveCfg = Debug|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|Win32.Build.0 = Debug|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|x64.ActiveCfg = Debug|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|x64.Build.0 = Debug|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|Win32.ActiveCfg = Release|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|Win32.Build.0 = Release|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|x64.ActiveCfg = Release|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|x64.Build.0 = Release|x64
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Debug|Win32.ActiveCfg = Debug|Win32
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Debug|x64.ActiveCfg = Debug|x64
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Release|Win32.ActiveCfg = Release|Win32
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Release|x64.ActiveCfg = Release|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|Win32.ActiveCfg = Debug|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|Win32.Build.0 = Debug|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|x64.ActiveCfg = Debug|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|x64.Build.0 = Debug|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|Win32.ActiveCfg = Release|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|Win32.Build.0 = Release|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|x64.ActiveCfg = Release|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|x64.Build.0 = Release|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|Win32.ActiveCfg = Debug|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|Win32.Build.0 = Debug|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|x64.ActiveCfg = Debug|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|x64.Build.0 = Debug|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|Win32.ActiveCfg = Release|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|Win32.Build.0 = Release|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|x64.ActiveCfg = Release|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|x64.Build.0 = Release|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|Win32.ActiveCfg = Debug|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|Win32.Build.0 = Debug|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|x64.ActiveCfg = Debug|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|x64.Build.0 = Debug|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|Win32.ActiveCfg = Release|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|Win32.Build.0 = Release|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|x64.ActiveCfg = Release|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|x64.Build.0 = Release|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|Win32.ActiveCfg = Debug|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|Win32.Build.0 = Debug|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|x64.ActiveCfg = Debug|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|x64.Build.0 = Debug|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|Win32.ActiveCfg = Release|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|Win32.Build.0 = Release|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|x64.ActiveCfg = Release|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|x64.Build.0 = Release|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|Win32.ActiveCfg = Debug|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|Win32.Build.0 = Debug|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|x64.ActiveCfg = Debug|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|x64.Build.0 = Debug|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|Win32.ActiveCfg = Release|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|Win32.Build.0 = Release|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|x64.ActiveCfg = Release|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|x64.Build.0 = Release|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|Win32.ActiveCfg = Debug|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|Win32.Build.0 = Debug|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|x64.ActiveCfg = Debug|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|x64.Build.0 = Debug|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|Win32.ActiveCfg = Release|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|Win32.Build.0 = Release|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|x64.ActiveCfg = Release|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|x64.Build.0 = Release|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|Win32.ActiveCfg = Debug|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|Win32.Build.0 = Debug|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|x64.ActiveCfg = Debug|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|x64.Build.0 = Debug|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|Win32.ActiveCfg = Release|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|Win32.Build.0 = Release|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|x64.ActiveCfg = Release|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|x64.Build.0 = Release|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|Win32.ActiveCfg = Debug|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|Win32.Build.0 = Debug|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|x64.ActiveCfg = Debug|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|x64.Build.0 = Debug|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|Win32.ActiveCfg = Release|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|Win32.Build.0 = Release|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|x64.ActiveCfg = Release|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|x64.Build.0 = Release|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|Win32.ActiveCfg = Debug|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|Win32.Build.0 = Debug|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|x64.ActiveCfg = Debug|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|x64.Build.0 = Debug|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|Win32.ActiveCfg = Release|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|Win32.Build.0 = Release|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|x64.ActiveCfg = Release|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|x64.Build.0 = Release|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|Win32.ActiveCfg = Debug|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|Win32.Build.0 = Debug|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|x64.ActiveCfg = Debug|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|x64.Build.0 = Debug|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|Win32.ActiveCfg = Release|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|Win32.Build.0 = Release|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|x64.ActiveCfg = Release|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|x64.Build.0 = Release|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|Win32.ActiveCfg = Debug|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|Win32.Build.0 = Debug|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|x64.ActiveCfg = Debug|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|x64.Build.0 = Debug|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|Win32.ActiveCfg = Release|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|Win32.Build.0 = Release|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|x64.ActiveCfg = Release|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|x64.Build.0 = Release|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|Win32.ActiveCfg = Debug|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|Win32.Build.0 = Debug|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|x64.ActiveCfg = Debug|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|x64.Build.0 = Debug|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|Win32.ActiveCfg = Release|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|Win32.Build.0 = Release|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|x64.ActiveCfg = Release|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|x64.Build.0 = Release|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|Win32.ActiveCfg = Debug|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|Win32.Build.0 = Debug|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|x64.ActiveCfg = Debug|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|x64.Build.0 = Debug|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|Win32.ActiveCfg = Release|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|Win32.Build.0 = Release|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|x64.ActiveCfg = Release|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|x64.Build.0 = Release|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|Win32.ActiveCfg = Debug|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|Win32.Build.0 = Debug|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|x64.ActiveCfg = Debug|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|x64.Build.0 = Debug|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|Win32.ActiveCfg = Release|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|Win32.Build.0 = Release|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|x64.ActiveCfg = Release|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|x64.Build.0 = Release|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|Win32.ActiveCfg = Debug|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|Win32.Build.0 = Debug|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|x64.ActiveCfg = Debug|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|x64.Build.0 = Debug|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|Win32.ActiveCfg = Release|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|Win32.Build.0 = Release|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|x64.ActiveCfg = Release|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|x64.Build.0 = Release|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|Win32.ActiveCfg = Debug|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|Win32.Build.0 = Debug|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|x64.ActiveCfg = Debug|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|x64.Build.0 = Debug|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|Win32.ActiveCfg = Release|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|Win32.Build.0 = Release|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|x64.ActiveCfg = Release|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|x64.Build.0 = Release|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|Win32.ActiveCfg = Debug|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|Win32.Build.0 = Debug|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|x64.ActiveCfg = Debug|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|x64.Build.0 = Debug|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|Win32.ActiveCfg = Release|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|Win32.Build.0 = Release|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|x64.ActiveCfg = Release|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|x64.Build.0 = Release|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|Win32.ActiveCfg = Debug|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|Win32.Build.0 = Debug|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|x64.ActiveCfg = Debug|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|x64.Build.0 = Debug|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|Win32.ActiveCfg = Release|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|Win32.Build.0 = Release|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|x64.ActiveCfg = Release|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|x64.Build.0 = Release|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|Win32.ActiveCfg = Debug|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|Win32.Build.0 = Debug|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|x64.ActiveCfg = Debug|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|x64.Build.0 = Debug|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Release|Win32.ActiveCfg = Release|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Release|Win32.Build.0 = Release|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Release|x64.ActiveCfg = Release|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Release|x64.Build.0 = Release|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|Win32.ActiveCfg = Debug|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|Win32.Build.0 = Debug|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|x64.ActiveCfg = Debug|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|x64.Build.0 = Debug|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|Win32.ActiveCfg = Release|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|Win32.Build.0 = Release|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|x64.ActiveCfg = Release|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|x64.Build.0 = Release|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|Win32.ActiveCfg = Debug|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|Win32.Build.0 = Debug|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|x64.ActiveCfg = Debug|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|x64.Build.0 = Debug|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|Win32.ActiveCfg = Release|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|Win32.Build.0 = Release|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|x64.ActiveCfg = Release|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|x64.Build.0 = Release|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|Win32.ActiveCfg = Debug|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|Win32.Build.0 = Debug|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|x64.ActiveCfg = Debug|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|x64.Build.0 = Debug|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|Win32.ActiveCfg = Release|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|Win32.Build.0 = Release|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|x64.ActiveCfg = Release|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/proj/all/all.vcproj b/windows/proj/all/all.vcproj
deleted file mode 100644
index 659a54e..0000000
--- a/windows/proj/all/all.vcproj
+++ /dev/null
@@ -1,124 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="all"
- ProjectGUID="{24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}"
- Keyword="MakeFileProj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Debug/all.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/all.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/all.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/all.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/proj/all_fortran/all_fortran.sln b/windows/proj/all_fortran/all_fortran.sln
deleted file mode 100644
index 1a5f8dc..0000000
--- a/windows/proj/all_fortran/all_fortran.sln
+++ /dev/null
@@ -1,2965 +0,0 @@
-Microsoft Visual Studio Solution File, Format Version 10.00
-# Visual Studio 2008
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "all_fortran", "all_fortran.vcproj", "{24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}"
- ProjectSection(ProjectDependencies) = postProject
- {8C6D9C00-44A9-432F-B695-F56439C1B288} = {8C6D9C00-44A9-432F-B695-F56439C1B288}
- {0A049202-6533-413E-89D6-5D6866AAE703} = {0A049202-6533-413E-89D6-5D6866AAE703}
- {6FFCE804-EF4A-468F-A174-561934C153A1} = {6FFCE804-EF4A-468F-A174-561934C153A1}
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A} = {4AC79406-D6E0-43B3-82B0-7A032FABB52A}
- {E1F98D07-4724-46CB-B327-5677C1C9266D} = {E1F98D07-4724-46CB-B327-5677C1C9266D}
- {364FF608-7969-4ED1-95B2-8592872F8264} = {364FF608-7969-4ED1-95B2-8592872F8264}
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {854F7E09-CEB5-44CD-B924-3FFAC7936323} = {854F7E09-CEB5-44CD-B924-3FFAC7936323}
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5} = {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD} = {89DA820B-7A3B-46FA-AE09-971A739BEEFD}
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4} = {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90} = {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}
- {B21CA611-6DAE-4051-8B4C-989E135711B1} = {B21CA611-6DAE-4051-8B4C-989E135711B1}
- {F04E2D13-2096-4C67-AA4C-63C9015474B1} = {F04E2D13-2096-4C67-AA4C-63C9015474B1}
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F} = {9FCBE814-3818-4F1A-975D-05BAF6FF432F}
- {52E83C17-2B68-44B5-881D-4F6338FB14C7} = {52E83C17-2B68-44B5-881D-4F6338FB14C7}
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937} = {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E} = {E3B24219-DEB9-4ECB-809C-AD98EE51974E}
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0} = {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6} = {D279901A-8E21-47D3-B7EA-A572EE12F2E6}
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07} = {411D221C-9FA1-417E-8A2B-DF746F4C7E07}
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4} = {794B7E1E-E6AD-456D-9F33-FCE317325EC4}
- {7D293021-0601-498B-91B8-C49580EFB08D} = {7D293021-0601-498B-91B8-C49580EFB08D}
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576} = {BE1A0022-708E-4CC2-B01C-26BD99AB6576}
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A} = {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D} = {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}
- {C4811E26-A7DA-424D-8A44-F29DFD588533} = {C4811E26-A7DA-424D-8A44-F29DFD588533}
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5} = {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}
- {2248C52C-75DC-465B-A598-6E89CC93E00D} = {2248C52C-75DC-465B-A598-6E89CC93E00D}
- {834DD32C-D078-441F-95F4-9CDE108B60AE} = {834DD32C-D078-441F-95F4-9CDE108B60AE}
- {AF696934-5004-4C1D-90C3-B434E92AFB89} = {AF696934-5004-4C1D-90C3-B434E92AFB89}
- {3EDEB434-F59E-4C50-8884-F0BB29845619} = {3EDEB434-F59E-4C50-8884-F0BB29845619}
- {69952435-F01F-46A7-B907-A78EBC864ED7} = {69952435-F01F-46A7-B907-A78EBC864ED7}
- {D4395435-B3B0-4937-9AC5-89BD73C47303} = {D4395435-B3B0-4937-9AC5-89BD73C47303}
- {196F5935-2391-49A7-B6A2-410DF8149F0D} = {196F5935-2391-49A7-B6A2-410DF8149F0D}
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E} = {E5C9E235-E10F-4F46-A94F-A112CD8D867E}
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5} = {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7} = {0C5E3F36-3338-4B2C-A956-4D577B6119E7}
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF} = {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892} = {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}
- {80892339-F6CE-4E96-B61B-131095F2612D} = {80892339-F6CE-4E96-B61B-131095F2612D}
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A} = {9ADAE03A-2060-471E-A7B5-9D8F6995223A}
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF} = {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8} = {D8D53F43-41EE-486A-8DBD-956D8CD072E8}
- {CEA44545-33C8-4C63-9F8C-85BA48F45637} = {CEA44545-33C8-4C63-9F8C-85BA48F45637}
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827} = {03359B45-E43D-44B3-BDE5-8B14D9F0D827}
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987} = {F5109F4B-5869-40A7-BC6A-8130CA4BB987}
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE} = {71C6994C-3102-4A2A-B0AE-88A590CB36CE}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- {3C224452-C71A-4B3E-937A-891144D1941D} = {3C224452-C71A-4B3E-937A-891144D1941D}
- {37605955-FA00-41C9-9D39-D078CF270376} = {37605955-FA00-41C9-9D39-D078CF270376}
- {5E617A56-25B2-41E8-8D69-109600819716} = {5E617A56-25B2-41E8-8D69-109600819716}
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75} = {57A5C559-F1BD-49F1-9B5E-13591D22FD75}
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF} = {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F} = {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- {5A90FD64-6EED-45E1-A147-D9FE72788570} = {5A90FD64-6EED-45E1-A147-D9FE72788570}
- {68A52165-E0EF-4019-B658-1AC734649955} = {68A52165-E0EF-4019-B658-1AC734649955}
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB} = {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}
- {737F7A65-62E7-4707-B3DB-B9856131687D} = {737F7A65-62E7-4707-B3DB-B9856131687D}
- {6312B365-AA53-43AA-BD00-848C1323CA8B} = {6312B365-AA53-43AA-BD00-848C1323CA8B}
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823} = {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC} = {AE5D4766-9668-4EB5-B801-5DF8F53363FC}
- {F9428466-5FA2-47C9-BB02-288EDE7016A4} = {F9428466-5FA2-47C9-BB02-288EDE7016A4}
- {C325E167-DBC3-4611-8AC8-2A118432E35B} = {C325E167-DBC3-4611-8AC8-2A118432E35B}
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03} = {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}
- {7E207F6A-DC28-4DEB-8454-7977092131DC} = {7E207F6A-DC28-4DEB-8454-7977092131DC}
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767} = {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0} = {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}
- {C865016E-1FE1-4FD7-959D-62E795206E76} = {C865016E-1FE1-4FD7-959D-62E795206E76}
- {C2E6106F-1450-4F62-8D8E-17A93E986B26} = {C2E6106F-1450-4F62-8D8E-17A93E986B26}
- {0DA16B6F-0156-417A-9093-589D55BB066C} = {0DA16B6F-0156-417A-9093-589D55BB066C}
- {6923D270-FB9F-4F40-8268-9C542ADABD88} = {6923D270-FB9F-4F40-8268-9C542ADABD88}
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8} = {487B4E71-1CB9-49A1-920C-1F505D8B76F8}
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1} = {D1518671-CB9D-471F-8BCE-A03DE67F26B1}
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD} = {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {F445FB75-3390-47BE-8179-6A9222A9ACD8} = {F445FB75-3390-47BE-8179-6A9222A9ACD8}
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {8792D377-8105-4C67-87F1-115E48D0178F} = {8792D377-8105-4C67-87F1-115E48D0178F}
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50} = {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7} = {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B} = {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35} = {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}
- {EBF7C380-5F58-462D-993D-75B53F83FA81} = {EBF7C380-5F58-462D-993D-75B53F83FA81}
- {71A1C081-FF1C-452B-B938-95551D565302} = {71A1C081-FF1C-452B-B938-95551D565302}
- {7693B383-C2CB-43FD-A428-598F73D214F7} = {7693B383-C2CB-43FD-A428-598F73D214F7}
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C} = {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}
- {C8202A85-1F3A-4B34-869C-B1E8CA829299} = {C8202A85-1F3A-4B34-869C-B1E8CA829299}
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B} = {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0} = {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {A90ADD88-DA1B-4642-A97B-37DF89433858} = {A90ADD88-DA1B-4642-A97B-37DF89433858}
- {98AE818A-E887-414B-985F-85F8411916C9} = {98AE818A-E887-414B-985F-85F8411916C9}
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6} = {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF} = {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}
- {8708E58C-F990-4B6C-AD83-745CA9582E92} = {8708E58C-F990-4B6C-AD83-745CA9582E92}
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0} = {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169} = {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}
- {2B93688D-D766-4295-ABFA-003CF905F8D8} = {2B93688D-D766-4295-ABFA-003CF905F8D8}
- {FD8B058E-F53A-4197-B75E-849904E5AA79} = {FD8B058E-F53A-4197-B75E-849904E5AA79}
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F} = {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}
- {EFA04391-B35B-44C0-AB27-1383D4C9E358} = {EFA04391-B35B-44C0-AB27-1383D4C9E358}
- {9A226D92-9326-4907-A462-25997D5C9427} = {9A226D92-9326-4907-A462-25997D5C9427}
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F} = {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}
- {34EEE194-B77E-453E-9C59-252C0421188A} = {34EEE194-B77E-453E-9C59-252C0421188A}
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466} = {0E0F449A-7998-4113-BDD2-A74E0B6D3466}
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE} = {D15E5D9B-A1A6-4935-889C-D880FD0068CE}
- {3E41969B-D69B-4235-B192-A94F7853D869} = {3E41969B-D69B-4235-B192-A94F7853D869}
- {4941199C-EB11-460D-8EF7-9F68293AE202} = {4941199C-EB11-460D-8EF7-9F68293AE202}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC} = {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D} = {8CE6FF9D-8A14-4A45-971A-18972109AC9D}
- {531839A0-AFE6-482A-BF60-29890B89D4BF} = {531839A0-AFE6-482A-BF60-29890B89D4BF}
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4} = {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}
- {0C618DA2-4097-46B9-83D0-144AEB774568} = {0C618DA2-4097-46B9-83D0-144AEB774568}
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8} = {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33} = {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {E02CDAAC-05F4-436B-B245-2A402FFA131F} = {E02CDAAC-05F4-436B-B245-2A402FFA131F}
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160} = {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30} = {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}
- {265C41B2-30D7-4FF8-A08C-B997363DA763} = {265C41B2-30D7-4FF8-A08C-B997363DA763}
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB} = {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3} = {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3} = {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392} = {DBA493BD-3AF1-4616-8A80-F6FD41B70392}
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D} = {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0} = {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247} = {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850} = {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A} = {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14} = {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B} = {9321B2C5-74B3-4743-9D87-B0FDCB47373B}
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05} = {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF} = {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7} = {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}
- {F4386ECB-D688-4C18-A091-673F1F8A96E7} = {F4386ECB-D688-4C18-A091-673F1F8A96E7}
- {3F8103CC-1DB2-4C23-9ABC-430434244D40} = {3F8103CC-1DB2-4C23-9ABC-430434244D40}
- {E81413CC-046C-42B0-B862-0BB81AED2854} = {E81413CC-046C-42B0-B862-0BB81AED2854}
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E} = {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B} = {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}
- {D10F67D0-8057-49C2-A62A-12D0C512288E} = {D10F67D0-8057-49C2-A62A-12D0C512288E}
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A} = {B36344D1-122C-4BC6-A292-CC82F74CBB0A}
- {165195D1-B742-4030-8B12-3FE94B829D45} = {165195D1-B742-4030-8B12-3FE94B829D45}
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851} = {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A} = {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91} = {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF} = {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700} = {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5} = {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}
- {55894CDC-C220-40FE-B403-D74EAC6EBACF} = {55894CDC-C220-40FE-B403-D74EAC6EBACF}
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2} = {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE} = {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909} = {8659AEE0-2C9A-4666-B70F-C2B8280FD909}
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8} = {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C} = {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835} = {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}
- {E6A9BFE8-84DE-46C0-A372-72087598018E} = {E6A9BFE8-84DE-46C0-A372-72087598018E}
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098} = {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21} = {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8} = {1AB767EA-546C-4F72-BC1F-6AA0458512D8}
- {1B298EEC-0B47-4145-88AA-C6558E0BD993} = {1B298EEC-0B47-4145-88AA-C6558E0BD993}
- {685666ED-4640-47EE-AEA5-35B9602CA541} = {685666ED-4640-47EE-AEA5-35B9602CA541}
- {E8896FEE-8601-4AFC-91EA-6F9698574174} = {E8896FEE-8601-4AFC-91EA-6F9698574174}
- {4E8105F2-56D4-45D6-9017-706F804052E7} = {4E8105F2-56D4-45D6-9017-706F804052E7}
- {0CB176F2-1FA9-467A-986D-512FAD8144B0} = {0CB176F2-1FA9-467A-986D-512FAD8144B0}
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7} = {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27} = {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4} = {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4} = {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}
- {D1FD44F8-8263-4B29-985D-21CE26F45A76} = {D1FD44F8-8263-4B29-985D-21CE26F45A76}
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC} = {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0} = {34C0FDFA-81D6-4652-B841-894BD1A15FB0}
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33} = {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589} = {BA86B1FE-8CA7-4A96-9FD0-11941F885589}
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED} = {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF} = {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7} = {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "big", "..\..\test\big\big.vcproj", "{009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "bigdll", "..\..\test\bigdll\bigdll.vcproj", "{8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "binread", "..\..\tools\testfiles\binread\binread.vcproj", "{BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "bittests", "..\..\test\bittests\bittests.vcproj", "{958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "bittestsdll", "..\..\test\bittestsdll\bittestsdll.vcproj", "{E5C9E235-E10F-4F46-A94F-A112CD8D867E}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "btree2", "..\..\test\btree2\btree2.vcproj", "{8CE6FF9D-8A14-4A45-971A-18972109AC9D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "btree2dll", "..\..\test\btree2dll\btree2dll.vcproj", "{34EEE194-B77E-453E-9C59-252C0421188A}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cache", "..\..\test\cache\cache.vcproj", "{4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cache_api", "..\..\test\cache_api\cache_api.vcproj", "{4F8B23C1-9832-4C3B-A836-2FBB53F628A0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cache_apidll", "..\..\test\cache_apidll\cache_apidll.vcproj", "{EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cachedll", "..\..\test\cachedll\cachedll.vcproj", "{D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunk", "..\..\test\chunk\chunk.vcproj", "{57A5C559-F1BD-49F1-9B5E-13591D22FD75}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunkdll", "..\..\test\chunkdll\chunkdll.vcproj", "{4941199C-EB11-460D-8EF7-9F68293AE202}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cmpd_dset", "..\..\test\cmpd_dset\cmpd_dset.vcproj", "{BA86B1FE-8CA7-4A96-9FD0-11941F885589}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cmpd_dsetdll", "..\..\test\cmpd_dsetdll\cmpd_dsetdll.vcproj", "{8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cross_read", "..\..\test\cross_read\cross_read.vcproj", "{68A52165-E0EF-4019-B658-1AC734649955}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "cross_readdll", "..\..\test\cross_readdll\cross_readdll.vcproj", "{E1F98D07-4724-46CB-B327-5677C1C9266D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dangle", "..\..\test\dangle\dangle.vcproj", "{8C6D9C00-44A9-432F-B695-F56439C1B288}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dangledll", "..\..\test\dangledll\dangledll.vcproj", "{D15E5D9B-A1A6-4935-889C-D880FD0068CE}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dsets", "..\..\test\dsets\dsets.vcproj", "{A90ADD88-DA1B-4642-A97B-37DF89433858}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dsetsdll", "..\..\test\dsetsdll\dsetsdll.vcproj", "{1B298EEC-0B47-4145-88AA-C6558E0BD993}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dt_arith", "..\..\test\dt_arith\dt_arith.vcproj", "{AE5D4766-9668-4EB5-B801-5DF8F53363FC}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dt_arithdll", "..\..\test\dt_arithdll\dt_arithdll.vcproj", "{3E41969B-D69B-4235-B192-A94F7853D869}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtransform", "..\..\test\dtransform\dtransform.vcproj", "{F5109F4B-5869-40A7-BC6A-8130CA4BB987}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtransformdll", "..\..\test\dtransformdll\dtransformdll.vcproj", "{0E0F449A-7998-4113-BDD2-A74E0B6D3466}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtypes", "..\..\test\dtypes\dtypes.vcproj", "{4AC79406-D6E0-43B3-82B0-7A032FABB52A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "dtypesdll", "..\..\test\dtypesdll\dtypesdll.vcproj", "{AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "enum", "..\..\test\enum\enum.vcproj", "{FD8B058E-F53A-4197-B75E-849904E5AA79}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "enumdll", "..\..\test\enumdll\enumdll.vcproj", "{D8D53F43-41EE-486A-8DBD-956D8CD072E8}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "error_test", "..\..\test\error_test\error_test.vcproj", "{7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "error_testdll", "..\..\test\error_testdll\error_testdll.vcproj", "{55894CDC-C220-40FE-B403-D74EAC6EBACF}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "err_compat", "..\..\test\err_compat\err_compat.vcproj", "{265C41B2-30D7-4FF8-A08C-B997363DA763}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "err_compatdll", "..\..\test\err_compatdll\err_compatdll.vcproj", "{309CE6F8-4658-44CB-8E99-0B86DCA77EFC}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extend", "..\..\test\extend\extend.vcproj", "{8708E58C-F990-4B6C-AD83-745CA9582E92}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "extenddll", "..\..\test\extenddll\extenddll.vcproj", "{76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "external", "..\..\test\external\external.vcproj", "{7D293021-0601-498B-91B8-C49580EFB08D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "externaldll", "..\..\test\externaldll\externaldll.vcproj", "{0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fheap", "..\..\test\fheap\fheap.vcproj", "{AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fheapdll", "..\..\test\fheapdll\fheapdll.vcproj", "{CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fillval", "..\..\test\fillval\fillval.vcproj", "{CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "fillvaldll", "..\..\test\fillvaldll\fillvaldll.vcproj", "{0CB176F2-1FA9-467A-986D-512FAD8144B0}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush1", "..\..\test\flush1\flush1.vcproj", "{364FF608-7969-4ED1-95B2-8592872F8264}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush1dll", "..\..\test\flush1dll\flush1dll.vcproj", "{B36344D1-122C-4BC6-A292-CC82F74CBB0A}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush2", "..\..\test\flush2\flush2.vcproj", "{E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "flush2dll", "..\..\test\flush2dll\flush2dll.vcproj", "{F4386ECB-D688-4C18-A091-673F1F8A96E7}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "getname", "..\..\test\getname\getname.vcproj", "{B21CA611-6DAE-4051-8B4C-989E135711B1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "getnamedll", "..\..\test\getnamedll\getnamedll.vcproj", "{80892339-F6CE-4E96-B61B-131095F2612D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "getub", "..\..\test\getub\getub.vcproj", "{2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gheap", "..\..\test\gheap\gheap.vcproj", "{9FCBE814-3818-4F1A-975D-05BAF6FF432F}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gheapdll", "..\..\test\gheapdll\gheapdll.vcproj", "{0DA16B6F-0156-417A-9093-589D55BB066C}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gif2h5", "..\..\hl\tools\gifconv\gif2h5.vcproj", "{7C30B2A4-A24D-4796-9754-CABBDB46D0F8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "gif2h5dll", "..\..\hl\tools\gifconvdll\gif2h5dll.vcproj", "{C325E167-DBC3-4611-8AC8-2A118432E35B}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h52gif", "..\..\hl\tools\gifconv\h52gif.vcproj", "{AA7A40A2-A837-4557-AB3D-D64980F6F8E4}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h52gifdll", "..\..\hl\tools\gifconvdll\h52gifdll.vcproj", "{834DD32C-D078-441F-95F4-9CDE108B60AE}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5copy", "..\..\tools\h5copy\h5copy.vcproj", "{69952435-F01F-46A7-B907-A78EBC864ED7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5debug", "..\..\tools\h5debug\h5debug.vcproj", "{BE1A0022-708E-4CC2-B01C-26BD99AB6576}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5debugdll", "..\..\tools\h5debugdll\h5debugdll.vcproj", "{D10F67D0-8057-49C2-A62A-12D0C512288E}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5diff", "..\..\tools\h5diff\h5diff.vcproj", "{1C5A9EC8-F882-4A8A-B773-E79CD46369AF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5diffdll", "..\..\tools\h5diffdll\h5diffdll.vcproj", "{EC6B5EAD-D938-4211-A7B1-01C9D2C15160}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5difftst", "..\..\tools\TESTFILES\h5difftst\h5difftst.vcproj", "{1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5dump", "..\..\tools\h5dump\h5dump.vcproj", "{9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5dumpdll", "..\..\tools\h5dumpdll\h5dumpdll.vcproj", "{7E207F6A-DC28-4DEB-8454-7977092131DC}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5dumptst", "..\..\tools\testfiles\h5dumptst\h5dumptst.vcproj", "{0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5import", "..\..\tools\h5import\h5import.vcproj", "{9E588AD8-14BD-4BA3-B4EA-16D1D882C700}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5importdll", "..\..\tools\h5importdll\h5importdll.vcproj", "{2DCDB978-79B7-4A3A-B24A-D908A49B7D50}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5importtst", "..\..\tools\TESTFILES\h5importtst\h5importtst.vcproj", "{AF696934-5004-4C1D-90C3-B434E92AFB89}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5jam", "..\..\tools\h5jam\h5jam.vcproj", "{196F5935-2391-49A7-B6A2-410DF8149F0D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5jamtst", "..\..\tools\TESTFILES\h5jamtst\h5jamtst.vcproj", "{E8896FEE-8601-4AFC-91EA-6F9698574174}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5ls", "..\..\tools\h5ls\h5ls.vcproj", "{357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5lsdll", "..\..\tools\h5lsdll\h5lsdll.vcproj", "{18FBE8C2-CD20-4D99-9E0B-63B408CE4850}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5mkgrp", "..\..\tools\h5mkgrp\h5mkgrp.vcproj", "{ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repack", "..\..\tools\h5repack\h5repack.vcproj", "{411D221C-9FA1-417E-8A2B-DF746F4C7E07}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repackdll", "..\..\tools\h5repackdll\h5repackdll.vcproj", "{854F7E09-CEB5-44CD-B924-3FFAC7936323}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repacktst", "..\..\tools\testfiles\h5repacktst\h5repacktst.vcproj", "{AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repart", "..\..\tools\h5repart\h5repart.vcproj", "{F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repart_gentest", "..\..\tools\TESTFILES\h5repart_gentest\h5repart_gentest.vcproj", "{EBF7C380-5F58-462D-993D-75B53F83FA81}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5repartdll", "..\..\tools\h5repartdll\h5repartdll.vcproj", "{89DA820B-7A3B-46FA-AE09-971A739BEEFD}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5reparttst", "..\..\tools\TESTFILES\h5reparttst\h5reparttst.vcproj", "{53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5stat", "..\..\tools\h5stat\h5stat.vcproj", "{405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5statdll", "..\..\tools\h5statdll\h5statdll.vcproj", "{165195D1-B742-4030-8B12-3FE94B829D45}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5unjam", "..\..\tools\h5unjam\h5unjam.vcproj", "{52E83C17-2B68-44B5-881D-4F6338FB14C7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5", "..\hdf5\hdf5.vcproj", "{26346A09-C500-49E7-963A-D22A8E09AAB7}"
- ProjectSection(ProjectDependencies) = postProject
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757} = {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_cpp", "..\hdf5_cpp\hdf5_cpp.vcproj", "{FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_cppdll", "..\hdf5_cppdll\hdf5_cppdll.vcproj", "{D279901A-8E21-47D3-B7EA-A572EE12F2E6}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_f90cstub", "..\hdf5_f90cstub\hdf5_f90cstub.vcproj", "{CF055FEA-4433-439A-9688-BFD73D260706}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113} = {B6430FB3-3BEF-48C3-84DD-98106C6F6113}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_f90cstubdll", "..\hdf5_f90cstubdll\hdf5_f90cstubdll.vcproj", "{668327AB-1F82-46EE-A157-CD79AB8BF323}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl", "..\hdf5_hl\hdf5_hl.vcproj", "{9A124450-EC54-4813-B0B1-2CA96B695009}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl_cpp", "..\hdf5_hl_cpp\hdf5_hl_cpp.vcproj", "{7693B383-C2CB-43FD-A428-598F73D214F7}"
- ProjectSection(ProjectDependencies) = postProject
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl_cppdll", "..\hdf5_hl_cppdll\hdf5_hl_cppdll.vcproj", "{3EDEB434-F59E-4C50-8884-F0BB29845619}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl_f90cstubdll", "..\hdf5_hl_f90cstubdll\hdf5_hl_f90cstubdll.vcproj", "{DB6C7D17-28B1-4E5A-A61E-C53FEB545937}"
- ProjectSection(ProjectDependencies) = postProject
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hl_f90cstub", "..\hdf5_hl_fortran\hdf5_hl_f90cstub.vcproj", "{01DA0D22-D220-4ACE-9EB0-EA3906098C0A}"
- ProjectSection(ProjectDependencies) = postProject
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113} = {B6430FB3-3BEF-48C3-84DD-98106C6F6113}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5_hldll", "..\hdf5_hldll\hdf5_hldll.vcproj", "{CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hdf5dll", "..\hdf5dll\hdf5dll.vcproj", "{C9535AD9-C61D-4691-A5CE-52EF359892AF}"
- ProjectSection(ProjectDependencies) = postProject
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_ds", "..\..\hl\test\hl_test_ds\hl_test_ds.vcproj", "{6410E6D2-EDBF-439D-8C43-1AB0C37AC851}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_dsdll", "..\..\hl\test\hl_test_dsdll\hl_test_dsdll.vcproj", "{3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_image", "..\..\hl\test\hl_test_image\hl_test_image.vcproj", "{03359B45-E43D-44B3-BDE5-8B14D9F0D827}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_imagedll", "..\..\hl\test\hl_test_imagedll\hl_test_imagedll.vcproj", "{9A226D92-9326-4907-A462-25997D5C9427}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_lite", "..\..\hl\test\hl_test_lite\hl_test_lite.vcproj", "{6FFCE804-EF4A-468F-A174-561934C153A1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_litedll", "..\..\hl\test\hl_test_litedll\hl_test_litedll.vcproj", "{98AE818A-E887-414B-985F-85F8411916C9}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_packet", "..\..\hl\test\hl_test_packet\hl_test_packet.vcproj", "{5CC7FFCE-2612-41B6-AF83-C1B61F67949B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_packetdll", "..\..\hl\test\hl_test_packetdll\hl_test_packetdll.vcproj", "{E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_table", "..\..\hl\test\hl_test_table\hl_test_table.vcproj", "{43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_table_cpp", "..\..\hl\c++\test\hl_test_table_cpp\hl_test_table_cpp.vcproj", "{6312B365-AA53-43AA-BD00-848C1323CA8B}"
- ProjectSection(ProjectDependencies) = postProject
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- {7693B383-C2CB-43FD-A428-598F73D214F7} = {7693B383-C2CB-43FD-A428-598F73D214F7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_table_cppdll", "..\..\hl\c++\test\hl_test_table_cppdll\hl_test_table_cppdll.vcproj", "{0D18A50F-52B3-4322-AC0D-F15CD657CEC4}"
- ProjectSection(ProjectDependencies) = postProject
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6} = {D279901A-8E21-47D3-B7EA-A572EE12F2E6}
- {3EDEB434-F59E-4C50-8884-F0BB29845619} = {3EDEB434-F59E-4C50-8884-F0BB29845619}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hl_test_tabledll", "..\..\hl\test\hl_test_tabledll\hl_test_tabledll.vcproj", "{D1AADCA9-FB5A-4F44-8E11-8232941E2C33}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hyperslab", "..\..\test\hyperslab\hyperslab.vcproj", "{1AB767EA-546C-4F72-BC1F-6AA0458512D8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "hyperslabdll", "..\..\test\hyperslabdll\hyperslabdll.vcproj", "{CEA44545-33C8-4C63-9F8C-85BA48F45637}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "iopipe", "..\..\test\iopipe\iopipe.vcproj", "{73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "iopipedll", "..\..\test\iopipedll\iopipedll.vcproj", "{4E8105F2-56D4-45D6-9017-706F804052E7}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "istore", "..\..\test\istore\istore.vcproj", "{C4BA3E66-2310-43E7-B30A-ABDCCF44D823}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "istoredll", "..\..\test\istoredll\istoredll.vcproj", "{BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "lheap", "..\..\test\lheap\lheap.vcproj", "{5A90FD64-6EED-45E1-A147-D9FE72788570}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "lheapdll", "..\..\test\lheapdll\lheapdll.vcproj", "{E02CDAAC-05F4-436B-B245-2A402FFA131F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtest", "..\..\test\libtest\libtest.vcproj", "{A80D439C-37B4-4619-A122-1C69F567733B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtestdll", "..\..\test\libtestdll\libtestdll.vcproj", "{54BDA057-C716-4807-A35E-73185DCB236D}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtest_cstubdll", "..\..\fortran\test\libtest_cstubdll\libtest_cstubdll.vcproj", "{1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "libtest_cstub", "..\..\fortran\test\libtest_fortran\libtest_cstub.vcproj", "{2B93688D-D766-4295-ABFA-003CF905F8D8}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "links", "..\..\test\links\links.vcproj", "{8792D377-8105-4C67-87F1-115E48D0178F}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "linksdll", "..\..\test\linksdll\linksdll.vcproj", "{27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mount", "..\..\test\mount\mount.vcproj", "{4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mountdll", "..\..\test\mountdll\mountdll.vcproj", "{CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mtime", "..\..\test\mtime\mtime.vcproj", "{40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mtimedll", "..\..\test\mtimedll\mtimedll.vcproj", "{7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ntypes", "..\..\test\ntypes\ntypes.vcproj", "{0A049202-6533-413E-89D6-5D6866AAE703}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ntypesdll", "..\..\test\ntypesdll\ntypesdll.vcproj", "{9AAC897A-70FA-4E5E-BF48-F664C12B05C7}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "objcopy", "..\..\test\objcopy\objcopy.vcproj", "{34C0FDFA-81D6-4652-B841-894BD1A15FB0}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "objcopydll", "..\..\test\objcopydll\objcopydll.vcproj", "{794B7E1E-E6AD-456D-9F33-FCE317325EC4}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ohdr", "..\..\test\ohdr\ohdr.vcproj", "{DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ohdrdll", "..\..\test\ohdrdll\ohdrdll.vcproj", "{37605955-FA00-41C9-9D39-D078CF270376}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "overhead", "..\..\test\overhead\overhead.vcproj", "{9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "overheaddll", "..\..\test\overheaddll\overheaddll.vcproj", "{71A1C081-FF1C-452B-B938-95551D565302}"
- ProjectSection(ProjectDependencies) = postProject
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "pool", "..\..\test\pool\pool.vcproj", "{9ADAE03A-2060-471E-A7B5-9D8F6995223A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "pooldll", "..\..\test\pooldll\pooldll.vcproj", "{DFE42486-47A2-487C-81B9-DDCDA9F07BF0}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "reserved", "..\..\test\reserved\reserved.vcproj", "{2248C52C-75DC-465B-A598-6E89CC93E00D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "reserveddll", "..\..\test\reserveddll\reserveddll.vcproj", "{C2E6106F-1450-4F62-8D8E-17A93E986B26}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "set_extent", "..\..\test\set_extent\set_extent.vcproj", "{E81413CC-046C-42B0-B862-0BB81AED2854}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "set_extentdll", "..\..\test\set_extentdll\set_extentdll.vcproj", "{14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "stab", "..\..\test\stab\stab.vcproj", "{17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "stabdll", "..\..\test\stabdll\stabdll.vcproj", "{7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "talign", "..\..\tools\talign\talign.vcproj", "{E6A9BFE8-84DE-46C0-A372-72087598018E}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "taligndll", "..\..\tools\taligndll\taligndll.vcproj", "{3BBA31F8-2679-4655-975D-52FDA5ABD5C4}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "tellub", "..\..\test\tellub\tellub.vcproj", "{A26C50E9-D3FB-4490-9CD7-606EB2E77D21}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testh5repack_detect_szip", "..\..\tools\TESTFILES\testh5repack_detect_szip\testh5repack_detect_szip.vcproj", "{5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testh5repack_detect_szipdll", "..\..\tools\TESTFILES\testh5repack_detect_szipdll\testh5repack_detect_szipdll.vcproj", "{E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5", "..\..\test\testhdf5\testhdf5.vcproj", "{D1518671-CB9D-471F-8BCE-A03DE67F26B1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5_cpp", "..\..\c++\test\testhdf5_cpp\testhdf5_cpp.vcproj", "{EFA04391-B35B-44C0-AB27-1383D4C9E358}"
- ProjectSection(ProjectDependencies) = postProject
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5} = {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5_cppdll", "..\..\c++\test\testhdf5_cppdll\testhdf5_cppdll.vcproj", "{DBA493BD-3AF1-4616-8A80-F6FD41B70392}"
- ProjectSection(ProjectDependencies) = postProject
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6} = {D279901A-8E21-47D3-B7EA-A572EE12F2E6}
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "testhdf5dll", "..\..\test\testhdf5dll\testhdf5dll.vcproj", "{D1FD44F8-8263-4B29-985D-21CE26F45A76}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "toolslib", "..\..\tools\toolslib\toolslib.vcproj", "{473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "toolslibdll", "..\..\tools\toolslibdll\toolslibdll.vcproj", "{832DD776-BC7F-40B5-90D0-E6448014CA5B}"
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "ttsafedll", "..\..\test\ttsafedll\ttsafedll.vcproj", "{DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "unlink", "..\..\test\unlink\unlink.vcproj", "{9321B2C5-74B3-4743-9D87-B0FDCB47373B}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "unlinkdll", "..\..\test\unlinkdll\unlinkdll.vcproj", "{685666ED-4640-47EE-AEA5-35B9602CA541}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "vfd", "..\..\test\vfd\vfd.vcproj", "{744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "vfddll", "..\..\test\vfddll\vfddll.vcproj", "{0C5E3F36-3338-4B2C-A956-4D577B6119E7}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "flush1_fortran", "..\..\fortran\test\flush1_fortran\flush1_fortran.vfproj", "{F445FB75-3390-47BE-8179-6A9222A9ACD8}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF} = {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}
- {2B93688D-D766-4295-ABFA-003CF905F8D8} = {2B93688D-D766-4295-ABFA-003CF905F8D8}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "flush1_fortrandll", "..\..\fortran\test\flush1_fortrandll\flush1_fortrandll.vfproj", "{8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}"
- ProjectSection(ProjectDependencies) = postProject
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE} = {71C6994C-3102-4A2A-B0AE-88A590CB36CE}
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C} = {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "flush2_fortran", "..\..\fortran\test\flush2_fortran\flush2_fortran.vfproj", "{A4C4D9C2-DFB5-4A09-8C6D-968113C58247}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF} = {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}
- {2B93688D-D766-4295-ABFA-003CF905F8D8} = {2B93688D-D766-4295-ABFA-003CF905F8D8}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "flush2_fortrandll", "..\..\fortran\test\flush2_fortrandll\flush2_fortrandll.vfproj", "{C865016E-1FE1-4FD7-959D-62E795206E76}"
- ProjectSection(ProjectDependencies) = postProject
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE} = {71C6994C-3102-4A2A-B0AE-88A590CB36CE}
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C} = {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hdf5_fortran", "..\hdf5_fortran\hdf5_fortran.vfproj", "{26F2FDA4-17DC-4E1A-B9AC-124C460A4391}"
- ProjectSection(ProjectDependencies) = postProject
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113} = {B6430FB3-3BEF-48C3-84DD-98106C6F6113}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hdf5_fortrandll", "..\hdf5_fortrandll\hdf5_fortrandll.vfproj", "{1063E387-0167-411C-85B9-96B043C4BDB3}"
- ProjectSection(ProjectDependencies) = postProject
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113} = {B6430FB3-3BEF-48C3-84DD-98106C6F6113}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hdf5_hl_fortran", "..\hdf5_hl_fortran\hdf5_hl_fortran.vfproj", "{F9428466-5FA2-47C9-BB02-288EDE7016A4}"
- ProjectSection(ProjectDependencies) = postProject
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A} = {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hdf5_hl_fortrandll", "..\hdf5_hl_fortrandll\hdf5_hl_fortrandll.vfproj", "{487B4E71-1CB9-49A1-920C-1F505D8B76F8}"
- ProjectSection(ProjectDependencies) = postProject
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937} = {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hl_test_image_fortran", "..\..\hl\fortran\test\hl_test_image_fortran\hl_test_image_fortran.vfproj", "{1C2FF3B6-639A-4047-90DE-327B82BF3ACB}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A} = {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {F9428466-5FA2-47C9-BB02-288EDE7016A4} = {F9428466-5FA2-47C9-BB02-288EDE7016A4}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hl_test_image_fortrandll", "..\..\hl\fortran\test\hl_test_image_fortrandll\hl_test_image_fortrandll.vfproj", "{8659AEE0-2C9A-4666-B70F-C2B8280FD909}"
- ProjectSection(ProjectDependencies) = postProject
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937} = {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8} = {487B4E71-1CB9-49A1-920C-1F505D8B76F8}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hl_test_lite_fortran", "..\..\hl\fortran\test\hl_test_lite_fortran\hl_test_lite_fortran.vfproj", "{3F8103CC-1DB2-4C23-9ABC-430434244D40}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A} = {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {F9428466-5FA2-47C9-BB02-288EDE7016A4} = {F9428466-5FA2-47C9-BB02-288EDE7016A4}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hl_test_lite_fortrandll", "..\..\hl\fortran\test\hl_test_lite_fortrandll\hl_test_lite_fortrandll.vfproj", "{5E617A56-25B2-41E8-8D69-109600819716}"
- ProjectSection(ProjectDependencies) = postProject
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937} = {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8} = {487B4E71-1CB9-49A1-920C-1F505D8B76F8}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hl_test_table_fortran", "..\..\hl\fortran\test\hl_test_table_fortran\hl_test_table_fortran.vfproj", "{C8202A85-1F3A-4B34-869C-B1E8CA829299}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A} = {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}
- {9A124450-EC54-4813-B0B1-2CA96B695009} = {9A124450-EC54-4813-B0B1-2CA96B695009}
- {F9428466-5FA2-47C9-BB02-288EDE7016A4} = {F9428466-5FA2-47C9-BB02-288EDE7016A4}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "hl_test_table_fortrandll", "..\..\hl\fortran\test\hl_test_table_fortrandll\hl_test_table_fortrandll.vfproj", "{3F645EFF-3A91-4CF3-9B60-76E0C33686A7}"
- ProjectSection(ProjectDependencies) = postProject
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937} = {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8} = {487B4E71-1CB9-49A1-920C-1F505D8B76F8}
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C} = {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "libtest_fortran", "..\..\fortran\test\libtest_fortran\libtest_fortran.vfproj", "{84571A5E-D9A6-4672-9F86-3F8E32C93FDF}"
- ProjectSection(ProjectDependencies) = postProject
- {2B93688D-D766-4295-ABFA-003CF905F8D8} = {2B93688D-D766-4295-ABFA-003CF905F8D8}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "libtest_fortrandll", "..\..\fortran\test\libtest_fortrandll\libtest_fortrandll.vfproj", "{71C6994C-3102-4A2A-B0AE-88A590CB36CE}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C} = {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "testhdf5_fortran", "..\..\fortran\test\testhdf5_fortran\testhdf5_fortran.vfproj", "{F04E2D13-2096-4C67-AA4C-63C9015474B1}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF} = {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}
- {2B93688D-D766-4295-ABFA-003CF905F8D8} = {2B93688D-D766-4295-ABFA-003CF905F8D8}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "testhdf5_fortrandll", "..\..\fortran\test\testhdf5_fortrandll\testhdf5_fortrandll.vfproj", "{6923D270-FB9F-4F40-8268-9C542ADABD88}"
- ProjectSection(ProjectDependencies) = postProject
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE} = {71C6994C-3102-4A2A-B0AE-88A590CB36CE}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C} = {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5tinit", "..\..\misc\typegen\h5tinit\h5tinit.vcproj", "{B123D196-2F43-4FEB-80B5-990F06DED319}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "h5fortran_detect", "..\..\misc\typegen\h5fortran_detect\h5fortran_detect.vfproj", "{4505FF13-2C16-4348-8989-BB10AF85FB95}"
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "h5fort_type_defines", "..\..\misc\typegen\h5fort_type_defines\h5fort_type_defines.vfproj", "{4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}"
- ProjectSection(ProjectDependencies) = postProject
- {4505FF13-2C16-4348-8989-BB10AF85FB95} = {4505FF13-2C16-4348-8989-BB10AF85FB95}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "H5match_types", "..\..\misc\typegen\h5match_types\h5match_types.vcproj", "{B6430FB3-3BEF-48C3-84DD-98106C6F6113}"
- ProjectSection(ProjectDependencies) = postProject
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10} = {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunk_info", "..\..\test\chunk_info\chunk_info.vcproj", "{E3B24219-DEB9-4ECB-809C-AD98EE51974E}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "chunk_infodll", "..\..\test\chunk_infodll\chunk_infodll.vcproj", "{D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "testhdf5_fortran_1_8", "..\..\fortran\test\testhdf5_fortran_1_8\testhdf5_fortran_1_8.vfproj", "{04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF} = {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}
- {2B93688D-D766-4295-ABFA-003CF905F8D8} = {2B93688D-D766-4295-ABFA-003CF905F8D8}
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391} = {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}
- {CF055FEA-4433-439A-9688-BFD73D260706} = {CF055FEA-4433-439A-9688-BFD73D260706}
- EndProjectSection
-EndProject
-Project("{6989167D-11E4-40FE-8C1A-2192A86A7E90}") = "testhdf5_fortran_1_8dll", "..\..\fortran\test\testhdf5_fortran_1_8dll\testhdf5_fortran_1_8dll.vfproj", "{531839A0-AFE6-482A-BF60-29890B89D4BF}"
- ProjectSection(ProjectDependencies) = postProject
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE} = {71C6994C-3102-4A2A-B0AE-88A590CB36CE}
- {1063E387-0167-411C-85B9-96B043C4BDB3} = {1063E387-0167-411C-85B9-96B043C4BDB3}
- {668327AB-1F82-46EE-A157-CD79AB8BF323} = {668327AB-1F82-46EE-A157-CD79AB8BF323}
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C} = {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "app_ref", "..\..\test\app_ref\app_ref.vcproj", "{C35122F6-49FF-4AAA-A2AA-482628E5E2A7}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "app_refdll", "..\..\test\app_refdll\app_refdll.vcproj", "{0C618DA2-4097-46B9-83D0-144AEB774568}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "earray", "..\..\test\earray\earray.vcproj", "{D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "earraydll", "..\..\test\earraydll\earraydll.vcproj", "{3C224452-C71A-4B3E-937A-891144D1941D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "freespace", "..\..\test\freespace\freespace.vcproj", "{D4395435-B3B0-4937-9AC5-89BD73C47303}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "freespacedll", "..\..\test\freespacedll\freespacedll.vcproj", "{737F7A65-62E7-4707-B3DB-B9856131687D}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mf", "..\..\test\mf\mf.vcproj", "{4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "mfdll", "..\..\test\mfdll\mfdll.vcproj", "{C4811E26-A7DA-424D-8A44-F29DFD588533}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "perf_serial", "..\..\perform\perf_serial\perf_serial.vcproj", "{B8923279-9E37-43D2-8ECF-5225BFB3356A}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "perf_serialdll", "..\..\perform\perf_serialdll\perf_serialdll.vcproj", "{BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "farray", "..\..\test\farray\farray.vcproj", "{AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {A80D439C-37B4-4619-A122-1C69F567733B} = {A80D439C-37B4-4619-A122-1C69F567733B}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "farraydll", "..\..\test\farraydll\farraydll.vcproj", "{79FF58EE-7427-4732-AC25-370341859292}"
- ProjectSection(ProjectDependencies) = postProject
- {54BDA057-C716-4807-A35E-73185DCB236D} = {54BDA057-C716-4807-A35E-73185DCB236D}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "tcheckversion", "..\..\test\tcheckversion\tcheckversion.vcproj", "{DFB6DCC1-2E00-4566-B935-F32172FDA483}"
- ProjectSection(ProjectDependencies) = postProject
- {26346A09-C500-49E7-963A-D22A8E09AAB7} = {26346A09-C500-49E7-963A-D22A8E09AAB7}
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A} = {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "tcheckversiondll", "..\..\test\tcheckversiondll\tcheckversiondll.vcproj", "{7B3EB7A5-DA01-4488-A06B-63E2941EE078}"
- ProjectSection(ProjectDependencies) = postProject
- {832DD776-BC7F-40B5-90D0-E6448014CA5B} = {832DD776-BC7F-40B5-90D0-E6448014CA5B}
- {C9535AD9-C61D-4691-A5CE-52EF359892AF} = {C9535AD9-C61D-4691-A5CE-52EF359892AF}
- EndProjectSection
-EndProject
-Project("{8BC9CEB8-8B4A-11D0-8D11-00A0C91BC942}") = "h5libsettings", "..\..\misc\typegen\h5libsettings\h5libsettings.vcproj", "{50D207BC-2B27-4BD9-B5D4-FCF8358BE757}"
- ProjectSection(ProjectDependencies) = postProject
- {B123D196-2F43-4FEB-80B5-990F06DED319} = {B123D196-2F43-4FEB-80B5-990F06DED319}
- EndProjectSection
-EndProject
-Global
- GlobalSection(SolutionConfigurationPlatforms) = preSolution
- Debug|Win32 = Debug|Win32
- Debug|x64 = Debug|x64
- Release|Win32 = Release|Win32
- Release|x64 = Release|x64
- EndGlobalSection
- GlobalSection(ProjectConfigurationPlatforms) = postSolution
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|Win32.ActiveCfg = Debug|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|Win32.Build.0 = Debug|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|x64.ActiveCfg = Debug|x64
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Debug|x64.Build.0 = Debug|x64
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|Win32.ActiveCfg = Release|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|Win32.Build.0 = Release|Win32
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|x64.ActiveCfg = Release|x64
- {24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}.Release|x64.Build.0 = Release|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|Win32.Build.0 = Debug|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|x64.ActiveCfg = Debug|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Debug|x64.Build.0 = Debug|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|Win32.ActiveCfg = Release|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|Win32.Build.0 = Release|Win32
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|x64.ActiveCfg = Release|x64
- {009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}.Release|x64.Build.0 = Release|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|Win32.ActiveCfg = Debug|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|Win32.Build.0 = Debug|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|x64.ActiveCfg = Debug|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Debug|x64.Build.0 = Debug|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|Win32.ActiveCfg = Release|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|Win32.Build.0 = Release|Win32
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|x64.ActiveCfg = Release|x64
- {8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}.Release|x64.Build.0 = Release|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|Win32.ActiveCfg = Debug|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|Win32.Build.0 = Debug|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|x64.ActiveCfg = Debug|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Debug|x64.Build.0 = Debug|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|Win32.ActiveCfg = Release|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|Win32.Build.0 = Release|Win32
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|x64.ActiveCfg = Release|x64
- {BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}.Release|x64.Build.0 = Release|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|Win32.ActiveCfg = Debug|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|Win32.Build.0 = Debug|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|x64.ActiveCfg = Debug|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Debug|x64.Build.0 = Debug|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|Win32.ActiveCfg = Release|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|Win32.Build.0 = Release|Win32
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|x64.ActiveCfg = Release|x64
- {958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}.Release|x64.Build.0 = Release|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|Win32.ActiveCfg = Debug|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|Win32.Build.0 = Debug|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|x64.ActiveCfg = Debug|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Debug|x64.Build.0 = Debug|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|Win32.ActiveCfg = Release|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|Win32.Build.0 = Release|Win32
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|x64.ActiveCfg = Release|x64
- {E5C9E235-E10F-4F46-A94F-A112CD8D867E}.Release|x64.Build.0 = Release|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|Win32.ActiveCfg = Debug|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|Win32.Build.0 = Debug|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|x64.ActiveCfg = Debug|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Debug|x64.Build.0 = Debug|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|Win32.ActiveCfg = Release|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|Win32.Build.0 = Release|Win32
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|x64.ActiveCfg = Release|x64
- {8CE6FF9D-8A14-4A45-971A-18972109AC9D}.Release|x64.Build.0 = Release|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|Win32.ActiveCfg = Debug|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|Win32.Build.0 = Debug|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|x64.ActiveCfg = Debug|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Debug|x64.Build.0 = Debug|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|Win32.ActiveCfg = Release|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|Win32.Build.0 = Release|Win32
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|x64.ActiveCfg = Release|x64
- {34EEE194-B77E-453E-9C59-252C0421188A}.Release|x64.Build.0 = Release|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|Win32.ActiveCfg = Debug|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|Win32.Build.0 = Debug|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|x64.ActiveCfg = Debug|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Debug|x64.Build.0 = Debug|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|Win32.ActiveCfg = Release|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|Win32.Build.0 = Release|Win32
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|x64.ActiveCfg = Release|x64
- {4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}.Release|x64.Build.0 = Release|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|Win32.ActiveCfg = Debug|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|Win32.Build.0 = Debug|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|x64.ActiveCfg = Debug|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Debug|x64.Build.0 = Debug|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|Win32.ActiveCfg = Release|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|Win32.Build.0 = Release|Win32
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|x64.ActiveCfg = Release|x64
- {4F8B23C1-9832-4C3B-A836-2FBB53F628A0}.Release|x64.Build.0 = Release|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|Win32.ActiveCfg = Debug|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|Win32.Build.0 = Debug|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|x64.ActiveCfg = Debug|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Debug|x64.Build.0 = Debug|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|Win32.ActiveCfg = Release|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|Win32.Build.0 = Release|Win32
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|x64.ActiveCfg = Release|x64
- {EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}.Release|x64.Build.0 = Release|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|Win32.ActiveCfg = Debug|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|Win32.Build.0 = Debug|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|x64.ActiveCfg = Debug|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Debug|x64.Build.0 = Debug|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|Win32.ActiveCfg = Release|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|Win32.Build.0 = Release|Win32
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|x64.ActiveCfg = Release|x64
- {D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}.Release|x64.Build.0 = Release|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|Win32.ActiveCfg = Debug|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|Win32.Build.0 = Debug|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|x64.ActiveCfg = Debug|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Debug|x64.Build.0 = Debug|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|Win32.ActiveCfg = Release|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|Win32.Build.0 = Release|Win32
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|x64.ActiveCfg = Release|x64
- {57A5C559-F1BD-49F1-9B5E-13591D22FD75}.Release|x64.Build.0 = Release|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|Win32.ActiveCfg = Debug|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|Win32.Build.0 = Debug|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|x64.ActiveCfg = Debug|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Debug|x64.Build.0 = Debug|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|Win32.ActiveCfg = Release|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|Win32.Build.0 = Release|Win32
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|x64.ActiveCfg = Release|x64
- {4941199C-EB11-460D-8EF7-9F68293AE202}.Release|x64.Build.0 = Release|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|Win32.ActiveCfg = Debug|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|Win32.Build.0 = Debug|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|x64.ActiveCfg = Debug|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Debug|x64.Build.0 = Debug|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|Win32.ActiveCfg = Release|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|Win32.Build.0 = Release|Win32
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|x64.ActiveCfg = Release|x64
- {BA86B1FE-8CA7-4A96-9FD0-11941F885589}.Release|x64.Build.0 = Release|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|Win32.ActiveCfg = Debug|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|Win32.Build.0 = Debug|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|x64.ActiveCfg = Debug|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Debug|x64.Build.0 = Debug|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|Win32.ActiveCfg = Release|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|Win32.Build.0 = Release|Win32
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|x64.ActiveCfg = Release|x64
- {8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}.Release|x64.Build.0 = Release|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|Win32.ActiveCfg = Debug|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|Win32.Build.0 = Debug|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|x64.ActiveCfg = Debug|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Debug|x64.Build.0 = Debug|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|Win32.ActiveCfg = Release|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|Win32.Build.0 = Release|Win32
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|x64.ActiveCfg = Release|x64
- {68A52165-E0EF-4019-B658-1AC734649955}.Release|x64.Build.0 = Release|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|Win32.ActiveCfg = Debug|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|Win32.Build.0 = Debug|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|x64.ActiveCfg = Debug|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Debug|x64.Build.0 = Debug|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|Win32.ActiveCfg = Release|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|Win32.Build.0 = Release|Win32
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|x64.ActiveCfg = Release|x64
- {E1F98D07-4724-46CB-B327-5677C1C9266D}.Release|x64.Build.0 = Release|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|Win32.ActiveCfg = Debug|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|Win32.Build.0 = Debug|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|x64.ActiveCfg = Debug|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Debug|x64.Build.0 = Debug|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|Win32.ActiveCfg = Release|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|Win32.Build.0 = Release|Win32
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|x64.ActiveCfg = Release|x64
- {8C6D9C00-44A9-432F-B695-F56439C1B288}.Release|x64.Build.0 = Release|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|Win32.ActiveCfg = Debug|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|Win32.Build.0 = Debug|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|x64.ActiveCfg = Debug|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Debug|x64.Build.0 = Debug|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|Win32.ActiveCfg = Release|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|Win32.Build.0 = Release|Win32
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|x64.ActiveCfg = Release|x64
- {D15E5D9B-A1A6-4935-889C-D880FD0068CE}.Release|x64.Build.0 = Release|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|Win32.ActiveCfg = Debug|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|Win32.Build.0 = Debug|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|x64.ActiveCfg = Debug|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Debug|x64.Build.0 = Debug|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|Win32.ActiveCfg = Release|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|Win32.Build.0 = Release|Win32
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|x64.ActiveCfg = Release|x64
- {A90ADD88-DA1B-4642-A97B-37DF89433858}.Release|x64.Build.0 = Release|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|Win32.ActiveCfg = Debug|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|Win32.Build.0 = Debug|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|x64.ActiveCfg = Debug|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Debug|x64.Build.0 = Debug|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|Win32.ActiveCfg = Release|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|Win32.Build.0 = Release|Win32
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|x64.ActiveCfg = Release|x64
- {1B298EEC-0B47-4145-88AA-C6558E0BD993}.Release|x64.Build.0 = Release|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|Win32.ActiveCfg = Debug|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|Win32.Build.0 = Debug|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|x64.ActiveCfg = Debug|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Debug|x64.Build.0 = Debug|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|Win32.ActiveCfg = Release|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|Win32.Build.0 = Release|Win32
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|x64.ActiveCfg = Release|x64
- {AE5D4766-9668-4EB5-B801-5DF8F53363FC}.Release|x64.Build.0 = Release|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|Win32.ActiveCfg = Debug|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|Win32.Build.0 = Debug|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|x64.ActiveCfg = Debug|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Debug|x64.Build.0 = Debug|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|Win32.ActiveCfg = Release|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|Win32.Build.0 = Release|Win32
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|x64.ActiveCfg = Release|x64
- {3E41969B-D69B-4235-B192-A94F7853D869}.Release|x64.Build.0 = Release|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|Win32.ActiveCfg = Debug|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|Win32.Build.0 = Debug|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|x64.ActiveCfg = Debug|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Debug|x64.Build.0 = Debug|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|Win32.ActiveCfg = Release|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|Win32.Build.0 = Release|Win32
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|x64.ActiveCfg = Release|x64
- {F5109F4B-5869-40A7-BC6A-8130CA4BB987}.Release|x64.Build.0 = Release|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|Win32.ActiveCfg = Debug|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|Win32.Build.0 = Debug|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|x64.ActiveCfg = Debug|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Debug|x64.Build.0 = Debug|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|Win32.ActiveCfg = Release|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|Win32.Build.0 = Release|Win32
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|x64.ActiveCfg = Release|x64
- {0E0F449A-7998-4113-BDD2-A74E0B6D3466}.Release|x64.Build.0 = Release|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|Win32.ActiveCfg = Debug|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|Win32.Build.0 = Debug|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|x64.ActiveCfg = Debug|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Debug|x64.Build.0 = Debug|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|Win32.ActiveCfg = Release|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|Win32.Build.0 = Release|Win32
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|x64.ActiveCfg = Release|x64
- {4AC79406-D6E0-43B3-82B0-7A032FABB52A}.Release|x64.Build.0 = Release|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|Win32.ActiveCfg = Debug|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|Win32.Build.0 = Debug|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|x64.ActiveCfg = Debug|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Debug|x64.Build.0 = Debug|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|Win32.ActiveCfg = Release|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|Win32.Build.0 = Release|Win32
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|x64.ActiveCfg = Release|x64
- {AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}.Release|x64.Build.0 = Release|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|Win32.ActiveCfg = Debug|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|Win32.Build.0 = Debug|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|x64.ActiveCfg = Debug|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Debug|x64.Build.0 = Debug|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|Win32.ActiveCfg = Release|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|Win32.Build.0 = Release|Win32
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|x64.ActiveCfg = Release|x64
- {FD8B058E-F53A-4197-B75E-849904E5AA79}.Release|x64.Build.0 = Release|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|Win32.ActiveCfg = Debug|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|Win32.Build.0 = Debug|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|x64.ActiveCfg = Debug|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Debug|x64.Build.0 = Debug|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|Win32.ActiveCfg = Release|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|Win32.Build.0 = Release|Win32
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|x64.ActiveCfg = Release|x64
- {D8D53F43-41EE-486A-8DBD-956D8CD072E8}.Release|x64.Build.0 = Release|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|Win32.ActiveCfg = Debug|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|Win32.Build.0 = Debug|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|x64.ActiveCfg = Debug|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Debug|x64.Build.0 = Debug|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|Win32.ActiveCfg = Release|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|Win32.Build.0 = Release|Win32
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|x64.ActiveCfg = Release|x64
- {7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}.Release|x64.Build.0 = Release|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|Win32.ActiveCfg = Debug|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|Win32.Build.0 = Debug|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|x64.ActiveCfg = Debug|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Debug|x64.Build.0 = Debug|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|Win32.ActiveCfg = Release|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|Win32.Build.0 = Release|Win32
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|x64.ActiveCfg = Release|x64
- {55894CDC-C220-40FE-B403-D74EAC6EBACF}.Release|x64.Build.0 = Release|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|Win32.ActiveCfg = Debug|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|Win32.Build.0 = Debug|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|x64.ActiveCfg = Debug|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Debug|x64.Build.0 = Debug|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|Win32.ActiveCfg = Release|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|Win32.Build.0 = Release|Win32
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|x64.ActiveCfg = Release|x64
- {265C41B2-30D7-4FF8-A08C-B997363DA763}.Release|x64.Build.0 = Release|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|Win32.ActiveCfg = Debug|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|Win32.Build.0 = Debug|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|x64.ActiveCfg = Debug|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Debug|x64.Build.0 = Debug|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|Win32.ActiveCfg = Release|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|Win32.Build.0 = Release|Win32
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|x64.ActiveCfg = Release|x64
- {309CE6F8-4658-44CB-8E99-0B86DCA77EFC}.Release|x64.Build.0 = Release|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|Win32.ActiveCfg = Debug|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|Win32.Build.0 = Debug|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|x64.ActiveCfg = Debug|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Debug|x64.Build.0 = Debug|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|Win32.ActiveCfg = Release|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|Win32.Build.0 = Release|Win32
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|x64.ActiveCfg = Release|x64
- {8708E58C-F990-4B6C-AD83-745CA9582E92}.Release|x64.Build.0 = Release|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|Win32.ActiveCfg = Debug|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|Win32.Build.0 = Debug|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|x64.ActiveCfg = Debug|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Debug|x64.Build.0 = Debug|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|Win32.ActiveCfg = Release|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|Win32.Build.0 = Release|Win32
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|x64.ActiveCfg = Release|x64
- {76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}.Release|x64.Build.0 = Release|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|Win32.ActiveCfg = Debug|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|Win32.Build.0 = Debug|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|x64.ActiveCfg = Debug|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Debug|x64.Build.0 = Debug|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|Win32.ActiveCfg = Release|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|Win32.Build.0 = Release|Win32
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|x64.ActiveCfg = Release|x64
- {7D293021-0601-498B-91B8-C49580EFB08D}.Release|x64.Build.0 = Release|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|Win32.ActiveCfg = Debug|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|Win32.Build.0 = Debug|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|x64.ActiveCfg = Debug|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Debug|x64.Build.0 = Debug|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|Win32.ActiveCfg = Release|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|Win32.Build.0 = Release|Win32
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|x64.ActiveCfg = Release|x64
- {0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}.Release|x64.Build.0 = Release|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|Win32.ActiveCfg = Debug|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|Win32.Build.0 = Debug|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|x64.ActiveCfg = Debug|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Debug|x64.Build.0 = Debug|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|Win32.ActiveCfg = Release|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|Win32.Build.0 = Release|Win32
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|x64.ActiveCfg = Release|x64
- {AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}.Release|x64.Build.0 = Release|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|Win32.ActiveCfg = Debug|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|Win32.Build.0 = Debug|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|x64.ActiveCfg = Debug|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Debug|x64.Build.0 = Debug|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|Win32.ActiveCfg = Release|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|Win32.Build.0 = Release|Win32
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|x64.ActiveCfg = Release|x64
- {CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}.Release|x64.Build.0 = Release|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|Win32.ActiveCfg = Debug|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|Win32.Build.0 = Debug|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|x64.ActiveCfg = Debug|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Debug|x64.Build.0 = Debug|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|Win32.ActiveCfg = Release|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|Win32.Build.0 = Release|Win32
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|x64.ActiveCfg = Release|x64
- {CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}.Release|x64.Build.0 = Release|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|Win32.ActiveCfg = Debug|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|Win32.Build.0 = Debug|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|x64.ActiveCfg = Debug|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Debug|x64.Build.0 = Debug|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|Win32.ActiveCfg = Release|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|Win32.Build.0 = Release|Win32
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|x64.ActiveCfg = Release|x64
- {0CB176F2-1FA9-467A-986D-512FAD8144B0}.Release|x64.Build.0 = Release|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|Win32.ActiveCfg = Debug|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|Win32.Build.0 = Debug|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|x64.ActiveCfg = Debug|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Debug|x64.Build.0 = Debug|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|Win32.ActiveCfg = Release|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|Win32.Build.0 = Release|Win32
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|x64.ActiveCfg = Release|x64
- {364FF608-7969-4ED1-95B2-8592872F8264}.Release|x64.Build.0 = Release|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|Win32.ActiveCfg = Debug|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|Win32.Build.0 = Debug|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|x64.ActiveCfg = Debug|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Debug|x64.Build.0 = Debug|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|Win32.ActiveCfg = Release|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|Win32.Build.0 = Release|Win32
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|x64.ActiveCfg = Release|x64
- {B36344D1-122C-4BC6-A292-CC82F74CBB0A}.Release|x64.Build.0 = Release|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|Win32.ActiveCfg = Debug|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|Win32.Build.0 = Debug|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|x64.ActiveCfg = Debug|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Debug|x64.Build.0 = Debug|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|Win32.ActiveCfg = Release|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|Win32.Build.0 = Release|Win32
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|x64.ActiveCfg = Release|x64
- {E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}.Release|x64.Build.0 = Release|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|Win32.ActiveCfg = Debug|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|Win32.Build.0 = Debug|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|x64.ActiveCfg = Debug|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Debug|x64.Build.0 = Debug|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|Win32.ActiveCfg = Release|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|Win32.Build.0 = Release|Win32
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|x64.ActiveCfg = Release|x64
- {F4386ECB-D688-4C18-A091-673F1F8A96E7}.Release|x64.Build.0 = Release|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|Win32.ActiveCfg = Debug|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|Win32.Build.0 = Debug|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|x64.ActiveCfg = Debug|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Debug|x64.Build.0 = Debug|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|Win32.ActiveCfg = Release|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|Win32.Build.0 = Release|Win32
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|x64.ActiveCfg = Release|x64
- {B21CA611-6DAE-4051-8B4C-989E135711B1}.Release|x64.Build.0 = Release|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|Win32.ActiveCfg = Debug|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|Win32.Build.0 = Debug|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|x64.ActiveCfg = Debug|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Debug|x64.Build.0 = Debug|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|Win32.ActiveCfg = Release|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|Win32.Build.0 = Release|Win32
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|x64.ActiveCfg = Release|x64
- {80892339-F6CE-4E96-B61B-131095F2612D}.Release|x64.Build.0 = Release|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|Win32.ActiveCfg = Debug|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|Win32.Build.0 = Debug|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|x64.ActiveCfg = Debug|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Debug|x64.Build.0 = Debug|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|Win32.ActiveCfg = Release|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|Win32.Build.0 = Release|Win32
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|x64.ActiveCfg = Release|x64
- {2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}.Release|x64.Build.0 = Release|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|Win32.ActiveCfg = Debug|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|Win32.Build.0 = Debug|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|x64.ActiveCfg = Debug|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Debug|x64.Build.0 = Debug|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|Win32.ActiveCfg = Release|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|Win32.Build.0 = Release|Win32
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|x64.ActiveCfg = Release|x64
- {9FCBE814-3818-4F1A-975D-05BAF6FF432F}.Release|x64.Build.0 = Release|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|Win32.ActiveCfg = Debug|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|Win32.Build.0 = Debug|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|x64.ActiveCfg = Debug|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Debug|x64.Build.0 = Debug|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|Win32.ActiveCfg = Release|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|Win32.Build.0 = Release|Win32
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|x64.ActiveCfg = Release|x64
- {0DA16B6F-0156-417A-9093-589D55BB066C}.Release|x64.Build.0 = Release|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|Win32.ActiveCfg = Debug|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|Win32.Build.0 = Debug|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|x64.ActiveCfg = Debug|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Debug|x64.Build.0 = Debug|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|Win32.ActiveCfg = Release|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|Win32.Build.0 = Release|Win32
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|x64.ActiveCfg = Release|x64
- {7C30B2A4-A24D-4796-9754-CABBDB46D0F8}.Release|x64.Build.0 = Release|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|Win32.ActiveCfg = Debug|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|Win32.Build.0 = Debug|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|x64.ActiveCfg = Debug|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Debug|x64.Build.0 = Debug|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|Win32.ActiveCfg = Release|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|Win32.Build.0 = Release|Win32
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|x64.ActiveCfg = Release|x64
- {C325E167-DBC3-4611-8AC8-2A118432E35B}.Release|x64.Build.0 = Release|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|Win32.ActiveCfg = Debug|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|Win32.Build.0 = Debug|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|x64.ActiveCfg = Debug|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Debug|x64.Build.0 = Debug|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|Win32.ActiveCfg = Release|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|Win32.Build.0 = Release|Win32
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|x64.ActiveCfg = Release|x64
- {AA7A40A2-A837-4557-AB3D-D64980F6F8E4}.Release|x64.Build.0 = Release|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|Win32.ActiveCfg = Debug|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|Win32.Build.0 = Debug|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|x64.ActiveCfg = Debug|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Debug|x64.Build.0 = Debug|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|Win32.ActiveCfg = Release|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|Win32.Build.0 = Release|Win32
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|x64.ActiveCfg = Release|x64
- {834DD32C-D078-441F-95F4-9CDE108B60AE}.Release|x64.Build.0 = Release|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|Win32.ActiveCfg = Debug|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|Win32.Build.0 = Debug|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|x64.ActiveCfg = Debug|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Debug|x64.Build.0 = Debug|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|Win32.ActiveCfg = Release|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|Win32.Build.0 = Release|Win32
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|x64.ActiveCfg = Release|x64
- {69952435-F01F-46A7-B907-A78EBC864ED7}.Release|x64.Build.0 = Release|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|Win32.ActiveCfg = Debug|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|Win32.Build.0 = Debug|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|x64.ActiveCfg = Debug|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Debug|x64.Build.0 = Debug|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|Win32.ActiveCfg = Release|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|Win32.Build.0 = Release|Win32
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|x64.ActiveCfg = Release|x64
- {BE1A0022-708E-4CC2-B01C-26BD99AB6576}.Release|x64.Build.0 = Release|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|Win32.ActiveCfg = Debug|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|Win32.Build.0 = Debug|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|x64.ActiveCfg = Debug|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Debug|x64.Build.0 = Debug|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|Win32.ActiveCfg = Release|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|Win32.Build.0 = Release|Win32
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|x64.ActiveCfg = Release|x64
- {D10F67D0-8057-49C2-A62A-12D0C512288E}.Release|x64.Build.0 = Release|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|Win32.ActiveCfg = Debug|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|Win32.Build.0 = Debug|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|x64.ActiveCfg = Debug|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Debug|x64.Build.0 = Debug|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|Win32.ActiveCfg = Release|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|Win32.Build.0 = Release|Win32
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|x64.ActiveCfg = Release|x64
- {1C5A9EC8-F882-4A8A-B773-E79CD46369AF}.Release|x64.Build.0 = Release|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|Win32.ActiveCfg = Debug|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|Win32.Build.0 = Debug|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|x64.ActiveCfg = Debug|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Debug|x64.Build.0 = Debug|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|Win32.ActiveCfg = Release|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|Win32.Build.0 = Release|Win32
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|x64.ActiveCfg = Release|x64
- {EC6B5EAD-D938-4211-A7B1-01C9D2C15160}.Release|x64.Build.0 = Release|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|Win32.ActiveCfg = Debug|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|Win32.Build.0 = Debug|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|x64.ActiveCfg = Debug|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Debug|x64.Build.0 = Debug|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|Win32.ActiveCfg = Release|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|Win32.Build.0 = Release|Win32
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|x64.ActiveCfg = Release|x64
- {1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}.Release|x64.Build.0 = Release|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|Win32.Build.0 = Debug|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|x64.ActiveCfg = Debug|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Debug|x64.Build.0 = Debug|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|Win32.ActiveCfg = Release|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|Win32.Build.0 = Release|Win32
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|x64.ActiveCfg = Release|x64
- {9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}.Release|x64.Build.0 = Release|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|Win32.ActiveCfg = Debug|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|Win32.Build.0 = Debug|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|x64.ActiveCfg = Debug|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Debug|x64.Build.0 = Debug|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|Win32.ActiveCfg = Release|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|Win32.Build.0 = Release|Win32
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|x64.ActiveCfg = Release|x64
- {7E207F6A-DC28-4DEB-8454-7977092131DC}.Release|x64.Build.0 = Release|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|Win32.ActiveCfg = Debug|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|Win32.Build.0 = Debug|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|x64.ActiveCfg = Debug|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Debug|x64.Build.0 = Debug|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|Win32.ActiveCfg = Release|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|Win32.Build.0 = Release|Win32
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|x64.ActiveCfg = Release|x64
- {0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}.Release|x64.Build.0 = Release|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|Win32.ActiveCfg = Debug|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|Win32.Build.0 = Debug|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|x64.ActiveCfg = Debug|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Debug|x64.Build.0 = Debug|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|Win32.ActiveCfg = Release|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|Win32.Build.0 = Release|Win32
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|x64.ActiveCfg = Release|x64
- {9E588AD8-14BD-4BA3-B4EA-16D1D882C700}.Release|x64.Build.0 = Release|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|Win32.ActiveCfg = Debug|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|Win32.Build.0 = Debug|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|x64.ActiveCfg = Debug|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Debug|x64.Build.0 = Debug|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|Win32.ActiveCfg = Release|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|Win32.Build.0 = Release|Win32
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|x64.ActiveCfg = Release|x64
- {2DCDB978-79B7-4A3A-B24A-D908A49B7D50}.Release|x64.Build.0 = Release|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|Win32.ActiveCfg = Debug|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|Win32.Build.0 = Debug|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|x64.ActiveCfg = Debug|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Debug|x64.Build.0 = Debug|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|Win32.ActiveCfg = Release|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|Win32.Build.0 = Release|Win32
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|x64.ActiveCfg = Release|x64
- {AF696934-5004-4C1D-90C3-B434E92AFB89}.Release|x64.Build.0 = Release|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|Win32.ActiveCfg = Debug|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|Win32.Build.0 = Debug|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|x64.ActiveCfg = Debug|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Debug|x64.Build.0 = Debug|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|Win32.ActiveCfg = Release|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|Win32.Build.0 = Release|Win32
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|x64.ActiveCfg = Release|x64
- {196F5935-2391-49A7-B6A2-410DF8149F0D}.Release|x64.Build.0 = Release|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|Win32.ActiveCfg = Debug|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|Win32.Build.0 = Debug|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|x64.ActiveCfg = Debug|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Debug|x64.Build.0 = Debug|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|Win32.ActiveCfg = Release|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|Win32.Build.0 = Release|Win32
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|x64.ActiveCfg = Release|x64
- {E8896FEE-8601-4AFC-91EA-6F9698574174}.Release|x64.Build.0 = Release|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|Win32.ActiveCfg = Debug|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|Win32.Build.0 = Debug|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|x64.ActiveCfg = Debug|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Debug|x64.Build.0 = Debug|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|Win32.ActiveCfg = Release|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|Win32.Build.0 = Release|Win32
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|x64.ActiveCfg = Release|x64
- {357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}.Release|x64.Build.0 = Release|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|Win32.ActiveCfg = Debug|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|Win32.Build.0 = Debug|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|x64.ActiveCfg = Debug|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Debug|x64.Build.0 = Debug|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|Win32.ActiveCfg = Release|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|Win32.Build.0 = Release|Win32
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|x64.ActiveCfg = Release|x64
- {18FBE8C2-CD20-4D99-9E0B-63B408CE4850}.Release|x64.Build.0 = Release|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|Win32.ActiveCfg = Debug|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|Win32.Build.0 = Debug|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|x64.ActiveCfg = Debug|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Debug|x64.Build.0 = Debug|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|Win32.ActiveCfg = Release|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|Win32.Build.0 = Release|Win32
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|x64.ActiveCfg = Release|x64
- {ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}.Release|x64.Build.0 = Release|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|Win32.ActiveCfg = Debug|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|Win32.Build.0 = Debug|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|x64.ActiveCfg = Debug|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Debug|x64.Build.0 = Debug|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|Win32.ActiveCfg = Release|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|Win32.Build.0 = Release|Win32
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|x64.ActiveCfg = Release|x64
- {411D221C-9FA1-417E-8A2B-DF746F4C7E07}.Release|x64.Build.0 = Release|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|Win32.ActiveCfg = Debug|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|Win32.Build.0 = Debug|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|x64.ActiveCfg = Debug|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Debug|x64.Build.0 = Debug|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|Win32.ActiveCfg = Release|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|Win32.Build.0 = Release|Win32
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|x64.ActiveCfg = Release|x64
- {854F7E09-CEB5-44CD-B924-3FFAC7936323}.Release|x64.Build.0 = Release|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|Win32.ActiveCfg = Debug|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|Win32.Build.0 = Debug|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|x64.ActiveCfg = Debug|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Debug|x64.Build.0 = Debug|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|Win32.ActiveCfg = Release|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|Win32.Build.0 = Release|Win32
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|x64.ActiveCfg = Release|x64
- {AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}.Release|x64.Build.0 = Release|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|Win32.ActiveCfg = Debug|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|Win32.Build.0 = Debug|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|x64.ActiveCfg = Debug|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Debug|x64.Build.0 = Debug|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|Win32.ActiveCfg = Release|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|Win32.Build.0 = Release|Win32
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|x64.ActiveCfg = Release|x64
- {F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}.Release|x64.Build.0 = Release|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|Win32.ActiveCfg = Debug|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|Win32.Build.0 = Debug|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|x64.ActiveCfg = Debug|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Debug|x64.Build.0 = Debug|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|Win32.ActiveCfg = Release|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|Win32.Build.0 = Release|Win32
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|x64.ActiveCfg = Release|x64
- {EBF7C380-5F58-462D-993D-75B53F83FA81}.Release|x64.Build.0 = Release|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|Win32.ActiveCfg = Debug|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|Win32.Build.0 = Debug|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|x64.ActiveCfg = Debug|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Debug|x64.Build.0 = Debug|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|Win32.ActiveCfg = Release|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|Win32.Build.0 = Release|Win32
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|x64.ActiveCfg = Release|x64
- {89DA820B-7A3B-46FA-AE09-971A739BEEFD}.Release|x64.Build.0 = Release|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|Win32.ActiveCfg = Debug|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|Win32.Build.0 = Debug|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|x64.ActiveCfg = Debug|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Debug|x64.Build.0 = Debug|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|Win32.ActiveCfg = Release|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|Win32.Build.0 = Release|Win32
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|x64.ActiveCfg = Release|x64
- {53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}.Release|x64.Build.0 = Release|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|Win32.ActiveCfg = Debug|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|Win32.Build.0 = Debug|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|x64.ActiveCfg = Debug|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Debug|x64.Build.0 = Debug|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|Win32.ActiveCfg = Release|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|Win32.Build.0 = Release|Win32
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|x64.ActiveCfg = Release|x64
- {405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}.Release|x64.Build.0 = Release|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|Win32.ActiveCfg = Debug|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|Win32.Build.0 = Debug|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|x64.ActiveCfg = Debug|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Debug|x64.Build.0 = Debug|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|Win32.ActiveCfg = Release|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|Win32.Build.0 = Release|Win32
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|x64.ActiveCfg = Release|x64
- {165195D1-B742-4030-8B12-3FE94B829D45}.Release|x64.Build.0 = Release|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|Win32.Build.0 = Debug|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|x64.ActiveCfg = Debug|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Debug|x64.Build.0 = Debug|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|Win32.ActiveCfg = Release|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|Win32.Build.0 = Release|Win32
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|x64.ActiveCfg = Release|x64
- {52E83C17-2B68-44B5-881D-4F6338FB14C7}.Release|x64.Build.0 = Release|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|Win32.ActiveCfg = Debug|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|Win32.Build.0 = Debug|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|x64.ActiveCfg = Debug|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Debug|x64.Build.0 = Debug|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|Win32.ActiveCfg = Release|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|Win32.Build.0 = Release|Win32
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|x64.ActiveCfg = Release|x64
- {26346A09-C500-49E7-963A-D22A8E09AAB7}.Release|x64.Build.0 = Release|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|Win32.ActiveCfg = Debug|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|Win32.Build.0 = Debug|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|x64.ActiveCfg = Debug|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Debug|x64.Build.0 = Debug|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|Win32.ActiveCfg = Release|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|Win32.Build.0 = Release|Win32
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|x64.ActiveCfg = Release|x64
- {FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}.Release|x64.Build.0 = Release|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|Win32.ActiveCfg = Debug|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|Win32.Build.0 = Debug|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|x64.ActiveCfg = Debug|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Debug|x64.Build.0 = Debug|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|Win32.ActiveCfg = Release|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|Win32.Build.0 = Release|Win32
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|x64.ActiveCfg = Release|x64
- {D279901A-8E21-47D3-B7EA-A572EE12F2E6}.Release|x64.Build.0 = Release|x64
- {CF055FEA-4433-439A-9688-BFD73D260706}.Debug|Win32.ActiveCfg = Debug|Win32
- {CF055FEA-4433-439A-9688-BFD73D260706}.Debug|Win32.Build.0 = Debug|Win32
- {CF055FEA-4433-439A-9688-BFD73D260706}.Debug|x64.ActiveCfg = Debug|x64
- {CF055FEA-4433-439A-9688-BFD73D260706}.Debug|x64.Build.0 = Debug|x64
- {CF055FEA-4433-439A-9688-BFD73D260706}.Release|Win32.ActiveCfg = Release|Win32
- {CF055FEA-4433-439A-9688-BFD73D260706}.Release|Win32.Build.0 = Release|Win32
- {CF055FEA-4433-439A-9688-BFD73D260706}.Release|x64.ActiveCfg = Release|x64
- {CF055FEA-4433-439A-9688-BFD73D260706}.Release|x64.Build.0 = Release|x64
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Debug|Win32.ActiveCfg = Debug|Win32
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Debug|Win32.Build.0 = Debug|Win32
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Debug|x64.ActiveCfg = Debug|x64
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Debug|x64.Build.0 = Debug|x64
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Release|Win32.ActiveCfg = Release|Win32
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Release|Win32.Build.0 = Release|Win32
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Release|x64.ActiveCfg = Release|x64
- {668327AB-1F82-46EE-A157-CD79AB8BF323}.Release|x64.Build.0 = Release|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|Win32.Build.0 = Debug|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|x64.ActiveCfg = Debug|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Debug|x64.Build.0 = Debug|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|Win32.ActiveCfg = Release|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|Win32.Build.0 = Release|Win32
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|x64.ActiveCfg = Release|x64
- {9A124450-EC54-4813-B0B1-2CA96B695009}.Release|x64.Build.0 = Release|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|Win32.ActiveCfg = Debug|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|Win32.Build.0 = Debug|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|x64.ActiveCfg = Debug|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Debug|x64.Build.0 = Debug|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|Win32.ActiveCfg = Release|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|Win32.Build.0 = Release|Win32
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|x64.ActiveCfg = Release|x64
- {7693B383-C2CB-43FD-A428-598F73D214F7}.Release|x64.Build.0 = Release|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|Win32.ActiveCfg = Debug|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|Win32.Build.0 = Debug|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|x64.ActiveCfg = Debug|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Debug|x64.Build.0 = Debug|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|Win32.ActiveCfg = Release|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|Win32.Build.0 = Release|Win32
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|x64.ActiveCfg = Release|x64
- {3EDEB434-F59E-4C50-8884-F0BB29845619}.Release|x64.Build.0 = Release|x64
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Debug|Win32.ActiveCfg = Debug|Win32
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Debug|Win32.Build.0 = Debug|Win32
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Debug|x64.ActiveCfg = Debug|x64
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Debug|x64.Build.0 = Debug|x64
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Release|Win32.ActiveCfg = Release|Win32
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Release|Win32.Build.0 = Release|Win32
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Release|x64.ActiveCfg = Release|x64
- {DB6C7D17-28B1-4E5A-A61E-C53FEB545937}.Release|x64.Build.0 = Release|x64
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Debug|Win32.ActiveCfg = Debug|Win32
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Debug|Win32.Build.0 = Debug|Win32
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Debug|x64.ActiveCfg = Debug|x64
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Debug|x64.Build.0 = Debug|x64
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Release|Win32.ActiveCfg = Release|Win32
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Release|Win32.Build.0 = Release|Win32
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Release|x64.ActiveCfg = Release|x64
- {01DA0D22-D220-4ACE-9EB0-EA3906098C0A}.Release|x64.Build.0 = Release|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|Win32.ActiveCfg = Debug|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|Win32.Build.0 = Debug|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|x64.ActiveCfg = Debug|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Debug|x64.Build.0 = Debug|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|Win32.ActiveCfg = Release|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|Win32.Build.0 = Release|Win32
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|x64.ActiveCfg = Release|x64
- {CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}.Release|x64.Build.0 = Release|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|Win32.ActiveCfg = Debug|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|Win32.Build.0 = Debug|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|x64.ActiveCfg = Debug|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Debug|x64.Build.0 = Debug|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|Win32.ActiveCfg = Release|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|Win32.Build.0 = Release|Win32
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|x64.ActiveCfg = Release|x64
- {C9535AD9-C61D-4691-A5CE-52EF359892AF}.Release|x64.Build.0 = Release|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|Win32.ActiveCfg = Debug|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|Win32.Build.0 = Debug|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|x64.ActiveCfg = Debug|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Debug|x64.Build.0 = Debug|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|Win32.ActiveCfg = Release|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|Win32.Build.0 = Release|Win32
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|x64.ActiveCfg = Release|x64
- {6410E6D2-EDBF-439D-8C43-1AB0C37AC851}.Release|x64.Build.0 = Release|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|Win32.ActiveCfg = Debug|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|Win32.Build.0 = Debug|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|x64.ActiveCfg = Debug|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Debug|x64.Build.0 = Debug|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|Win32.ActiveCfg = Release|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|Win32.Build.0 = Release|Win32
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|x64.ActiveCfg = Release|x64
- {3B4A4A62-FDAC-4219-98BD-80C4FE5D4B2F}.Release|x64.Build.0 = Release|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|Win32.ActiveCfg = Debug|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|Win32.Build.0 = Debug|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|x64.ActiveCfg = Debug|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Debug|x64.Build.0 = Debug|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|Win32.ActiveCfg = Release|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|Win32.Build.0 = Release|Win32
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|x64.ActiveCfg = Release|x64
- {03359B45-E43D-44B3-BDE5-8B14D9F0D827}.Release|x64.Build.0 = Release|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|Win32.Build.0 = Debug|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|x64.ActiveCfg = Debug|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Debug|x64.Build.0 = Debug|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|Win32.ActiveCfg = Release|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|Win32.Build.0 = Release|Win32
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|x64.ActiveCfg = Release|x64
- {9A226D92-9326-4907-A462-25997D5C9427}.Release|x64.Build.0 = Release|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|Win32.ActiveCfg = Debug|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|Win32.Build.0 = Debug|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|x64.ActiveCfg = Debug|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Debug|x64.Build.0 = Debug|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|Win32.ActiveCfg = Release|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|Win32.Build.0 = Release|Win32
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|x64.ActiveCfg = Release|x64
- {6FFCE804-EF4A-468F-A174-561934C153A1}.Release|x64.Build.0 = Release|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|Win32.ActiveCfg = Debug|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|Win32.Build.0 = Debug|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|x64.ActiveCfg = Debug|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Debug|x64.Build.0 = Debug|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|Win32.ActiveCfg = Release|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|Win32.Build.0 = Release|Win32
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|x64.ActiveCfg = Release|x64
- {98AE818A-E887-414B-985F-85F8411916C9}.Release|x64.Build.0 = Release|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|Win32.ActiveCfg = Debug|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|Win32.Build.0 = Debug|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|x64.ActiveCfg = Debug|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Debug|x64.Build.0 = Debug|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|Win32.ActiveCfg = Release|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|Win32.Build.0 = Release|Win32
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|x64.ActiveCfg = Release|x64
- {5CC7FFCE-2612-41B6-AF83-C1B61F67949B}.Release|x64.Build.0 = Release|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|Win32.ActiveCfg = Debug|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|Win32.Build.0 = Debug|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|x64.ActiveCfg = Debug|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Debug|x64.Build.0 = Debug|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|Win32.ActiveCfg = Release|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|Win32.Build.0 = Release|Win32
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|x64.ActiveCfg = Release|x64
- {E3B02B7C-6CF5-42C5-8AF5-48D2B6D8F94B}.Release|x64.Build.0 = Release|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|Win32.ActiveCfg = Debug|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|Win32.Build.0 = Debug|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|x64.ActiveCfg = Debug|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Debug|x64.Build.0 = Debug|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|Win32.ActiveCfg = Release|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|Win32.Build.0 = Release|Win32
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|x64.ActiveCfg = Release|x64
- {43069CE7-E7CB-4EBF-A6CC-31E7A06D3835}.Release|x64.Build.0 = Release|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|Win32.ActiveCfg = Debug|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|Win32.Build.0 = Debug|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|x64.ActiveCfg = Debug|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Debug|x64.Build.0 = Debug|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|Win32.ActiveCfg = Release|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|Win32.Build.0 = Release|Win32
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|x64.ActiveCfg = Release|x64
- {6312B365-AA53-43AA-BD00-848C1323CA8B}.Release|x64.Build.0 = Release|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|Win32.ActiveCfg = Debug|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|Win32.Build.0 = Debug|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|x64.ActiveCfg = Debug|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Debug|x64.Build.0 = Debug|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|Win32.ActiveCfg = Release|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|Win32.Build.0 = Release|Win32
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|x64.ActiveCfg = Release|x64
- {0D18A50F-52B3-4322-AC0D-F15CD657CEC4}.Release|x64.Build.0 = Release|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|Win32.ActiveCfg = Debug|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|Win32.Build.0 = Debug|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|x64.ActiveCfg = Debug|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Debug|x64.Build.0 = Debug|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|Win32.ActiveCfg = Release|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|Win32.Build.0 = Release|Win32
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|x64.ActiveCfg = Release|x64
- {D1AADCA9-FB5A-4F44-8E11-8232941E2C33}.Release|x64.Build.0 = Release|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|Win32.ActiveCfg = Debug|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|Win32.Build.0 = Debug|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|x64.ActiveCfg = Debug|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Debug|x64.Build.0 = Debug|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|Win32.ActiveCfg = Release|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|Win32.Build.0 = Release|Win32
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|x64.ActiveCfg = Release|x64
- {1AB767EA-546C-4F72-BC1F-6AA0458512D8}.Release|x64.Build.0 = Release|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|Win32.ActiveCfg = Debug|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|Win32.Build.0 = Debug|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|x64.ActiveCfg = Debug|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Debug|x64.Build.0 = Debug|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|Win32.ActiveCfg = Release|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|Win32.Build.0 = Release|Win32
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|x64.ActiveCfg = Release|x64
- {CEA44545-33C8-4C63-9F8C-85BA48F45637}.Release|x64.Build.0 = Release|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|Win32.ActiveCfg = Debug|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|Win32.Build.0 = Debug|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|x64.ActiveCfg = Debug|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Debug|x64.Build.0 = Debug|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|Win32.ActiveCfg = Release|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|Win32.Build.0 = Release|Win32
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|x64.ActiveCfg = Release|x64
- {73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}.Release|x64.Build.0 = Release|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|Win32.ActiveCfg = Debug|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|Win32.Build.0 = Debug|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|x64.ActiveCfg = Debug|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Debug|x64.Build.0 = Debug|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|Win32.ActiveCfg = Release|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|Win32.Build.0 = Release|Win32
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|x64.ActiveCfg = Release|x64
- {4E8105F2-56D4-45D6-9017-706F804052E7}.Release|x64.Build.0 = Release|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|Win32.ActiveCfg = Debug|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|Win32.Build.0 = Debug|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|x64.ActiveCfg = Debug|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Debug|x64.Build.0 = Debug|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|Win32.ActiveCfg = Release|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|Win32.Build.0 = Release|Win32
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|x64.ActiveCfg = Release|x64
- {C4BA3E66-2310-43E7-B30A-ABDCCF44D823}.Release|x64.Build.0 = Release|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|Win32.ActiveCfg = Debug|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|Win32.Build.0 = Debug|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|x64.ActiveCfg = Debug|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Debug|x64.Build.0 = Debug|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|Win32.ActiveCfg = Release|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|Win32.Build.0 = Release|Win32
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|x64.ActiveCfg = Release|x64
- {BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}.Release|x64.Build.0 = Release|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|Win32.ActiveCfg = Debug|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|Win32.Build.0 = Debug|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|x64.ActiveCfg = Debug|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Debug|x64.Build.0 = Debug|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|Win32.ActiveCfg = Release|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|Win32.Build.0 = Release|Win32
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|x64.ActiveCfg = Release|x64
- {5A90FD64-6EED-45E1-A147-D9FE72788570}.Release|x64.Build.0 = Release|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|Win32.ActiveCfg = Debug|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|Win32.Build.0 = Debug|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|x64.ActiveCfg = Debug|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Debug|x64.Build.0 = Debug|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|Win32.ActiveCfg = Release|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|Win32.Build.0 = Release|Win32
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|x64.ActiveCfg = Release|x64
- {E02CDAAC-05F4-436B-B245-2A402FFA131F}.Release|x64.Build.0 = Release|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|Win32.ActiveCfg = Debug|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|Win32.Build.0 = Debug|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|x64.ActiveCfg = Debug|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Debug|x64.Build.0 = Debug|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|Win32.ActiveCfg = Release|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|Win32.Build.0 = Release|Win32
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|x64.ActiveCfg = Release|x64
- {A80D439C-37B4-4619-A122-1C69F567733B}.Release|x64.Build.0 = Release|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|Win32.ActiveCfg = Debug|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|Win32.Build.0 = Debug|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|x64.ActiveCfg = Debug|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Debug|x64.Build.0 = Debug|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|Win32.ActiveCfg = Release|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|Win32.Build.0 = Release|Win32
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|x64.ActiveCfg = Release|x64
- {54BDA057-C716-4807-A35E-73185DCB236D}.Release|x64.Build.0 = Release|x64
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Debug|Win32.ActiveCfg = Debug|Win32
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Debug|Win32.Build.0 = Debug|Win32
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Debug|x64.ActiveCfg = Debug|x64
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Debug|x64.Build.0 = Debug|x64
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Release|Win32.ActiveCfg = Release|Win32
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Release|Win32.Build.0 = Release|Win32
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Release|x64.ActiveCfg = Release|x64
- {1BFA0FE4-B924-4325-9EA1-3CB26BBAE31C}.Release|x64.Build.0 = Release|x64
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Debug|Win32.ActiveCfg = Debug|Win32
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Debug|Win32.Build.0 = Debug|Win32
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Debug|x64.ActiveCfg = Debug|x64
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Debug|x64.Build.0 = Debug|x64
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Release|Win32.ActiveCfg = Release|Win32
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Release|Win32.Build.0 = Release|Win32
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Release|x64.ActiveCfg = Release|x64
- {2B93688D-D766-4295-ABFA-003CF905F8D8}.Release|x64.Build.0 = Release|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|Win32.ActiveCfg = Debug|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|Win32.Build.0 = Debug|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|x64.ActiveCfg = Debug|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Debug|x64.Build.0 = Debug|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|Win32.ActiveCfg = Release|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|Win32.Build.0 = Release|Win32
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|x64.ActiveCfg = Release|x64
- {8792D377-8105-4C67-87F1-115E48D0178F}.Release|x64.Build.0 = Release|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|Win32.ActiveCfg = Debug|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|Win32.Build.0 = Debug|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|x64.ActiveCfg = Debug|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Debug|x64.Build.0 = Debug|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|Win32.ActiveCfg = Release|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|Win32.Build.0 = Release|Win32
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|x64.ActiveCfg = Release|x64
- {27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}.Release|x64.Build.0 = Release|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|Win32.ActiveCfg = Debug|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|Win32.Build.0 = Debug|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|x64.ActiveCfg = Debug|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Debug|x64.Build.0 = Debug|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|Win32.ActiveCfg = Release|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|Win32.Build.0 = Release|Win32
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|x64.ActiveCfg = Release|x64
- {4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}.Release|x64.Build.0 = Release|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|Win32.ActiveCfg = Debug|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|Win32.Build.0 = Debug|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|x64.ActiveCfg = Debug|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Debug|x64.Build.0 = Debug|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|Win32.ActiveCfg = Release|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|Win32.Build.0 = Release|Win32
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|x64.ActiveCfg = Release|x64
- {CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}.Release|x64.Build.0 = Release|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|Win32.ActiveCfg = Debug|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|Win32.Build.0 = Debug|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|x64.ActiveCfg = Debug|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Debug|x64.Build.0 = Debug|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|Win32.ActiveCfg = Release|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|Win32.Build.0 = Release|Win32
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|x64.ActiveCfg = Release|x64
- {40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}.Release|x64.Build.0 = Release|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|Win32.ActiveCfg = Debug|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|Win32.Build.0 = Debug|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|x64.ActiveCfg = Debug|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Debug|x64.Build.0 = Debug|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|Win32.ActiveCfg = Release|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|Win32.Build.0 = Release|Win32
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|x64.ActiveCfg = Release|x64
- {7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}.Release|x64.Build.0 = Release|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|Win32.ActiveCfg = Debug|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|Win32.Build.0 = Debug|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|x64.ActiveCfg = Debug|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Debug|x64.Build.0 = Debug|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|Win32.ActiveCfg = Release|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|Win32.Build.0 = Release|Win32
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|x64.ActiveCfg = Release|x64
- {0A049202-6533-413E-89D6-5D6866AAE703}.Release|x64.Build.0 = Release|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|Win32.ActiveCfg = Debug|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|Win32.Build.0 = Debug|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|x64.ActiveCfg = Debug|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Debug|x64.Build.0 = Debug|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|Win32.ActiveCfg = Release|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|Win32.Build.0 = Release|Win32
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|x64.ActiveCfg = Release|x64
- {9AAC897A-70FA-4E5E-BF48-F664C12B05C7}.Release|x64.Build.0 = Release|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|Win32.ActiveCfg = Debug|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|Win32.Build.0 = Debug|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|x64.ActiveCfg = Debug|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Debug|x64.Build.0 = Debug|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|Win32.ActiveCfg = Release|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|Win32.Build.0 = Release|Win32
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|x64.ActiveCfg = Release|x64
- {34C0FDFA-81D6-4652-B841-894BD1A15FB0}.Release|x64.Build.0 = Release|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|Win32.ActiveCfg = Debug|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|Win32.Build.0 = Debug|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|x64.ActiveCfg = Debug|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Debug|x64.Build.0 = Debug|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|Win32.ActiveCfg = Release|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|Win32.Build.0 = Release|Win32
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|x64.ActiveCfg = Release|x64
- {794B7E1E-E6AD-456D-9F33-FCE317325EC4}.Release|x64.Build.0 = Release|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|Win32.ActiveCfg = Debug|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|Win32.Build.0 = Debug|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|x64.ActiveCfg = Debug|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Debug|x64.Build.0 = Debug|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|Win32.ActiveCfg = Release|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|Win32.Build.0 = Release|Win32
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|x64.ActiveCfg = Release|x64
- {DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}.Release|x64.Build.0 = Release|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|Win32.ActiveCfg = Debug|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|Win32.Build.0 = Debug|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|x64.ActiveCfg = Debug|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Debug|x64.Build.0 = Debug|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|Win32.ActiveCfg = Release|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|Win32.Build.0 = Release|Win32
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|x64.ActiveCfg = Release|x64
- {37605955-FA00-41C9-9D39-D078CF270376}.Release|x64.Build.0 = Release|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|Win32.ActiveCfg = Debug|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|Win32.Build.0 = Debug|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|x64.ActiveCfg = Debug|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Debug|x64.Build.0 = Debug|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|Win32.ActiveCfg = Release|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|Win32.Build.0 = Release|Win32
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|x64.ActiveCfg = Release|x64
- {9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}.Release|x64.Build.0 = Release|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|Win32.ActiveCfg = Debug|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|Win32.Build.0 = Debug|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|x64.ActiveCfg = Debug|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Debug|x64.Build.0 = Debug|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|Win32.ActiveCfg = Release|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|Win32.Build.0 = Release|Win32
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|x64.ActiveCfg = Release|x64
- {71A1C081-FF1C-452B-B938-95551D565302}.Release|x64.Build.0 = Release|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|Win32.ActiveCfg = Debug|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|Win32.Build.0 = Debug|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|x64.ActiveCfg = Debug|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Debug|x64.Build.0 = Debug|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|Win32.ActiveCfg = Release|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|Win32.Build.0 = Release|Win32
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|x64.ActiveCfg = Release|x64
- {9ADAE03A-2060-471E-A7B5-9D8F6995223A}.Release|x64.Build.0 = Release|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|Win32.ActiveCfg = Debug|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|Win32.Build.0 = Debug|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|x64.ActiveCfg = Debug|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Debug|x64.Build.0 = Debug|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|Win32.ActiveCfg = Release|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|Win32.Build.0 = Release|Win32
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|x64.ActiveCfg = Release|x64
- {DFE42486-47A2-487C-81B9-DDCDA9F07BF0}.Release|x64.Build.0 = Release|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|Win32.ActiveCfg = Debug|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|Win32.Build.0 = Debug|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|x64.ActiveCfg = Debug|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Debug|x64.Build.0 = Debug|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|Win32.ActiveCfg = Release|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|Win32.Build.0 = Release|Win32
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|x64.ActiveCfg = Release|x64
- {2248C52C-75DC-465B-A598-6E89CC93E00D}.Release|x64.Build.0 = Release|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|Win32.ActiveCfg = Debug|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|Win32.Build.0 = Debug|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|x64.ActiveCfg = Debug|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Debug|x64.Build.0 = Debug|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|Win32.ActiveCfg = Release|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|Win32.Build.0 = Release|Win32
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|x64.ActiveCfg = Release|x64
- {C2E6106F-1450-4F62-8D8E-17A93E986B26}.Release|x64.Build.0 = Release|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|Win32.ActiveCfg = Debug|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|Win32.Build.0 = Debug|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|x64.ActiveCfg = Debug|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Debug|x64.Build.0 = Debug|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|Win32.ActiveCfg = Release|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|Win32.Build.0 = Release|Win32
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|x64.ActiveCfg = Release|x64
- {E81413CC-046C-42B0-B862-0BB81AED2854}.Release|x64.Build.0 = Release|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|Win32.ActiveCfg = Debug|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|Win32.Build.0 = Debug|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|x64.ActiveCfg = Debug|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Debug|x64.Build.0 = Debug|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|Win32.ActiveCfg = Release|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|Win32.Build.0 = Release|Win32
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|x64.ActiveCfg = Release|x64
- {14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}.Release|x64.Build.0 = Release|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|Win32.ActiveCfg = Debug|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|Win32.Build.0 = Debug|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|x64.ActiveCfg = Debug|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Debug|x64.Build.0 = Debug|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|Win32.ActiveCfg = Release|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|Win32.Build.0 = Release|Win32
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|x64.ActiveCfg = Release|x64
- {17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}.Release|x64.Build.0 = Release|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|Win32.ActiveCfg = Debug|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|Win32.Build.0 = Debug|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|x64.ActiveCfg = Debug|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Debug|x64.Build.0 = Debug|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|Win32.ActiveCfg = Release|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|Win32.Build.0 = Release|Win32
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|x64.ActiveCfg = Release|x64
- {7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}.Release|x64.Build.0 = Release|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|Win32.ActiveCfg = Debug|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|Win32.Build.0 = Debug|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|x64.ActiveCfg = Debug|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Debug|x64.Build.0 = Debug|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|Win32.ActiveCfg = Release|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|Win32.Build.0 = Release|Win32
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|x64.ActiveCfg = Release|x64
- {E6A9BFE8-84DE-46C0-A372-72087598018E}.Release|x64.Build.0 = Release|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|Win32.ActiveCfg = Debug|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|Win32.Build.0 = Debug|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|x64.ActiveCfg = Debug|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Debug|x64.Build.0 = Debug|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|Win32.ActiveCfg = Release|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|Win32.Build.0 = Release|Win32
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|x64.ActiveCfg = Release|x64
- {3BBA31F8-2679-4655-975D-52FDA5ABD5C4}.Release|x64.Build.0 = Release|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|Win32.ActiveCfg = Debug|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|Win32.Build.0 = Debug|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|x64.ActiveCfg = Debug|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Debug|x64.Build.0 = Debug|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|Win32.ActiveCfg = Release|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|Win32.Build.0 = Release|Win32
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|x64.ActiveCfg = Release|x64
- {A26C50E9-D3FB-4490-9CD7-606EB2E77D21}.Release|x64.Build.0 = Release|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|Win32.ActiveCfg = Debug|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|Win32.Build.0 = Debug|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|x64.ActiveCfg = Debug|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Debug|x64.Build.0 = Debug|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|Win32.ActiveCfg = Release|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|Win32.Build.0 = Release|Win32
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|x64.ActiveCfg = Release|x64
- {5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}.Release|x64.Build.0 = Release|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|Win32.ActiveCfg = Debug|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|Win32.Build.0 = Debug|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|x64.ActiveCfg = Debug|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Debug|x64.Build.0 = Debug|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|Win32.ActiveCfg = Release|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|Win32.Build.0 = Release|Win32
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|x64.ActiveCfg = Release|x64
- {E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}.Release|x64.Build.0 = Release|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|Win32.ActiveCfg = Debug|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|Win32.Build.0 = Debug|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|x64.ActiveCfg = Debug|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Debug|x64.Build.0 = Debug|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|Win32.ActiveCfg = Release|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|Win32.Build.0 = Release|Win32
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|x64.ActiveCfg = Release|x64
- {D1518671-CB9D-471F-8BCE-A03DE67F26B1}.Release|x64.Build.0 = Release|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|Win32.ActiveCfg = Debug|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|Win32.Build.0 = Debug|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|x64.ActiveCfg = Debug|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Debug|x64.Build.0 = Debug|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|Win32.ActiveCfg = Release|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|Win32.Build.0 = Release|Win32
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|x64.ActiveCfg = Release|x64
- {EFA04391-B35B-44C0-AB27-1383D4C9E358}.Release|x64.Build.0 = Release|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|Win32.ActiveCfg = Debug|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|Win32.Build.0 = Debug|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|x64.ActiveCfg = Debug|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Debug|x64.Build.0 = Debug|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|Win32.ActiveCfg = Release|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|Win32.Build.0 = Release|Win32
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|x64.ActiveCfg = Release|x64
- {DBA493BD-3AF1-4616-8A80-F6FD41B70392}.Release|x64.Build.0 = Release|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|Win32.ActiveCfg = Debug|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|Win32.Build.0 = Debug|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|x64.ActiveCfg = Debug|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Debug|x64.Build.0 = Debug|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|Win32.ActiveCfg = Release|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|Win32.Build.0 = Release|Win32
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|x64.ActiveCfg = Release|x64
- {D1FD44F8-8263-4B29-985D-21CE26F45A76}.Release|x64.Build.0 = Release|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|Win32.ActiveCfg = Debug|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|Win32.Build.0 = Debug|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|x64.ActiveCfg = Debug|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Debug|x64.Build.0 = Debug|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|Win32.ActiveCfg = Release|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|Win32.Build.0 = Release|Win32
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|x64.ActiveCfg = Release|x64
- {473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}.Release|x64.Build.0 = Release|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|Win32.ActiveCfg = Debug|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|Win32.Build.0 = Debug|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|x64.ActiveCfg = Debug|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Debug|x64.Build.0 = Debug|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|Win32.ActiveCfg = Release|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|Win32.Build.0 = Release|Win32
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|x64.ActiveCfg = Release|x64
- {832DD776-BC7F-40B5-90D0-E6448014CA5B}.Release|x64.Build.0 = Release|x64
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Debug|Win32.ActiveCfg = Debug|Win32
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Debug|x64.ActiveCfg = Debug|x64
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Release|Win32.ActiveCfg = Release|Win32
- {DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}.Release|x64.ActiveCfg = Release|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|Win32.ActiveCfg = Debug|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|Win32.Build.0 = Debug|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|x64.ActiveCfg = Debug|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Debug|x64.Build.0 = Debug|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|Win32.ActiveCfg = Release|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|Win32.Build.0 = Release|Win32
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|x64.ActiveCfg = Release|x64
- {9321B2C5-74B3-4743-9D87-B0FDCB47373B}.Release|x64.Build.0 = Release|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|Win32.ActiveCfg = Debug|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|Win32.Build.0 = Debug|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|x64.ActiveCfg = Debug|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Debug|x64.Build.0 = Debug|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|Win32.ActiveCfg = Release|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|Win32.Build.0 = Release|Win32
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|x64.ActiveCfg = Release|x64
- {685666ED-4640-47EE-AEA5-35B9602CA541}.Release|x64.Build.0 = Release|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|Win32.ActiveCfg = Debug|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|Win32.Build.0 = Debug|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|x64.ActiveCfg = Debug|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Debug|x64.Build.0 = Debug|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|Win32.ActiveCfg = Release|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|Win32.Build.0 = Release|Win32
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|x64.ActiveCfg = Release|x64
- {744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}.Release|x64.Build.0 = Release|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|Win32.ActiveCfg = Debug|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|Win32.Build.0 = Debug|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|x64.ActiveCfg = Debug|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Debug|x64.Build.0 = Debug|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|Win32.ActiveCfg = Release|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|Win32.Build.0 = Release|Win32
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|x64.ActiveCfg = Release|x64
- {0C5E3F36-3338-4B2C-A956-4D577B6119E7}.Release|x64.Build.0 = Release|x64
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Debug|Win32.ActiveCfg = Debug|Win32
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Debug|Win32.Build.0 = Debug|Win32
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Debug|x64.ActiveCfg = Debug|x64
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Debug|x64.Build.0 = Debug|x64
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Release|Win32.ActiveCfg = Release|Win32
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Release|Win32.Build.0 = Release|Win32
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Release|x64.ActiveCfg = Release|x64
- {F445FB75-3390-47BE-8179-6A9222A9ACD8}.Release|x64.Build.0 = Release|x64
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Debug|Win32.ActiveCfg = Debug|Win32
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Debug|Win32.Build.0 = Debug|Win32
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Debug|x64.ActiveCfg = Debug|x64
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Debug|x64.Build.0 = Debug|x64
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Release|Win32.ActiveCfg = Release|Win32
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Release|Win32.Build.0 = Release|Win32
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Release|x64.ActiveCfg = Release|x64
- {8D4599CD-B4B1-4ABB-9AB0-45002AAFEA7E}.Release|x64.Build.0 = Release|x64
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Debug|Win32.ActiveCfg = Debug|Win32
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Debug|Win32.Build.0 = Debug|Win32
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Debug|x64.ActiveCfg = Debug|x64
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Debug|x64.Build.0 = Debug|x64
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Release|Win32.ActiveCfg = Release|Win32
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Release|Win32.Build.0 = Release|Win32
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Release|x64.ActiveCfg = Release|x64
- {A4C4D9C2-DFB5-4A09-8C6D-968113C58247}.Release|x64.Build.0 = Release|x64
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Debug|Win32.ActiveCfg = Debug|Win32
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Debug|Win32.Build.0 = Debug|Win32
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Debug|x64.ActiveCfg = Debug|x64
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Debug|x64.Build.0 = Debug|x64
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Release|Win32.ActiveCfg = Release|Win32
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Release|Win32.Build.0 = Release|Win32
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Release|x64.ActiveCfg = Release|x64
- {C865016E-1FE1-4FD7-959D-62E795206E76}.Release|x64.Build.0 = Release|x64
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Debug|Win32.ActiveCfg = Debug|Win32
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Debug|Win32.Build.0 = Debug|Win32
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Debug|x64.ActiveCfg = Debug|x64
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Debug|x64.Build.0 = Debug|x64
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Release|Win32.ActiveCfg = Release|Win32
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Release|Win32.Build.0 = Release|Win32
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Release|x64.ActiveCfg = Release|x64
- {26F2FDA4-17DC-4E1A-B9AC-124C460A4391}.Release|x64.Build.0 = Release|x64
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Debug|Win32.ActiveCfg = Debug|Win32
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Debug|Win32.Build.0 = Debug|Win32
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Debug|x64.ActiveCfg = Debug|x64
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Debug|x64.Build.0 = Debug|x64
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Release|Win32.ActiveCfg = Release|Win32
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Release|Win32.Build.0 = Release|Win32
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Release|x64.ActiveCfg = Release|x64
- {1063E387-0167-411C-85B9-96B043C4BDB3}.Release|x64.Build.0 = Release|x64
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Debug|Win32.ActiveCfg = Debug|Win32
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Debug|Win32.Build.0 = Debug|Win32
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Debug|x64.ActiveCfg = Debug|x64
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Debug|x64.Build.0 = Debug|x64
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Release|Win32.ActiveCfg = Release|Win32
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Release|Win32.Build.0 = Release|Win32
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Release|x64.ActiveCfg = Release|x64
- {F9428466-5FA2-47C9-BB02-288EDE7016A4}.Release|x64.Build.0 = Release|x64
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Debug|Win32.ActiveCfg = Debug|Win32
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Debug|Win32.Build.0 = Debug|Win32
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Debug|x64.ActiveCfg = Debug|x64
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Debug|x64.Build.0 = Debug|x64
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Release|Win32.ActiveCfg = Release|Win32
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Release|Win32.Build.0 = Release|Win32
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Release|x64.ActiveCfg = Release|x64
- {487B4E71-1CB9-49A1-920C-1F505D8B76F8}.Release|x64.Build.0 = Release|x64
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Debug|Win32.ActiveCfg = Debug|Win32
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Debug|Win32.Build.0 = Debug|Win32
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Debug|x64.ActiveCfg = Debug|x64
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Debug|x64.Build.0 = Debug|x64
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Release|Win32.ActiveCfg = Release|Win32
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Release|Win32.Build.0 = Release|Win32
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Release|x64.ActiveCfg = Release|x64
- {1C2FF3B6-639A-4047-90DE-327B82BF3ACB}.Release|x64.Build.0 = Release|x64
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Debug|Win32.ActiveCfg = Debug|Win32
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Debug|Win32.Build.0 = Debug|Win32
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Debug|x64.ActiveCfg = Debug|x64
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Debug|x64.Build.0 = Debug|x64
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Release|Win32.ActiveCfg = Release|Win32
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Release|Win32.Build.0 = Release|Win32
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Release|x64.ActiveCfg = Release|x64
- {8659AEE0-2C9A-4666-B70F-C2B8280FD909}.Release|x64.Build.0 = Release|x64
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Debug|Win32.ActiveCfg = Debug|Win32
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Debug|Win32.Build.0 = Debug|Win32
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Debug|x64.ActiveCfg = Debug|x64
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Debug|x64.Build.0 = Debug|x64
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Release|Win32.ActiveCfg = Release|Win32
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Release|Win32.Build.0 = Release|Win32
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Release|x64.ActiveCfg = Release|x64
- {3F8103CC-1DB2-4C23-9ABC-430434244D40}.Release|x64.Build.0 = Release|x64
- {5E617A56-25B2-41E8-8D69-109600819716}.Debug|Win32.ActiveCfg = Debug|Win32
- {5E617A56-25B2-41E8-8D69-109600819716}.Debug|Win32.Build.0 = Debug|Win32
- {5E617A56-25B2-41E8-8D69-109600819716}.Debug|x64.ActiveCfg = Debug|x64
- {5E617A56-25B2-41E8-8D69-109600819716}.Debug|x64.Build.0 = Debug|x64
- {5E617A56-25B2-41E8-8D69-109600819716}.Release|Win32.ActiveCfg = Release|Win32
- {5E617A56-25B2-41E8-8D69-109600819716}.Release|Win32.Build.0 = Release|Win32
- {5E617A56-25B2-41E8-8D69-109600819716}.Release|x64.ActiveCfg = Release|x64
- {5E617A56-25B2-41E8-8D69-109600819716}.Release|x64.Build.0 = Release|x64
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Debug|Win32.ActiveCfg = Debug|Win32
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Debug|Win32.Build.0 = Debug|Win32
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Debug|x64.ActiveCfg = Debug|x64
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Debug|x64.Build.0 = Debug|x64
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Release|Win32.ActiveCfg = Release|Win32
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Release|Win32.Build.0 = Release|Win32
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Release|x64.ActiveCfg = Release|x64
- {C8202A85-1F3A-4B34-869C-B1E8CA829299}.Release|x64.Build.0 = Release|x64
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Debug|Win32.ActiveCfg = Debug|Win32
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Debug|Win32.Build.0 = Debug|Win32
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Debug|x64.ActiveCfg = Debug|x64
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Debug|x64.Build.0 = Debug|x64
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Release|Win32.ActiveCfg = Release|Win32
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Release|Win32.Build.0 = Release|Win32
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Release|x64.ActiveCfg = Release|x64
- {3F645EFF-3A91-4CF3-9B60-76E0C33686A7}.Release|x64.Build.0 = Release|x64
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Debug|Win32.ActiveCfg = Debug|Win32
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Debug|Win32.Build.0 = Debug|Win32
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Debug|x64.ActiveCfg = Debug|x64
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Debug|x64.Build.0 = Debug|x64
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Release|Win32.ActiveCfg = Release|Win32
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Release|Win32.Build.0 = Release|Win32
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Release|x64.ActiveCfg = Release|x64
- {84571A5E-D9A6-4672-9F86-3F8E32C93FDF}.Release|x64.Build.0 = Release|x64
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Debug|Win32.ActiveCfg = Debug|Win32
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Debug|Win32.Build.0 = Debug|Win32
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Debug|x64.ActiveCfg = Debug|x64
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Debug|x64.Build.0 = Debug|x64
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Release|Win32.ActiveCfg = Release|Win32
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Release|Win32.Build.0 = Release|Win32
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Release|x64.ActiveCfg = Release|x64
- {71C6994C-3102-4A2A-B0AE-88A590CB36CE}.Release|x64.Build.0 = Release|x64
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Debug|Win32.ActiveCfg = Debug|Win32
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Debug|Win32.Build.0 = Debug|Win32
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Debug|x64.ActiveCfg = Debug|x64
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Debug|x64.Build.0 = Debug|x64
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Release|Win32.ActiveCfg = Release|Win32
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Release|Win32.Build.0 = Release|Win32
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Release|x64.ActiveCfg = Release|x64
- {F04E2D13-2096-4C67-AA4C-63C9015474B1}.Release|x64.Build.0 = Release|x64
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Debug|Win32.ActiveCfg = Debug|Win32
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Debug|Win32.Build.0 = Debug|Win32
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Debug|x64.ActiveCfg = Debug|x64
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Debug|x64.Build.0 = Debug|x64
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Release|Win32.ActiveCfg = Release|Win32
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Release|Win32.Build.0 = Release|Win32
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Release|x64.ActiveCfg = Release|x64
- {6923D270-FB9F-4F40-8268-9C542ADABD88}.Release|x64.Build.0 = Release|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|Win32.ActiveCfg = Debug|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|Win32.Build.0 = Debug|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|x64.ActiveCfg = Debug|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Debug|x64.Build.0 = Debug|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|Win32.ActiveCfg = Release|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|Win32.Build.0 = Release|Win32
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|x64.ActiveCfg = Release|x64
- {B123D196-2F43-4FEB-80B5-990F06DED319}.Release|x64.Build.0 = Release|x64
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Debug|Win32.ActiveCfg = Debug|Win32
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Debug|Win32.Build.0 = Debug|Win32
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Debug|x64.ActiveCfg = Debug|x64
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Debug|x64.Build.0 = Debug|x64
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Release|Win32.ActiveCfg = Release|Win32
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Release|Win32.Build.0 = Release|Win32
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Release|x64.ActiveCfg = Release|x64
- {4505FF13-2C16-4348-8989-BB10AF85FB95}.Release|x64.Build.0 = Release|x64
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Debug|Win32.ActiveCfg = Debug|Win32
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Debug|Win32.Build.0 = Debug|Win32
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Debug|x64.ActiveCfg = Debug|x64
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Debug|x64.Build.0 = Debug|x64
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Release|Win32.ActiveCfg = Release|Win32
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Release|Win32.Build.0 = Release|Win32
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Release|x64.ActiveCfg = Release|x64
- {4D3CE514-F22D-4FBC-82FF-7A3BE15DAE10}.Release|x64.Build.0 = Release|x64
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Debug|Win32.ActiveCfg = Debug|Win32
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Debug|Win32.Build.0 = Debug|Win32
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Debug|x64.ActiveCfg = Debug|x64
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Debug|x64.Build.0 = Debug|x64
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Release|Win32.ActiveCfg = Release|Win32
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Release|Win32.Build.0 = Release|Win32
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Release|x64.ActiveCfg = Release|x64
- {B6430FB3-3BEF-48C3-84DD-98106C6F6113}.Release|x64.Build.0 = Release|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|Win32.ActiveCfg = Debug|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|Win32.Build.0 = Debug|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|x64.ActiveCfg = Debug|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Debug|x64.Build.0 = Debug|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|Win32.ActiveCfg = Release|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|Win32.Build.0 = Release|Win32
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|x64.ActiveCfg = Release|x64
- {E3B24219-DEB9-4ECB-809C-AD98EE51974E}.Release|x64.Build.0 = Release|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|Win32.ActiveCfg = Debug|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|Win32.Build.0 = Debug|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|x64.ActiveCfg = Debug|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Debug|x64.Build.0 = Debug|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|Win32.ActiveCfg = Release|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|Win32.Build.0 = Release|Win32
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|x64.ActiveCfg = Release|x64
- {D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}.Release|x64.Build.0 = Release|x64
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Debug|Win32.ActiveCfg = Debug|Win32
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Debug|Win32.Build.0 = Debug|Win32
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Debug|x64.ActiveCfg = Debug|x64
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Debug|x64.Build.0 = Debug|x64
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Release|Win32.ActiveCfg = Release|Win32
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Release|Win32.Build.0 = Release|Win32
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Release|x64.ActiveCfg = Release|x64
- {04B72E84-6A91-4AF1-BFCD-110CD4F67E2C}.Release|x64.Build.0 = Release|x64
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Debug|Win32.ActiveCfg = Debug|Win32
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Debug|Win32.Build.0 = Debug|Win32
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Debug|x64.ActiveCfg = Debug|x64
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Debug|x64.Build.0 = Debug|x64
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Release|Win32.ActiveCfg = Release|Win32
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Release|Win32.Build.0 = Release|Win32
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Release|x64.ActiveCfg = Release|x64
- {531839A0-AFE6-482A-BF60-29890B89D4BF}.Release|x64.Build.0 = Release|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|Win32.ActiveCfg = Debug|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|Win32.Build.0 = Debug|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|x64.ActiveCfg = Debug|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Debug|x64.Build.0 = Debug|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|Win32.ActiveCfg = Release|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|Win32.Build.0 = Release|Win32
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|x64.ActiveCfg = Release|x64
- {C35122F6-49FF-4AAA-A2AA-482628E5E2A7}.Release|x64.Build.0 = Release|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|Win32.ActiveCfg = Debug|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|Win32.Build.0 = Debug|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|x64.ActiveCfg = Debug|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Debug|x64.Build.0 = Debug|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|Win32.ActiveCfg = Release|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|Win32.Build.0 = Release|Win32
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|x64.ActiveCfg = Release|x64
- {0C618DA2-4097-46B9-83D0-144AEB774568}.Release|x64.Build.0 = Release|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|Win32.ActiveCfg = Debug|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|Win32.Build.0 = Debug|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|x64.ActiveCfg = Debug|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Debug|x64.Build.0 = Debug|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|Win32.ActiveCfg = Release|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|Win32.Build.0 = Release|Win32
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|x64.ActiveCfg = Release|x64
- {D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}.Release|x64.Build.0 = Release|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|Win32.ActiveCfg = Debug|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|Win32.Build.0 = Debug|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|x64.ActiveCfg = Debug|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Debug|x64.Build.0 = Debug|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|Win32.ActiveCfg = Release|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|Win32.Build.0 = Release|Win32
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|x64.ActiveCfg = Release|x64
- {3C224452-C71A-4B3E-937A-891144D1941D}.Release|x64.Build.0 = Release|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|Win32.ActiveCfg = Debug|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|Win32.Build.0 = Debug|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|x64.ActiveCfg = Debug|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Debug|x64.Build.0 = Debug|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|Win32.ActiveCfg = Release|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|Win32.Build.0 = Release|Win32
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|x64.ActiveCfg = Release|x64
- {D4395435-B3B0-4937-9AC5-89BD73C47303}.Release|x64.Build.0 = Release|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|Win32.ActiveCfg = Debug|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|Win32.Build.0 = Debug|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|x64.ActiveCfg = Debug|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Debug|x64.Build.0 = Debug|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|Win32.ActiveCfg = Release|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|Win32.Build.0 = Release|Win32
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|x64.ActiveCfg = Release|x64
- {737F7A65-62E7-4707-B3DB-B9856131687D}.Release|x64.Build.0 = Release|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|Win32.ActiveCfg = Debug|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|Win32.Build.0 = Debug|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|x64.ActiveCfg = Debug|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Debug|x64.Build.0 = Debug|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|Win32.ActiveCfg = Release|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|Win32.Build.0 = Release|Win32
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|x64.ActiveCfg = Release|x64
- {4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}.Release|x64.Build.0 = Release|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|Win32.ActiveCfg = Debug|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|Win32.Build.0 = Debug|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|x64.ActiveCfg = Debug|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Debug|x64.Build.0 = Debug|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|Win32.ActiveCfg = Release|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|Win32.Build.0 = Release|Win32
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|x64.ActiveCfg = Release|x64
- {C4811E26-A7DA-424D-8A44-F29DFD588533}.Release|x64.Build.0 = Release|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|Win32.ActiveCfg = Debug|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|Win32.Build.0 = Debug|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|x64.ActiveCfg = Debug|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Debug|x64.Build.0 = Debug|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|Win32.ActiveCfg = Release|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|Win32.Build.0 = Release|Win32
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|x64.ActiveCfg = Release|x64
- {B8923279-9E37-43D2-8ECF-5225BFB3356A}.Release|x64.Build.0 = Release|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|Win32.ActiveCfg = Debug|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|Win32.Build.0 = Debug|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|x64.ActiveCfg = Debug|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Debug|x64.Build.0 = Debug|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|Win32.ActiveCfg = Release|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|Win32.Build.0 = Release|Win32
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|x64.ActiveCfg = Release|x64
- {BF8C769D-BC11-4AB4-B928-5FD1ADCB1234}.Release|x64.Build.0 = Release|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|Win32.ActiveCfg = Debug|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|Win32.Build.0 = Debug|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|x64.ActiveCfg = Debug|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Debug|x64.Build.0 = Debug|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|Win32.ActiveCfg = Release|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|Win32.Build.0 = Release|Win32
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|x64.ActiveCfg = Release|x64
- {AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}.Release|x64.Build.0 = Release|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|Win32.ActiveCfg = Debug|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|Win32.Build.0 = Debug|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|x64.ActiveCfg = Debug|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Debug|x64.Build.0 = Debug|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Release|Win32.ActiveCfg = Release|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Release|Win32.Build.0 = Release|Win32
- {79FF58EE-7427-4732-AC25-370341859292}.Release|x64.ActiveCfg = Release|x64
- {79FF58EE-7427-4732-AC25-370341859292}.Release|x64.Build.0 = Release|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|Win32.ActiveCfg = Debug|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|Win32.Build.0 = Debug|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|x64.ActiveCfg = Debug|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Debug|x64.Build.0 = Debug|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|Win32.ActiveCfg = Release|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|Win32.Build.0 = Release|Win32
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|x64.ActiveCfg = Release|x64
- {DFB6DCC1-2E00-4566-B935-F32172FDA483}.Release|x64.Build.0 = Release|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|Win32.ActiveCfg = Debug|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|Win32.Build.0 = Debug|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|x64.ActiveCfg = Debug|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Debug|x64.Build.0 = Debug|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|Win32.ActiveCfg = Release|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|Win32.Build.0 = Release|Win32
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|x64.ActiveCfg = Release|x64
- {7B3EB7A5-DA01-4488-A06B-63E2941EE078}.Release|x64.Build.0 = Release|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|Win32.ActiveCfg = Debug|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|Win32.Build.0 = Debug|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|x64.ActiveCfg = Debug|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Debug|x64.Build.0 = Debug|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|Win32.ActiveCfg = Release|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|Win32.Build.0 = Release|Win32
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|x64.ActiveCfg = Release|x64
- {50D207BC-2B27-4BD9-B5D4-FCF8358BE757}.Release|x64.Build.0 = Release|x64
- EndGlobalSection
- GlobalSection(SolutionProperties) = preSolution
- HideSolutionNode = FALSE
- EndGlobalSection
-EndGlobal
diff --git a/windows/proj/all_fortran/all_fortran.vcproj b/windows/proj/all_fortran/all_fortran.vcproj
deleted file mode 100644
index 3192d23..0000000
--- a/windows/proj/all_fortran/all_fortran.vcproj
+++ /dev/null
@@ -1,124 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="all_fortran"
- ProjectGUID="{24E5C6DF-1FA4-49AD-AE75-1D9347966CC5}"
- Keyword="MakeFileProj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Debug/all_fortran.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Debug/all_fortran.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\Release/all_fortran.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="10"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\Release/all_fortran.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- </Files>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5/hdf5.vcproj b/windows/proj/hdf5/hdf5.vcproj
deleted file mode 100644
index 35f00b9..0000000
--- a/windows/proj/hdf5/hdf5.vcproj
+++ /dev/null
@@ -1,1589 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5"
- ProjectGUID="{26346A09-C500-49E7-963A-D22A8E09AAB7}"
- RootNamespace="hdf5"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5\Debug/hdf5.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5\Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5\Debug/hdf5.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5\Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5\Release/hdf5.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5\Release/"
- ObjectFile=".\..\..\..\proj\hdf5\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5\Release/hdf5.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5\Release/"
- ObjectFile=".\..\..\..\proj\hdf5\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="source"
- >
- <File
- RelativePath="..\..\..\src\H5.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5A.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Abtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5AC.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Adense.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Adeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Aint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Atest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2cache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2dbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2hdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2int.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2stat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2test.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5C.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5checksum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5D.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5dbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dbtree.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dchunk.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dcompact.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dcontig.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ddbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ddeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Defl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dfill.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dlayout.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dmpio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Doh.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dscatgath.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dselect.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5E.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EA.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAdblkpage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAdblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAhdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAiblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAsblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Edeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Eint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5F.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FA.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Faccum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAdblkpage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAdblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAhdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FD.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDcore.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDfamily.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDlog.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmpi.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmpio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmpiposix.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmulti.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDsec2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDspace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDstdio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDwindows.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fefc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ffake.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FL.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fmount.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fmpi.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FO.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fquery.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FS.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FScache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FSdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fsfile.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FSsection.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FSstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FStest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fsuper.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fsuper_cache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ftest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5G.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gbtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gcompact.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gdense.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gent.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Glink.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gloc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gname.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gnode.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gobj.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Goh.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Groot.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gstab.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gtraverse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HF.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFbtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFdblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFdtable.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFhdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFhuge.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFiblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFiter.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFman.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFsection.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFspace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFtiny.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HG.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HL.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HP.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5I.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Itest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5L.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Lexternal.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5lib_settings.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MF.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFaggr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFsection.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MM.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MP.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MPtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5O.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oainfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oalloc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oattr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oattribute.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Obogus.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Obtreek.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ocache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ochunk.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ocont.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ocopy.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Odbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Odrvinfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Odtype.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oefl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ofill.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ofsinfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oginfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Olayout.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Olinfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Olink.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Omessage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Omtime.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oname.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Onull.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Opline.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Orefcount.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Osdspace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oshared.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oshmesg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ostab.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Otest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ounknown.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5P.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pacpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdapl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdxpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pfapl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pfcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pfmpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pgcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Plapl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Plcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pocpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pocpypl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pstrcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ptest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5R.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5RC.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5RS.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5S.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sall.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Shyper.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SL.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SM.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMbtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMmessage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Smpio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Snone.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Spoint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sselect.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5ST.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Stest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5system.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5T.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tarray.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tbit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tcommit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tcompound.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tconv.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tcset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tenum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tfields.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tfixed.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tfloat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5timer.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tinit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tnative.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Toffset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Toh.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Topaque.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Torder.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tpad.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tprecis.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5trace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tstrpad.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tvisit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tvlen.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5V.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5WB.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Z.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zdeflate.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zfletcher32.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Znbit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zscaleoffset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zshuffle.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zszip.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ztrans.c"
- >
- </File>
- </Filter>
- <Filter
- Name="header"
- Filter="*.h"
- >
- <File
- RelativePath="..\..\..\src\H5ACprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5ACpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Apkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Aprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Apublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5BTpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Cprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Cpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EApkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Epkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Eprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Epublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FApkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Iprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ipublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MMprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MMpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Opublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ppublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5private.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5public.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5RCprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SHpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SHprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SHpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SLprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Spublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5version.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Vprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5WBprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5win32defs.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\hdf5.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_cpp/hdf5_cpp.vcproj b/windows/proj/hdf5_cpp/hdf5_cpp.vcproj
deleted file mode 100644
index d244e50..0000000
--- a/windows/proj/hdf5_cpp/hdf5_cpp.vcproj
+++ /dev/null
@@ -1,561 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_cpp"
- ProjectGUID="{FB74E351-0C4E-4173-B0D3-10DD12F2DCA5}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_cpp\Release/hdf5_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_cpp\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_cpp\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_cpp\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_cpp\Release/hdf5_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_cpp\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_cpp\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_cpp\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_cpp\Debug/hdf5_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_cpp\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_cpp\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_cpp\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_cpp\Debug/hdf5_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_cpp\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_cpp\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_cpp\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\c++\src\H5AbstractDs.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5ArrayType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5AtomType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Attribute.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CommonFG.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CompType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSet.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSpace.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DcreatProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DxferProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5EnumType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Exception.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FaccProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FcreatProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5File.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FloatType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Group.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IdComponent.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IntType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Library.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Object.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PredType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PropList.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5StrType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5VarLenType.cpp"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl"
- >
- <File
- RelativePath="..\..\..\c++\src\H5AbstractDs.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Alltypes.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5ArrayType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5AtomType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Attribute.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Classes.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CommonFG.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CompType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Cpp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSet.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSpace.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DcreatProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DxferProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5EnumType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Exception.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FaccProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FcreatProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5File.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FloatType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Group.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IdComponent.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Include.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IntType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Library.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Object.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PredType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PropList.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5StrType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5VarLenType.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_cppdll/hdf5_cppdll.vcproj b/windows/proj/hdf5_cppdll/hdf5_cppdll.vcproj
deleted file mode 100644
index a96c098..0000000
--- a/windows/proj/hdf5_cppdll/hdf5_cppdll.vcproj
+++ /dev/null
@@ -1,643 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_cppdll"
- ProjectGUID="{D279901A-8E21-47D3-B7EA-A572EE12F2E6}"
- RootNamespace="hdf5_cppdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../proj/hdf5_cppdll/Release/hdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5_CPPDLL_EXPORTS;_HDF5USEDLL_;WIN32;NDEBUG;_WINDOWS;_USRDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_cppdll/Release/hdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_cppdll/Release/"
- ObjectFile=".\../../../proj/hdf5_cppdll/Release/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_cppdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_cppdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../proj/hdf5_cppdll/Release/hdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5_CPPDLL_EXPORTS;_HDF5USEDLL_;WIN32;NDEBUG;_WINDOWS;_USRDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_cppdll/Release/hdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_cppdll/Release/"
- ObjectFile=".\../../../proj/hdf5_cppdll/Release/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_cppdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_cppdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../proj/hdf5_cppdll/Debug/hdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5_CPPDLL_EXPORTS;_HDF5USEDLL_;WIN32;_DEBUG;_WINDOWS;_USRDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_cppdll/Debug/hdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_cppdll/Debug/"
- ObjectFile=".\../../../proj/hdf5_cppdll/Debug/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_cppdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_cppddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../proj/hdf5_cppdll/Debug/hdf5_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;HDF5_CPPDLL_EXPORTS;_HDF5USEDLL_;WIN32;_DEBUG;_WINDOWS;_USRDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_cppdll/Debug/hdf5_cppdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_cppdll/Debug/"
- ObjectFile=".\../../../proj/hdf5_cppdll/Debug/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_cppdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_cppddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\c++\src\H5AbstractDs.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5ArrayType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5AtomType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Attribute.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CommonFG.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CompType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSet.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSpace.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DcreatProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DxferProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5EnumType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Exception.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FaccProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FcreatProp.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5File.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FloatType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Group.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IdComponent.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IntType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Library.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Object.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PredType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PropList.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5StrType.cpp"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5VarLenType.cpp"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl"
- >
- <File
- RelativePath="..\..\..\c++\src\H5AbstractDs.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Alltypes.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5ArrayType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5AtomType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Attribute.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Classes.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CommonFG.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5CompType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Cpp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSet.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataSpace.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DataType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DcreatProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5DxferProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5EnumType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Exception.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FaccProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FcreatProp.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5File.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5FloatType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Group.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IdComponent.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Include.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5IntType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Library.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5Object.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PredType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5PropList.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5StrType.h"
- >
- </File>
- <File
- RelativePath="..\..\..\c++\src\H5VarLenType.h"
- >
- </File>
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_f90cstub/hdf5_f90cstub.vcproj b/windows/proj/hdf5_f90cstub/hdf5_f90cstub.vcproj
deleted file mode 100644
index b71dab1..0000000
--- a/windows/proj/hdf5_f90cstub/hdf5_f90cstub.vcproj
+++ /dev/null
@@ -1,409 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_f90cstub"
- ProjectGUID="{CF055FEA-4433-439A-9688-BFD73D260706}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_f90cstub\Release/hdf5_f90cstub.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_f90cstub\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_f90cstub\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_f90cstub\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_f90cstub\Release/hdf5_f90cstub.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_f90cstub\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_f90cstub\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_f90cstub\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_f90cstub\Debug/hdf5_f90cstub.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_f90cstub\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_f90cstub\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_f90cstub\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_f90cstub\Debug/hdf5_f90cstub.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_f90cstub\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_f90cstub\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_f90cstub\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\fortran\src\H5_f.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Af.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Df.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Ef.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5f90kit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Ff.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Gf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5If.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Lf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Of.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Pf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Rf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Sf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Tf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Zf.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\fortran\src\H5f90.h"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5f90i.h"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5f90proto.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_f90cstubdll/hdf5_f90cstubdll.vcproj b/windows/proj/hdf5_f90cstubdll/hdf5_f90cstubdll.vcproj
deleted file mode 100644
index 22cffbc..0000000
--- a/windows/proj/hdf5_f90cstubdll/hdf5_f90cstubdll.vcproj
+++ /dev/null
@@ -1,487 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_f90cstubdll"
- ProjectGUID="{668327AB-1F82-46EE-A157-CD79AB8BF323}"
- RootNamespace="hdf5_f90cstubdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../proj/hdf5_f90cstubdll/Release/hdf5_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5USEDLL_;HDF5FORT_CSTUB_DLL_EXPORTS"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_f90cstubdll/Release/hdf5_f90cstubdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_f90cstubdll/Release/"
- ObjectFile=".\../../../proj/hdf5_f90cstubdll/Release/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_f90cstubdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_f90cstubdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../proj/hdf5_f90cstubdll/Release/hdf5_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5USEDLL_;HDF5FORT_CSTUB_DLL_EXPORTS"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_f90cstubdll/Release/hdf5_f90cstubdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_f90cstubdll/Release/"
- ObjectFile=".\../../../proj/hdf5_f90cstubdll/Release/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_f90cstubdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_f90cstubdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../proj/hdf5_f90cstubdll/Debug/hdf5_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5USEDLL_;HDF5FORT_CSTUB_DLL_EXPORTS"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_f90cstubdll/Debug/hdf5_f90cstubdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_f90cstubdll/Debug/"
- ObjectFile=".\../../../proj/hdf5_f90cstubdll/Debug/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_f90cstubdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_f90cstubddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../proj/hdf5_f90cstubdll/Debug/hdf5_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5USEDLL_;HDF5FORT_CSTUB_DLL_EXPORTS"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5_f90cstubdll/Debug/hdf5_f90cstubdll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5_f90cstubdll/Debug/"
- ObjectFile=".\../../../proj/hdf5_f90cstubdll/Debug/"
- ProgramDataBaseFileName=".\../../../proj/hdf5_f90cstubdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_f90cstubddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\fortran\src\H5_f.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Af.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Df.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Ef.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5f90kit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Ff.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Gf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5If.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Lf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Of.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Pf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Rf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Sf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Tf.c"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5Zf.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\fortran\src\H5f90.h"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5f90i.h"
- >
- </File>
- <File
- RelativePath="..\..\..\fortran\src\H5f90proto.h"
- >
- </File>
- </Filter>
- <Filter
- Name="Resource Files"
- Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_fortran/hdf5_fortran.vfproj b/windows/proj/hdf5_fortran/hdf5_fortran.vfproj
deleted file mode 100644
index 9dd53e0..0000000
--- a/windows/proj/hdf5_fortran/hdf5_fortran.vfproj
+++ /dev/null
@@ -1,64 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectType="typeStaticLibrary" ProjectCreator="Intel Fortran" Keyword="Static Library - Converted from CVF" Version="9.10" ProjectIdGuid="{26F2FDA4-17DC-4E1A-B9AC-124C460A4391}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName).lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFLibrarianTool" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName)d.lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName).lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName)d.lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\fortran\src\H5_DBLE_InterfaceInclude.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5_ff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Aff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Dff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Eff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5f90global.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Fff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5fortran_types.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Gff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Iff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Lff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Off.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Pff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Rff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Sff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Tff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Zff.f90"/>
- <File RelativePath="..\..\..\fortran\src\HDF5.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/proj/hdf5_fortrandll/hdf5_fortrandll.vfproj b/windows/proj/hdf5_fortrandll/hdf5_fortrandll.vfproj
deleted file mode 100644
index 89939d2..0000000
--- a/windows/proj/hdf5_fortrandll/hdf5_fortrandll.vfproj
+++ /dev/null
@@ -1,65 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectType="typeDynamicLibrary" ProjectCreator="Intel Fortran" Keyword="Dll - Converted from CVF" Version="9.10" ProjectIdGuid="{1063E387-0167-411C-85B9-96B043C4BDB3}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" PreprocessorDefinitions="_DEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TargetEnvironment="midlTargetWin32" TypeLibraryName="$(OUTDIR)/hdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" AdditionalOptions="/dll" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" FPS4Symbols="true" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_fortranddll.dll" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" ModuleDefinitionFile="..\..\..\fortran\src\hdf5_fortrandll.def" GenerateDebugInformation="true" SubSystem="subSystemWindows" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TargetEnvironment="midlTargetWin32" TypeLibraryName="$(OUTDIR)/hdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_fortrandll.dll" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ModuleDefinitionFile="..\..\..\fortran\src\hdf5_fortrandll.def" SubSystem="subSystemWindows" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" FPS4Symbols="true" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_fortranddll.dll" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" ModuleDefinitionFile="..\..\..\fortran\src\hdf5_fortrandll.def" GenerateDebugInformation="true" SubSystem="subSystemWindows" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hdf5_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" AdditionalIncludeDirectories="Release/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_fortrandll.dll" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ModuleDefinitionFile="..\..\..\fortran\src\hdf5_fortrandll.def" SubSystem="subSystemWindows" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\fortran\src\H5_DBLE_InterfaceInclude.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5_ff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Aff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Dff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Eff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5f90global.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Fff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5fortran_types.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Gff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Iff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Lff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Off.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Pff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Rff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Sff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Tff.f90"/>
- <File RelativePath="..\..\..\fortran\src\H5Zff.f90"/>
- <File RelativePath="..\..\..\fortran\src\HDF5.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/proj/hdf5_hl/hdf5_hl.vcproj b/windows/proj/hdf5_hl/hdf5_hl.vcproj
deleted file mode 100644
index dea33ab..0000000
--- a/windows/proj/hdf5_hl/hdf5_hl.vcproj
+++ /dev/null
@@ -1,365 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_hl"
- ProjectGUID="{9A124450-EC54-4813-B0B1-2CA96B695009}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl\Debug/hdf5_hl.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl\Debug/hdf5_hl.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl\Release/hdf5_hl.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl\Release/hdf5_hl.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\hl\src\H5DS.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5IM.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5LT.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5LTanalyze.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5LTparse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5PT.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5TB.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_hl_cpp/hdf5_hl_cpp.vcproj b/windows/proj/hdf5_hl_cpp/hdf5_hl_cpp.vcproj
deleted file mode 100644
index 2d1bbea..0000000
--- a/windows/proj/hdf5_hl_cpp/hdf5_hl_cpp.vcproj
+++ /dev/null
@@ -1,331 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_hl_cpp"
- ProjectGUID="{7693B383-C2CB-43FD-A428-598F73D214F7}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cpp\Release/hdf5_hl_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cpp\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cpp\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cpp\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cpp\Release/hdf5_hl_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cpp\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cpp\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cpp\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../c++/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cpp\Debug/hdf5_hl_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cpp\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cpp\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cpp\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../c++/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cpp\Debug/hdf5_hl_cpp.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cpp\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cpp\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cpp\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\hl\c++\src\H5PacketTable.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_hl_cppdll/hdf5_hl_cppdll.vcproj b/windows/proj/hdf5_hl_cppdll/hdf5_hl_cppdll.vcproj
deleted file mode 100644
index cc485aa..0000000
--- a/windows/proj/hdf5_hl_cppdll/hdf5_hl_cppdll.vcproj
+++ /dev/null
@@ -1,410 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_hl_cppdll"
- ProjectGUID="{3EDEB434-F59E-4C50-8884-F0BB29845619}"
- RootNamespace="hdf5_hl_cppdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_cppdll\Release/hdf5_hl_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../c++/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_USRDLL;HDF5_HL_CPPDLL_EXPORTS;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cppdll\Release/hdf5_hl_cppdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cppdll\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cppdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cppdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5_hl_cppdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_cppdll\Release/hdf5_hl_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../c++/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_USRDLL;HDF5_HL_CPPDLL_EXPORTS;_HDF5USEHLDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cppdll\Release/hdf5_hl_cppdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cppdll\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cppdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cppdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5_hl_cppdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_cppdll\Debug/hdf5_hl_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../c++/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_USRDLL;HDF5_HL_CPPDLL_EXPORTS;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cppdll\Debug/hdf5_hl_cppdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cppdll\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cppdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cppdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_hl_cppddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_cppdll\Debug/hdf5_hl_cppdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../c++/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_USRDLL;HDF5_HL_CPPDLL_EXPORTS;_HDF5USEHLDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_cppdll\Debug/hdf5_hl_cppdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_cppdll\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_cppdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_cppdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_hl_cppddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\hl\c++\src\H5PacketTable.cpp"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_hl_f90cstubdll/hdf5_hl_f90cstubdll.vcproj b/windows/proj/hdf5_hl_f90cstubdll/hdf5_hl_f90cstubdll.vcproj
deleted file mode 100644
index 9ae1c76..0000000
--- a/windows/proj/hdf5_hl_f90cstubdll/hdf5_hl_f90cstubdll.vcproj
+++ /dev/null
@@ -1,425 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_hl_f90cstubdll"
- ProjectGUID="{DB6C7D17-28B1-4E5A-A61E-C53FEB545937}"
- RootNamespace="hdf5_hl_f90cstubdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/hdf5_hl_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_USRDLL;HDF5_HL_F90CSTUBDLL_EXPORTS;_HDF5USEDLL_;HDF5FORT_CSTUB_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/hdf5_hl_f90cstubdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_hl_f90cstubdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/hdf5_hl_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_USRDLL;HDF5_HL_F90CSTUBDLL_EXPORTS;_HDF5USEDLL_;HDF5FORT_CSTUB_USEDLL"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/hdf5_hl_f90cstubdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_hl_f90cstubdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/hdf5_hl_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_USRDLL;HDF5_HL_F90CSTUBDLL_EXPORTS;HDF5FORT_CSTUB_USEDLL;_HDF5USEDLL_;HDF5USE_HLF90CSTUBDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/hdf5_hl_f90cstubdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_hl_f90cstubddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/hdf5_hl_f90cstubdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_USRDLL;HDF5_HL_F90CSTUBDLL_EXPORTS;HDF5FORT_CSTUB_USEDLL;_HDF5USEDLL_;HDF5USE_HLF90CSTUBDLL"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/hdf5_hl_f90cstubdll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_f90cstubdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\hdf5_hl_f90cstubddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\hl\fortran\src\H5IMcc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\fortran\src\H5IMfc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\fortran\src\H5LTfc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\fortran\src\H5TBfc.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_hl_fortran/hdf5_hl_f90cstub.vcproj b/windows/proj/hdf5_hl_fortran/hdf5_hl_f90cstub.vcproj
deleted file mode 100644
index ca15a9c..0000000
--- a/windows/proj/hdf5_hl_fortran/hdf5_hl_f90cstub.vcproj
+++ /dev/null
@@ -1,527 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_hl_f90cstub"
- ProjectGUID="{01DA0D22-D220-4ACE-9EB0-EA3906098C0A}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_fortran\Release/hdf5_hl_fortran.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_fortran\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_fortran\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_fortran\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_fortran\Release/hdf5_hl_fortran.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_fortran\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_fortran\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_fortran\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_fortran\Debug/hdf5_hl_fortran.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_fortran\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_fortran\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_fortran\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="../../../src,../../../hl/src,../../../fortran/src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hl_fortran\Debug/hdf5_hl_fortran.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hl_fortran\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hl_fortran\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hl_fortran\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\hl\fortran\src\H5IMcc.c"
- >
- <FileConfiguration
- Name="Release|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Release|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- </File>
- <File
- RelativePath="..\..\..\hl\fortran\src\H5IMfc.c"
- >
- <FileConfiguration
- Name="Release|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Release|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- </File>
- <File
- RelativePath="..\..\..\hl\fortran\src\H5LTfc.c"
- >
- <FileConfiguration
- Name="Release|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Release|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- </File>
- <File
- RelativePath="..\..\..\hl\fortran\src\H5TBfc.c"
- >
- <FileConfiguration
- Name="Release|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Release|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- BasicRuntimeChecks="3"
- />
- </FileConfiguration>
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\hl\fortran\src\H5LTf90proto.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- <Global
- Name="INTEL_FORTRAN_EXTRACTED"
- Value="1"
- />
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5_hl_fortran/hdf5_hl_fortran.vfproj b/windows/proj/hdf5_hl_fortran/hdf5_hl_fortran.vfproj
deleted file mode 100644
index 4d0a627..0000000
--- a/windows/proj/hdf5_hl_fortran/hdf5_hl_fortran.vfproj
+++ /dev/null
@@ -1,49 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectType="typeStaticLibrary" ProjectCreator="Intel Fortran" Keyword="Static Library - Converted from CVF" Version="9.10" ProjectIdGuid="{F9428466-5FA2-47C9-BB02-288EDE7016A4}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName).lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortran\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLibrarianTool" OutputFile="$(OutDir)\$(ProjectName)d.lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortran\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="static /threads" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName).lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeStaticLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" TypeLibraryName="$(IntDir)/$(InputName).tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortran\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebug" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFLibrarianTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\$(ProjectName)d.lib" SuppressStartupBanner="true" LinkLibraryDependencies="true"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\hl\fortran\src\H5IMff.f90"/>
- <File RelativePath="..\..\..\hl\fortran\src\H5LTff.f90"/>
- <File RelativePath="..\..\..\hl\fortran\src\H5TBff.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/proj/hdf5_hl_fortrandll/hdf5_hl_fortrandll.vfproj b/windows/proj/hdf5_hl_fortrandll/hdf5_hl_fortrandll.vfproj
deleted file mode 100644
index 630eb08..0000000
--- a/windows/proj/hdf5_hl_fortrandll/hdf5_hl_fortrandll.vfproj
+++ /dev/null
@@ -1,50 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject ProjectType="typeDynamicLibrary" ProjectCreator="Intel Fortran" Keyword="Dll - Converted from CVF" Version="9.10" ProjectIdGuid="{487B4E71-1CB9-49A1-920C-1F505D8B76F8}">
- <Platforms>
- <Platform Name="Win32"/>
- <Platform Name="x64"/></Platforms>
- <Configurations>
- <Configuration Name="Release|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TargetEnvironment="midlTargetWin32" TypeLibraryName="$(OUTDIR)/hdf5_hl_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_hl_fortrandll.dll" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Debug|Win32" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" PreprocessorDefinitions="_DEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TargetEnvironment="midlTargetWin32" TypeLibraryName="$(OUTDIR)/hdf5_hl_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" AdditionalOptions="/dll" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" OutputFile="$(OutDir)\hdf5_hl_fortranddll.dll" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFPreLinkEventTool"/>
- <Tool Name="VFResourceCompilerTool" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/></Configuration>
- <Configuration Name="Release|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hdf5_hl_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" AdditionalIncludeDirectories="..\..\..\proj\hdf5_fortrandll\Release/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" RuntimeLibrary="rtMultiThreadedDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_hl_fortrandll.dll" LinkIncremental="linkIncrementalNo" SuppressStartupBanner="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="NDEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration>
- <Configuration Name="Debug|x64" OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)" IntermediateDirectory="$(OutDir)" DeleteExtensionsOnClean="*.obj;*.mod;*.pdb;*.asm;*.map;*.dyn;*.dpi;*.tmp;*.log;*.ilk;*.exe;$(TargetPath)" ConfigurationType="typeDynamicLibrary" MustRebuild="true" Command="$(TargetPath)">
- <Tool Name="VFMidlTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" MkTypLibCompatible="true" SuppressStartupBanner="true" TypeLibraryName="$(OUTDIR)/hdf5_hl_fortrandll.tlb"/>
- <Tool Name="VFPreBuildEventTool"/>
- <Tool Name="VFFortranCompilerTool" SwitchesHaveChanged="true" AdditionalOptions="/dll" SuppressStartupBanner="true" DebugInformationFormat="debugEnabled" Optimization="optimizeDisabled" AdditionalIncludeDirectories="Debug/;..\..\..\proj\hdf5_fortrandll\Debug/" PreprocessorDefinitions="HDF5F90_WINDOWS,BUILD_HDF5_DLL" WarnArgMismatch="true" ModulePath="$(INTDIR)/" ObjectFile="$(INTDIR)/" AssemblerListingLocation="$(INTDIR)/" Traceback="true" BoundsCheck="true" RuntimeLibrary="rtMultiThreadedDebugDLL" CompileOnly="true"/>
- <Tool Name="VFPostBuildEventTool"/>
- <Tool Name="VFCustomBuildTool"/>
- <Tool Name="VFLinkerTool" SwitchesHaveChanged="true" MustRebuild="true" OutputFile="$(OutDir)\hdf5_hl_fortranddll.dll" LinkIncremental="linkIncrementalYes" SuppressStartupBanner="true" GenerateDebugInformation="true" ImportLibrary="$(TargetDir)$(TargetName).lib" LinkDLL="true" AdditionalDependencies="kernel32.lib user32.lib gdi32.lib winspool.lib comdlg32.lib advapi32.lib shell32.lib ole32.lib oleaut32.lib uuid.lib odbc32.lib odbccp32.lib"/>
- <Tool Name="VFResourceCompilerTool" SwitchesHaveChanged="true" PreprocessorDefinitions="_DEBUG" Culture="rcEnglishUS" ResourceOutputFileName="$(INTDIR)/$(InputName).res"/>
- <Tool Name="VFPreLinkEventTool"/></Configuration></Configurations>
- <Files>
- <Filter Name="Source Files" Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp">
- <File RelativePath="..\..\..\hl\fortran\src\H5IMff.f90"/>
- <File RelativePath="..\..\..\hl\fortran\src\H5LTff.f90"/>
- <File RelativePath="..\..\..\hl\fortran\src\H5TBff.f90"/></Filter>
- <Filter Name="Header Files" Filter="h;hpp;hxx;hm;inl;fi;fd"/>
- <Filter Name="Resource Files" Filter="ico;cur;bmp;dlg;rc2;rct;bin;rgs;gif;jpg;jpeg;jpe"/></Files>
- <Globals/></VisualStudioProject>
diff --git a/windows/proj/hdf5_hldll/hdf5_hldll.vcproj b/windows/proj/hdf5_hldll/hdf5_hldll.vcproj
deleted file mode 100644
index c42533f..0000000
--- a/windows/proj/hdf5_hldll/hdf5_hldll.vcproj
+++ /dev/null
@@ -1,441 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5_hldll"
- ProjectGUID="{CFB2CC74-5F03-494A-84E9-6BB8D2FBC43C}"
- RootNamespace="hdf5_hldll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\..\..\..\proj\hdf5_hldll\Release/hdf5_hldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_USRDLL;_HDF5_HLDLL_EXPORTS_;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hldll\Release/hdf5_hldll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hldll\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hldll\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hldll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5_hldll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\proj\hdf5_hldll\Release/hdf5_hldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_USRDLL;_HDF5_HLDLL_EXPORTS_;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hldll\Release/hdf5_hldll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hldll\Release/"
- ObjectFile=".\..\..\..\proj\hdf5_hldll\Release/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hldll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5_hldll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\..\..\..\proj\hdf5_hldll\Debug/hdf5_hldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_USRDLL;_HDF5USEDLL_;_HDF5_HLDLL_EXPORTS_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hldll\Debug/hdf5_hldll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hldll\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hldll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hldll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5_hlddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\..\..\..\proj\hdf5_hldll\Debug/hdf5_hldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_USRDLL;_HDF5USEDLL_;_HDF5_HLDLL_EXPORTS_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\proj\hdf5_hldll\Debug/hdf5_hldll.pch"
- AssemblerListingLocation=".\..\..\..\proj\hdf5_hldll\Debug/"
- ObjectFile=".\..\..\..\proj\hdf5_hldll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\proj\hdf5_hldll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5_hlddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\hl\src\H5DS.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5IM.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5LT.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5LTanalyze.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5LTparse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5PT.c"
- >
- </File>
- <File
- RelativePath="..\..\..\hl\src\H5TB.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/hdf5dll/hdf5dll.vcproj b/windows/proj/hdf5dll/hdf5dll.vcproj
deleted file mode 100644
index 60afacd..0000000
--- a/windows/proj/hdf5dll/hdf5dll.vcproj
+++ /dev/null
@@ -1,1676 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hdf5dll"
- ProjectGUID="{C9535AD9-C61D-4691-A5CE-52EF359892AF}"
- RootNamespace="hdf5dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../proj/hdf5dll/Debug/hdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5DLL_;ZLIB_DLL;_SZDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5dll/Debug/hdf5dll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5dll/Debug/"
- ObjectFile=".\../../../proj/hdf5dll/Debug/"
- ProgramDataBaseFileName=".\../../../proj/hdf5dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5ddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../proj/hdf5dll/Debug/hdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5DLL_;ZLIB_DLL;_SZDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5dll/Debug/hdf5dll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5dll/Debug/"
- ObjectFile=".\../../../proj/hdf5dll/Debug/"
- ProgramDataBaseFileName=".\../../../proj/hdf5dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5ddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../proj/hdf5dll/Release/hdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5DLL_;ZLIB_DLL;_SZDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5dll/Release/hdf5dll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5dll/Release/"
- ObjectFile=".\../../../proj/hdf5dll/Release/"
- ProgramDataBaseFileName=".\../../../proj/hdf5dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5dll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\proj\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../proj/hdf5dll/Release/hdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5DLL_;ZLIB_DLL;_SZDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../proj/hdf5dll/Release/hdf5dll.pch"
- AssemblerListingLocation=".\../../../proj/hdf5dll/Release/"
- ObjectFile=".\../../../proj/hdf5dll/Release/"
- ProgramDataBaseFileName=".\../../../proj/hdf5dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\hdf5dll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="source"
- Filter="*.c"
- >
- <File
- RelativePath="..\..\..\src\H5.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5A.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Abtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5AC.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Adense.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Adeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Aint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Atest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2cache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2dbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2hdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2int.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2stat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5B2test.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5C.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5checksum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5D.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5dbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dbtree.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dchunk.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dcompact.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dcontig.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ddbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ddeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Defl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dfill.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dlayout.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dmpio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Doh.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dscatgath.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dselect.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5E.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EA.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAdblkpage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAdblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAhdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAiblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAsblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Edeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Eint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5F.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FA.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Faccum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAdblkpage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAdblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAhdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FD.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDcore.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDfamily.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDlog.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmpi.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmpio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmpiposix.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDmulti.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDsec2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDspace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDstdio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDwindows.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fefc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ffake.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FL.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fmount.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fmpi.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FO.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fquery.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FS.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FScache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FSdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fsfile.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FSsection.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FSstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FStest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fsuper.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fsuper_cache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ftest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5G.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gbtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gcompact.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gdense.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gent.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Glink.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gloc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gname.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gnode.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gobj.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Goh.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Groot.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gstab.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gtraverse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HF.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFbtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFdblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFdtable.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFhdr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFhuge.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFiblock.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFiter.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFman.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFsection.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFspace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFstat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HFtiny.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HG.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HL.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HP.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5I.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Itest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5L.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Lexternal.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5lib_settings.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MF.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFaggr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFsection.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MM.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MP.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MPtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5O.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oainfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oalloc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oattr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oattribute.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Obogus.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Obtreek.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ocache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ochunk.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ocont.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ocopy.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Odbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Odrvinfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Odtype.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oefl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ofill.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ofsinfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oginfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Olayout.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Olinfo.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Olink.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Omessage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Omtime.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oname.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Onull.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Opline.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Orefcount.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Osdspace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oshared.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oshmesg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ostab.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Otest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ounknown.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5P.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pacpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdapl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pdxpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pfapl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pfcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pfmpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pgcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Plapl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Plcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pocpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pocpypl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pstrcpl.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ptest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5R.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5RC.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5RS.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5S.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sall.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Shyper.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SL.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SM.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMbtree2.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMcache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMmessage.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Smpio.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SMtest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Snone.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Spoint.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sselect.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5ST.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Stest.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5system.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5T.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tarray.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tbit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tcommit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tcompound.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tconv.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tcset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tdbg.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tdeprec.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tenum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tfields.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tfixed.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tfloat.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5timer.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tinit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tnative.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Toffset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Toh.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Topaque.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Torder.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tpad.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tprecis.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5trace.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tstrpad.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tvisit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tvlen.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5V.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5WB.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Z.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zdeflate.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zfletcher32.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Znbit.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zscaleoffset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zshuffle.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zszip.c"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ztrans.c"
- >
- </File>
- </Filter>
- <Filter
- Name="header"
- Filter="*.h"
- >
- <File
- RelativePath="..\..\..\src\H5ACprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5ACpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Apkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Aprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Apublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Bpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5BTpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Cprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Cpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Dpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EApkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5EAprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Epkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Eprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Epublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FApkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FAprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5FDpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Fpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Gpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HGpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5HLpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Iprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ipublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MFprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MMprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5MMpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Oprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Opublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Pprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Ppublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5private.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5public.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5RCprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Rpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SHpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SHprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SHpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5SLprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Sprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Spublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tpkg.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Tpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5version.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Vprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5WBprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5win32defs.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zprivate.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\H5Zpublic.h"
- >
- </File>
- <File
- RelativePath="..\..\..\src\hdf5.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/proj/property_sheets/remove-posix-warnings.vsprops b/windows/proj/property_sheets/remove-posix-warnings.vsprops
deleted file mode 100644
index 68a9f3e..0000000
--- a/windows/proj/property_sheets/remove-posix-warnings.vsprops
+++ /dev/null
@@ -1,11 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioPropertySheet
- ProjectType="Visual C++"
- Version="8.00"
- Name="Remove Posix Warnings"
- >
- <Tool
- Name="VCCLCompilerTool"
- PreprocessorDefinitions="_CRT_SECURE_NO_WARNINGS"
- />
-</VisualStudioPropertySheet>
diff --git a/windows/src/H5pubconf.h b/windows/src/H5pubconf.h
deleted file mode 100644
index dea052b..0000000
--- a/windows/src/H5pubconf.h
+++ /dev/null
@@ -1,755 +0,0 @@
-/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
- * Copyright by The HDF Group. *
- * Copyright by the Board of Trustees of the University of Illinois. *
- * All rights reserved. *
- * *
- * This file is part of HDF5. The full HDF5 copyright notice, including *
- * terms governing use, modification, and redistribution, is contained in *
- * the files COPYING and Copyright.html. COPYING can be found at the root *
- * of the source code distribution tree; Copyright.html can be found at the *
- * root level of an installed copy of the electronic HDF5 document set and *
- * is linked from the top-level documents page. It can also be found at *
- * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
- * access to either file, you may request a copy from help@hdfgroup.org. *
- * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
-
-/* NOTE: This file is created by hand from the Linux src/H5pubconf.h file.
- * Most Windows builds are handled by CMake which generates its own
- * H5pubconf.h file so this file can easily get out of date. Please notify
- * Dana Robinson <derobins@hdfgroup.org> if the settings here have issues.
- */
-
-/********************************
- * Windows Specific Definitions *
- ********************************/
-
-/* Define if the Windows virtual file driver should be compiled */
-#define H5_HAVE_WINDOWS 1
-
-/* Define if on the Windows platform */
-#define H5_HAVE_WIN32_API 1
-
-/* Define if using a Windows compiler (i.e. Visual Studio) */
-#define H5_HAVE_VISUAL_STUDIO 1
-
-/***************************************
- * End of Windows Specific Definitions *
- ***************************************/
-
-/* Define if building universal (internal helper macro) */
-/* #undef H5_AC_APPLE_UNIVERSAL_BUILD */
-
-/* Define if your system generates wrong code for log2 routine. */
-/* #undef H5_BAD_LOG2_CODE_GENERATED */
-
-/* Define if the memory buffers being written to disk should be cleared before
- writing. */
-#define H5_CLEAR_MEMORY 1
-
-/* Define if your system can handle converting denormalized floating-point
- values. */
-#define H5_CONVERT_DENORMAL_FLOAT 1
-
-/* Define if C++ compiler recognizes offsetof */
-#define H5_CXX_HAVE_OFFSETOF 1
-
-/* Define the default virtual file driver to compile */
-#define H5_DEFAULT_VFD H5FD_SEC2
-
-/* Define if `dev_t' is a scalar */
-#define H5_DEV_T_IS_SCALAR 1
-
-/* Define to dummy `main' function (if any) required to link to the Fortran
- libraries. */
-/* #undef H5_FC_DUMMY_MAIN */
-
-/* Define if F77 and FC dummy `main' functions are identical. */
-/* #undef H5_FC_DUMMY_MAIN_EQ_F77 */
-
-/* Define to a macro mangling the given C identifier (in lower and upper
- case), which must not contain underscores, for linking with Fortran. */
-#define H5_FC_FUNC(name,NAME) NAME
-
-/* As FC_FUNC, but for C identifiers containing underscores. */
-#define H5_FC_FUNC_(name,NAME) NAME
-
-/* LAHEY compiler for C identifiers containing underscores. */
-/* #define H5_FC_FUNC(name,NAME) name ## _ */
-/* #define H5_FC_FUNC_(name,NAME) name ## _ */
-
-/* Define if your system can handle overflow converting floating-point to
- integer values. */
-#define H5_FP_TO_INTEGER_OVERFLOW_WORKS 1
-
-/* Define if your system roundup accurately converting floating-point to
- unsigned long long values. */
-#define H5_FP_TO_ULLONG_ACCURATE 1
-
-/* Define if your system has right maximum convert floating-point to unsigned
- long long values. */
-/* #undef H5_FP_TO_ULLONG_RIGHT_MAXIMUM */
-
-/* Define if gettimeofday() populates the tz pointer passed in */
-#define H5_GETTIMEOFDAY_GIVES_TZ 1
-
-/* Define to 1 if you have the `alarm' function. */
-/* #undef H5_HAVE_ALARM */
-
-/* Define if the __attribute__(()) extension is present */
-/* #undef H5_HAVE_ATTRIBUTE */
-
-/* Define to 1 if you have the `BSDgettimeofday' function. */
-/* #undef H5_HAVE_BSDGETTIMEOFDAY */
-
-/* Define if the compiler understands C99 designated initialization of structs
- and unions */
-/* #undef H5_HAVE_C99_DESIGNATED_INITIALIZER */
-
-/* Define if the compiler understand the __func__ keyword */
-/* #undef H5_HAVE_C99_FUNC */
-
-/* Define to 1 if you have the `clock_gettime' function. */
-/* #undef H5_HAVE_CLOCK_GETTIME */
-
-/* Define if the function stack tracing code is to be compiled in */
-/* #undef H5_HAVE_CODESTACK */
-
-/* Define to 1 if you have the declaration of `tzname', and to 0 if you don't.
- */
-#define H5_HAVE_DECL_TZNAME 1
-
-/* Define to 1 if you have the `difftime' function. */
-#define H5_HAVE_DIFFTIME 1
-
-/* Define if the direct I/O virtual file driver should be compiled */
-/* #undef H5_HAVE_DIRECT */
-
-/* Define to 1 if you have the <dlfcn.h> header file. */
-/* #undef H5_HAVE_DLFCN_H */
-
-/* Define to 1 if you have the <dmalloc.h> header file. */
-/* #undef H5_HAVE_DMALLOC_H */
-
-/* Define if library information should be embedded in the executables */
-/* #undef H5_HAVE_EMBEDDED_LIBINFO */
-
-/* Define to 1 if you have the <features.h> header file. */
-/* #undef H5_HAVE_FEATURES_H */
-
-/* Define if support for deflate (zlib) filter is enabled */
-#define H5_HAVE_FILTER_DEFLATE 1
-
-/* Define if support for Fletcher32 checksum is enabled */
-#define H5_HAVE_FILTER_FLETCHER32 1
-
-/* Define if support for nbit filter is enabled */
-#define H5_HAVE_FILTER_NBIT 1
-
-/* Define if support for scaleoffset filter is enabled */
-#define H5_HAVE_FILTER_SCALEOFFSET 1
-
-/* Define if support for shuffle filter is enabled */
-#define H5_HAVE_FILTER_SHUFFLE 1
-
-/* Define if support for szip filter is enabled */
-#define H5_HAVE_FILTER_SZIP 1
-
-/* Define to 1 if you have the `fork' function. */
-/* #undef H5_HAVE_FORK */
-
-/* Define to 1 if you have the `frexpf' function. */
-/* #undef H5_HAVE_FREXPF */
-
-/* Define to 1 if you have the `frexpl' function. */
-/* #undef H5_HAVE_FREXPL */
-
-/* Define to 1 if you have the `fseeko' function. */
-/* #undef H5_HAVE_FSEEKO */
-
-/* Define to 1 if you have the `fseek64' function. */
-/* #undef H5_HAVE_FSEEKO64 */
-
-/* Define to 1 if you have the `fstat64' function. */
-/* #undef H5_HAVE_FSTAT64 */
-
-/* Define to 1 if you have the `ftello' function. */
-/* #undef H5_HAVE_FTELLO */
-
-/* Define to 1 if you have the `ftello64' function. */
-/* #undef H5_HAVE_FTELLO64 */
-
-/* Define to 1 if you have the `ftruncate64' function. */
-/* #undef H5_HAVE_FTRUNCATE64 */
-
-/* Define if the compiler understand the __FUNCTION__ keyword */
-#define H5_HAVE_FUNCTION 1
-
-/* Define to 1 if you have the `GetConsoleScreenBufferInfo' function. */
-#define H5_HAVE_GETCONSOLESCREENBUFFERINFO 1
-
-/* Define to 1 if you have the `gethostname' function. */
-#define H5_HAVE_GETHOSTNAME 1
-
-/* Define to 1 if you have the `getpwuid' function. */
-/* #undef H5_HAVE_GETPWUID */
-
-/* Define to 1 if you have the `getrusage' function. */
-/* #undef H5_HAVE_GETRUSAGE */
-
-/* Define to 1 if you have the `gettextinfo' function. */
-/* #undef H5_HAVE_GETTEXTINFO */
-
-/* Define to 1 if you have the `gettimeofday' function. */
-#define H5_HAVE_GETTIMEOFDAY 1
-
-/* Define to 1 if you have the `get_fpc_csr' function. */
-/* #undef H5_HAVE_GET_FPC_CSR */
-
-/* Define if we have GPFS support */
-/* #undef H5_HAVE_GPFS */
-
-/* Define to 1 if you have the <gpfs.h> header file. */
-/* #undef H5_HAVE_GPFS_H */
-
-/* Define if library will contain instrumentation to detect correct
- optimization operation */
-/* #undef H5_HAVE_INSTRUMENTED_LIBRARY */
-
-/* Define to 1 if you have the <inttypes.h> header file. */
-/* #undef H5_HAVE_INTTYPES_H */
-
-/* Define to 1 if you have the `ioctl' function. */
-/* #undef H5_HAVE_IOCTL */
-
-/* Define to 1 if you have the <io.h> header file. */
-#define H5_HAVE_IO_H
-
-/* Define to 1 if you have the `dmalloc' library (-ldmalloc). */
-/* #undef H5_HAVE_LIBDMALLOC */
-
-/* Define to 1 if you have the `lmpe' library (-llmpe). */
-/* #undef H5_HAVE_LIBLMPE */
-
-/* Define to 1 if you have the `m' library (-lm). */
-/* #undef H5_HAVE_LIBM */
-
-/* Define to 1 if you have the `mpe' library (-lmpe). */
-/* #undef H5_HAVE_LIBMPE */
-
-/* Define to 1 if you have the `mpi' library (-lmpi). */
-/* #undef H5_HAVE_LIBMPI */
-
-/* Define to 1 if you have the `mpich' library (-lmpich). */
-/* #undef H5_HAVE_LIBMPICH */
-
-/* Define to 1 if you have the `mpio' library (-lmpio). */
-/* #undef H5_HAVE_LIBMPIO */
-
-/* Define to 1 if you have the `nsl' library (-lnsl). */
-/* #undef H5_HAVE_LIBNSL */
-
-/* Define to 1 if you have the `pthread' library (-lpthread). */
-/* #undef H5_HAVE_LIBPTHREAD */
-
-/* Define to 1 if you have the `socket' library (-lsocket). */
-/* #undef H5_HAVE_LIBSOCKET */
-
-/* Define to 1 if you have the `sz' library (-lsz). */
-#define H5_HAVE_LIBSZ 1
-
-/* Define to 1 if you have the `z' library (-lz). */
-#define H5_HAVE_LIBZ 1
-
-/* Define to 1 if you have the `longjmp' function. */
-#define H5_HAVE_LONGJMP 1
-
-/* Define to 1 if you have the `lseek64' function. */
-/* #undef H5_HAVE_LSEEK64 */
-
-/* Define to 1 if you have the `lstat' function. */
-/* #undef H5_HAVE_LSTAT */
-
-/* Define to 1 if you have the <mach/mach_time.h> header file. */
-/* #undef H5_HAVE_MACH_MACH_TIME_H */
-
-/* Define to 1 if you have the <memory.h> header file. */
-/* #undef H5_HAVE_MEMORY_H */
-
-/* Define if we have MPE support */
-/* #undef H5_HAVE_MPE */
-
-/* Define to 1 if you have the <mpe.h> header file. */
-/* #undef H5_HAVE_MPE_H */
-
-/* Define if MPI_File_get_size works correctly */
-#define H5_HAVE_MPI_GET_SIZE 1
-
-/* Define if `MPI_Comm_c2f' and `MPI_Comm_f2c' exists */
-/* #undef H5_HAVE_MPI_MULTI_LANG_Comm */
-
-/* Define if `MPI_Info_c2f' and `MPI_Info_f2c' exists */
-/* #undef H5_HAVE_MPI_MULTI_LANG_Info */
-
-/* Define if we have parallel support */
-/* #undef H5_HAVE_PARALLEL */
-
-/* Define to 1 if you have the <pthread.h> header file. */
-/* #undef H5_HAVE_PTHREAD_H */
-
-/* Define to 1 if you have the `random' function. */
-/* #undef H5_HAVE_RANDOM */
-
-/* Define to 1 if you have the `rand_r' function. */
-/* #undef H5_HAVE_RAND_R */
-
-/* Define to 1 if you have the <setjmp.h> header file. */
-#define H5_HAVE_SETJMP_H 1
-
-/* Define to 1 if you have the `setsysinfo' function. */
-/* #undef H5_HAVE_SETSYSINFO */
-
-/* Define to 1 if you have the `siglongjmp' function. */
-/* #undef H5_HAVE_SIGLONGJMP */
-
-/* Define to 1 if you have the `signal' function. */
-#define H5_HAVE_SIGNAL 1
-
-/* Define to 1 if you have the `sigprocmask' function. */
-/* #undef H5_HAVE_SIGPROCMASK */
-
-/* Define to 1 if you have the `sigsetjmp' function. */
-/* #undef H5_HAVE_SIGSETJMP */
-
-/* Define to 1 if you have the `snprintf' function. */
-/* #undef H5_HAVE_SNPRINTF */
-
-/* Define to 1 if you have the `srandom' function. */
-/* #undef H5_HAVE_SRANDOM */
-
-/* Define to 1 if you have the `stat64' function. */
-/* #undef H5_HAVE_STAT64 */
-
-/* Define if `struct stat' has the `st_blocks' field */
-/* #undef H5_HAVE_STAT_ST_BLOCKS */
-
-/* Define to 1 if you have the <stddef.h> header file. */
-#define H5_HAVE_STDDEF_H 1
-
-/* Define to 1 if you have the <stdint.h> header file. */
-/* #undef H5_HAVE_STDINT_H */
-
-/* Define to 1 if you have the <stdlib.h> header file. */
-#define H5_HAVE_STDLIB_H 1
-
-/* Define to 1 if you have the `strdup' function. */
-#define H5_HAVE_STRDUP 1
-
-/* Define to 1 if you have the <strings.h> header file. */
-/* #undef H5_HAVE_STRINGS_H */
-
-/* Define to 1 if you have the <string.h> header file. */
-#define H5_HAVE_STRING_H 1
-
-/* Define if `struct text_info' is defined */
-/* #undef H5_HAVE_STRUCT_TEXT_INFO */
-
-/* Define if `struct timezone' is defined */
-#define H5_HAVE_STRUCT_TIMEZONE 1
-
-/* Define to 1 if `tm_zone' is member of `struct tm'. */
-/* #undef H5_HAVE_STRUCT_TM_TM_ZONE */
-
-/* Define if `struct videoconfig' is defined */
-/* #undef H5_HAVE_STRUCT_VIDEOCONFIG */
-
-/* Define to 1 if you have the `symlink' function. */
-/* #undef H5_HAVE_SYMLINK */
-
-/* Define to 1 if you have the `system' function. */
-#define H5_HAVE_SYSTEM 1
-
-/* Define to 1 if you have the <sys/fpu.h> header file. */
-/* #undef H5_HAVE_SYS_FPU_H */
-
-/* Define to 1 if you have the <sys/ioctl.h> header file. */
-/* #undef H5_HAVE_SYS_IOCTL_H */
-
-/* Define to 1 if you have the <sys/proc.h> header file. */
-/* #undef H5_HAVE_SYS_PROC_H */
-
-/* Define to 1 if you have the <sys/resource.h> header file. */
-/* #undef H5_HAVE_SYS_RESOURCE_H */
-
-/* Define to 1 if you have the <sys/socket.h> header file. */
-/* #undef H5_HAVE_SYS_SOCKET_H */
-
-/* Define to 1 if you have the <sys/stat.h> header file. */
-#define H5_HAVE_SYS_STAT_H 1
-
-/* Define to 1 if you have the <sys/sysinfo.h> header file. */
-/* #undef H5_HAVE_SYS_SYSINFO_H */
-
-/* Define to 1 if you have the <sys/timeb.h> header file. */
-#define H5_HAVE_SYS_TIMEB_H 1
-
-/* Define to 1 if you have the <sys/time.h> header file. */
-/* #undef H5_HAVE_SYS_TIME_H */
-
-/* Define to 1 if you have the <sys/types.h> header file. */
-#define H5_HAVE_SYS_TYPES_H 1
-
-/* Define to 1 if you have the <szlib.h> header file. */
-#define H5_HAVE_SZLIB_H 1
-
-/* Define if we have thread safe support */
-/* #undef H5_HAVE_THREADSAFE */
-
-/* Define if `timezone' is a global variable */
-#define H5_HAVE_TIMEZONE 1
-
-/* Define if the ioctl TIOCGETD is defined */
-/* #undef H5_HAVE_TIOCGETD */
-
-/* Define if the ioctl TIOGWINSZ is defined */
-/* #undef H5_HAVE_TIOCGWINSZ */
-
-/* Define to 1 if you have the `tmpfile' function. */
-#define H5_HAVE_TMPFILE 1
-
-/* Define if `tm_gmtoff' is a member of `struct tm' */
-/* #undef H5_HAVE_TM_GMTOFF */
-
-/* Define to 1 if your `struct tm' has `tm_zone'. Deprecated, use
- `HAVE_STRUCT_TM_TM_ZONE' instead. */
-/* #undef H5_HAVE_TM_ZONE */
-
-/* Define to 1 if you don't have `tm_zone' but do have the external array
- `tzname'. */
-#define H5_HAVE_TZNAME 1
-
-/* Define to 1 if you have the <unistd.h> header file. */
-/* #undef H5_HAVE_UNISTD_H */
-
-/* Define to 1 if you have the `vasprintf' function. */
-/* #undef H5_HAVE_VASPRINTF */
-
-/* Define to 1 if you have the `vsnprintf' function. */
-/* #undef H5_HAVE_VSNPRINTF */
-
-/* Define to 1 if you have the `waitpid' function. */
-/* #undef H5_HAVE_WAITPID */
-
-/* Define if your system has window style path name. */
-#define H5_HAVE_WINDOW_PATH 1
-
-/* Define to 1 if you have the <winsock.h> header file. */
-#define H5_HAVE_WINSOCK2_H 1
-
-/* Define to 1 if you have the <zlib.h> header file. */
-#define H5_HAVE_ZLIB_H 1
-
-/* Define to 1 if you have the `_getvideoconfig' function. */
-/* #undef H5_HAVE__GETVIDEOCONFIG */
-
-/* Define to 1 if you have the `_scrsize' function. */
-/* #undef H5_HAVE__SCRSIZE */
-
-/* Define if `__tm_gmtoff' is a member of `struct tm' */
-/* #undef H5_HAVE___TM_GMTOFF */
-
-/* Define if your system can't handle converting floating-point values to long
- long. */
-/* #undef H5_HW_FP_TO_LLONG_NOT_WORKS */
-
-/* Define if HDF5's high-level library headers should be included in hdf5.h */
-#define H5_INCLUDE_HL 1
-
-/* Define if your system can accurately convert from integers to long double
- values. */
-#define H5_INTEGER_TO_LDOUBLE_ACCURATE 1
-
-/* Define if your system can convert long double to integers accurately. */
-#define H5_LDOUBLE_TO_INTEGER_ACCURATE 1
-
-/* Define if your system can convert from long double to integer values. */
-#define H5_LDOUBLE_TO_INTEGER_WORKS 1
-
-/* Define if your system can convert long double to (unsigned) long long
- values correctly. */
-#define H5_LDOUBLE_TO_LLONG_ACCURATE 1
-
-/* Define if your system converts long double to (unsigned) long values with
- special algorithm. */
-/* #undef H5_LDOUBLE_TO_LONG_SPECIAL */
-
-/* Define if your system can convert long double to unsigned int values
- correctly. */
-#define H5_LDOUBLE_TO_UINT_ACCURATE 1
-
-/* Define if your system can compile long long to floating-point casts. */
-#define H5_LLONG_TO_FP_CAST_WORKS 1
-
-/* Define if your system can convert (unsigned) long long to long double
- values correctly. */
-#define H5_LLONG_TO_LDOUBLE_CORRECT 1
-
-/* Define if your system can convert (unsigned) long to long double values
- with special algorithm. */
-/* #undef H5_LONG_TO_LDOUBLE_SPECIAL */
-
-/* Define to the sub-directory in which libtool stores uninstalled libraries.
- */
-#define H5_LT_OBJDIR ".libs/"
-
-/* Define if the metadata trace file code is to be compiled in */
-/* #undef H5_METADATA_TRACE_FILE */
-
-/* Define if your system's `MPI_File_set_size' function works for files over
- 2GB. */
-#define H5_MPI_FILE_SET_SIZE_BIG 1
-
-/* Define if we can violate pointer alignment restrictions */
-#define H5_NO_ALIGNMENT_RESTRICTIONS 1
-
-/* Define if deprecated public API symbols are disabled */
-/* #undef H5_NO_DEPRECATED_SYMBOLS */
-
-/* Define if shared writing must be disabled (CodeWarrior only) */
-/* #undef H5_NO_SHARED_WRITING */
-
-/* Name of package */
-#define H5_PACKAGE "hdf5"
-
-/* Define to the address where bug reports for this package should be sent. */
-#define H5_PACKAGE_BUGREPORT "help@hdfgroup.org"
-
-/* Define to the full name of this package. */
-#define H5_PACKAGE_NAME "HDF5"
-
-/* Define to the full name and version of this package. */
-#define H5_PACKAGE_STRING "HDF5 1.9.128"
-
-/* Define to the one symbol short name of this package. */
-#define H5_PACKAGE_TARNAME "hdf5"
-
-/* Define to the home page for this package. */
-#define H5_PACKAGE_URL ""
-
-/* Define to the version of this package. */
-#define H5_PACKAGE_VERSION "1.9.128"
-
-/* Width for printf() for type `long long' or `__int64', use `ll' */
-#define H5_PRINTF_LL_WIDTH "I64"
-
-/* The size of `char', as computed by sizeof. */
-#define H5_SIZEOF_CHAR 1
-
-/* The size of `double', as computed by sizeof. */
-#define H5_SIZEOF_DOUBLE 8
-
-/* The size of `float', as computed by sizeof. */
-#define H5_SIZEOF_FLOAT 4
-
-/* The size of `int', as computed by sizeof. */
-#define H5_SIZEOF_INT 4
-
-/* The size of `int16_t', as computed by sizeof. */
-#define H5_SIZEOF_INT16_T 0
-
-/* The size of `int32_t', as computed by sizeof. */
-#define H5_SIZEOF_INT32_T 0
-
-/* The size of `int64_t', as computed by sizeof. */
-#define H5_SIZEOF_INT64_T 0
-
-/* The size of `int8_t', as computed by sizeof. */
-#define H5_SIZEOF_INT8_T 0
-
-/* The size of `int_fast16_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_FAST16_T 0
-
-/* The size of `int_fast32_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_FAST32_T 0
-
-/* The size of `int_fast64_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_FAST64_T 0
-
-/* The size of `int_fast8_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_FAST8_T 0
-
-/* The size of `int_least16_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_LEAST16_T 0
-
-/* The size of `int_least32_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_LEAST32_T 0
-
-/* The size of `int_least64_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_LEAST64_T 0
-
-/* The size of `int_least8_t', as computed by sizeof. */
-#define H5_SIZEOF_INT_LEAST8_T 0
-
-/* The size of `long', as computed by sizeof. */
-#define H5_SIZEOF_LONG 4
-
-/* The size of `long double', as computed by sizeof. */
-#define H5_SIZEOF_LONG_DOUBLE 8
-
-/* The size of `long long', as computed by sizeof. */
-#define H5_SIZEOF_LONG_LONG 8
-
-/* The size of `off64_t', as computed by sizeof. */
-#define H5_SIZEOF_OFF64_T 0
-
-/* The size of `off_t', as computed by sizeof. */
-#define H5_SIZEOF_OFF_T 4
-
-/* The size of `ptrdiff_t', as computed by sizeof. */
-#ifndef _WIN64
-#define H5_SIZEOF_PTRDIFF_T 4
-#else
-#define H5_SIZEOF_PTRDIFF_T 8
-#endif /* _WIN64 */
-
-/* The size of `short', as computed by sizeof. */
-#define H5_SIZEOF_SHORT 2
-
-/* The size of `size_t', as computed by sizeof. */
-#ifndef _WIN64
-#define H5_SIZEOF_SIZE_T 4
-#else
-#define H5_SIZEOF_SIZE_T 8
-#endif /* _WIN64 */
-
-/* The size of `ssize_t', as computed by sizeof. */
-#define H5_SIZEOF_SSIZE_T 0
-
-/* The size of `uint16_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT16_T 0
-
-/* The size of `uint32_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT32_T 0
-
-/* The size of `uint64_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT64_T 0
-
-/* The size of `uint8_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT8_T 0
-
-/* The size of `uint_fast16_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_FAST16_T 0
-
-/* The size of `uint_fast32_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_FAST32_T 0
-
-/* The size of `uint_fast64_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_FAST64_T 0
-
-/* The size of `uint_fast8_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_FAST8_T 0
-
-/* The size of `uint_least16_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_LEAST16_T 0
-
-/* The size of `uint_least32_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_LEAST32_T 0
-
-/* The size of `uint_least64_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_LEAST64_T 0
-
-/* The size of `uint_least8_t', as computed by sizeof. */
-#define H5_SIZEOF_UINT_LEAST8_T 0
-
-/* The size of `unsigned', as computed by sizeof. */
-#define H5_SIZEOF_UNSIGNED 4
-
-/* The size of `__int64', as computed by sizeof. */
-#define H5_SIZEOF___INT64 8
-
-/* Define to 1 if you have the ANSI C header files. */
-#define H5_STDC_HEADERS 1
-
-/* Define if strict file format checks are enabled */
-/* #undef H5_STRICT_FORMAT_CHECKS */
-
-/* Define if your system supports pthread_attr_setscope(&attribute,
- PTHREAD_SCOPE_SYSTEM) call. */
-#define H5_SYSTEM_SCOPE_THREADS 1
-
-/* Define to 1 if you can safely include both <sys/time.h> and <time.h>. */
-/* #undef H5_TIME_WITH_SYS_TIME */
-
-/* Define to 1 if your <sys/time.h> declares `struct tm'. */
-/* #undef H5_TM_IN_SYS_TIME */
-
-/* Define if your system can compile unsigned long long to floating-point
- casts. */
-#define H5_ULLONG_TO_FP_CAST_WORKS 1
-
-/* Define if your system can convert unsigned long long to long double with
- correct precision. */
-#define H5_ULLONG_TO_LDOUBLE_PRECISION 1
-
-/* Define if your system accurately converting unsigned long to float values.
- */
-/* #undef H5_ULONG_TO_FLOAT_ACCURATE */
-
-/* Define if your system can accurately convert unsigned (long) long values to
- floating-point values. */
-/* #undef H5_ULONG_TO_FP_BOTTOM_BIT_ACCURATE */
-
-/* Define using v1.6 public API symbols by default */
-/* #undef H5_USE_16_API_DEFAULT */
-
-/* Define if a memory checking tool will be used on the library, to cause
- library to be very picky about memory operations and also disable the
- internal free list manager code. */
-/* #undef H5_USING_MEMCHECKER */
-
-/* Version number of package */
-#define H5_VERSION "1.9.128"
-
-/* Define if vsnprintf() returns the correct value for formatted strings that
- don't fit into size allowed */
-/* #undef H5_VSNPRINTF_WORKS */
-
-/* Data accuracy is prefered to speed during data conversions */
-#define H5_WANT_DATA_ACCURACY 1
-
-/* Check exception handling functions during data conversions */
-#define H5_WANT_DCONV_EXCEPTION 1
-
-/* Define to 1 if your processor stores words with the most significant byte
- first (like Motorola and SPARC, unlike Intel and VAX). */
-/* #undef H5_WORDS_BIGENDIAN */
-
-/* Number of bits in a file offset, on hosts where this is settable. */
-/* #undef H5__FILE_OFFSET_BITS */
-
-/* Define for large files, on AIX-style hosts. */
-/* #undef H5__LARGE_FILES */
-
-/* Define to empty if `const' does not conform to ANSI C. */
-/* #undef H5_const */
-
-/* Define to `__inline__' or `__inline' if that's what the C compiler
- calls it, or to nothing if 'inline' is not supported under any name. */
-#ifndef __cplusplus
-#define H5_inline __inline
-#endif
-
-/* Define to `long int' if <sys/types.h> does not define. */
-/* #undef H5_off_t */
-
-/* Define to `long' if <sys/types.h> does not define. */
-/* #undef H5_ptrdiff_t */
-
-/* Define to `unsigned long' if <sys/types.h> does not define. */
-/* #undef H5_size_t */
-
-/* Define to `long' if <sys/types.h> does not define. */
-#ifndef _WIN64
-#define H5_ssize_t long
-#else
-#define H5_ssize_t long long
-#endif /* _WIN64 */
diff --git a/windows/test/H5srcdir_str.h b/windows/test/H5srcdir_str.h
deleted file mode 100644
index 4d32264..0000000
--- a/windows/test/H5srcdir_str.h
+++ /dev/null
@@ -1,22 +0,0 @@
-/* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
- * Copyright by The HDF Group. *
- * Copyright by the Board of Trustees of the University of Illinois. *
- * All rights reserved. *
- * *
- * This file is part of HDF5. The full HDF5 copyright notice, including *
- * terms governing use, modification, and redistribution, is contained in *
- * the files COPYING and Copyright.html. COPYING can be found at the root *
- * of the source code distribution tree; Copyright.html can be found at the *
- * root level of an installed copy of the electronic HDF5 document set and *
- * is linked from the top-level documents page. It can also be found at *
- * http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have *
- * access to either file, you may request a copy from help@hdfgroup.org. *
- * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * */
-
-/* If you are reading this file and it has a '.h' suffix, it was automatically
- * generated from the '.in' version. Make changes there.
- */
-
-/* Set the 'srcdir' path from configure time */
-static const char *config_srcdir = ".";
-
diff --git a/windows/test/app_ref/app_ref.vcproj b/windows/test/app_ref/app_ref.vcproj
deleted file mode 100644
index a26fbe7..0000000
--- a/windows/test/app_ref/app_ref.vcproj
+++ /dev/null
@@ -1,343 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="app_ref"
- ProjectGUID="{C35122F6-49FF-4AAA-A2AA-482628E5E2A7}"
- RootNamespace="app_ref"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\app_ref.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/app_refdll/app_refdll.vcproj b/windows/test/app_refdll/app_refdll.vcproj
deleted file mode 100644
index 436722e..0000000
--- a/windows/test/app_refdll/app_refdll.vcproj
+++ /dev/null
@@ -1,343 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="app_refdll"
- ProjectGUID="{0C618DA2-4097-46B9-83D0-144AEB774568}"
- RootNamespace="app_refdll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\app_ref.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/big/big.vcproj b/windows/test/big/big.vcproj
deleted file mode 100644
index 81f9aaa..0000000
--- a/windows/test/big/big.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="big"
- ProjectGUID="{009F2CC9-B131-4C51-BCFD-CEBDEFCB32C7}"
- RootNamespace="big"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/big/Release/big.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/big/Release/big.pch"
- AssemblerListingLocation=".\../../../test/big/Release/"
- ObjectFile=".\../../../test/big/Release/"
- ProgramDataBaseFileName=".\../../../test/big/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/big/Release/big.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/big/Release/big.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/big/Release/big.pch"
- AssemblerListingLocation=".\../../../test/big/Release/"
- ObjectFile=".\../../../test/big/Release/"
- ProgramDataBaseFileName=".\../../../test/big/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/big/Release/big.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/big/Debug/big.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/big/Debug/big.pch"
- AssemblerListingLocation=".\../../../test/big/Debug/"
- ObjectFile=".\../../../test/big/Debug/"
- ProgramDataBaseFileName=".\../../../test/big/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/big/Debug/big.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/big/Debug/big.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/big/Debug/big.pch"
- AssemblerListingLocation=".\../../../test/big/Debug/"
- ObjectFile=".\../../../test/big/Debug/"
- ProgramDataBaseFileName=".\../../../test/big/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/big/Debug/big.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\big.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/bigdll/bigdll.vcproj b/windows/test/bigdll/bigdll.vcproj
deleted file mode 100644
index a788652..0000000
--- a/windows/test/bigdll/bigdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="bigdll"
- ProjectGUID="{8C97CA6D-2210-4D1F-AEF2-5D56FFBCE767}"
- RootNamespace="bigdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/bigdll/Debug/bigdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bigdll/Debug/bigdll.pch"
- AssemblerListingLocation=".\../../../test/bigdll/Debug/"
- ObjectFile=".\../../../test/bigdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/bigdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/bigdll/Debug/bigdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/bigdll/Debug/bigdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bigdll/Debug/bigdll.pch"
- AssemblerListingLocation=".\../../../test/bigdll/Debug/"
- ObjectFile=".\../../../test/bigdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/bigdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/bigdll/Debug/bigdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/bigdll/Release/bigdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bigdll/Release/bigdll.pch"
- AssemblerListingLocation=".\../../../test/bigdll/Release/"
- ObjectFile=".\../../../test/bigdll/Release/"
- ProgramDataBaseFileName=".\../../../test/bigdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/bigdll/Release/bigdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/bigdll/Release/bigdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bigdll/Release/bigdll.pch"
- AssemblerListingLocation=".\../../../test/bigdll/Release/"
- ObjectFile=".\../../../test/bigdll/Release/"
- ProgramDataBaseFileName=".\../../../test/bigdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/bigdll/Release/bigdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\big.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/bittests/bittests.vcproj b/windows/test/bittests/bittests.vcproj
deleted file mode 100644
index 72a5059..0000000
--- a/windows/test/bittests/bittests.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="bittests"
- ProjectGUID="{958A5473-0E7C-4FA1-9C2F-AEA0925EF5AD}"
- RootNamespace="bittests"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/bittests/Debug/bittests.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittests/Debug/bittests.pch"
- AssemblerListingLocation=".\../../../test/bittests/Debug/"
- ObjectFile=".\../../../test/bittests/Debug/"
- ProgramDataBaseFileName=".\../../../test/bittests/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/bittests/Debug/bittests.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/bittests/Debug/bittests.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittests/Debug/bittests.pch"
- AssemblerListingLocation=".\../../../test/bittests/Debug/"
- ObjectFile=".\../../../test/bittests/Debug/"
- ProgramDataBaseFileName=".\../../../test/bittests/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/bittests/Debug/bittests.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/bittests/Release/bittests.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittests/Release/bittests.pch"
- AssemblerListingLocation=".\../../../test/bittests/Release/"
- ObjectFile=".\../../../test/bittests/Release/"
- ProgramDataBaseFileName=".\../../../test/bittests/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/bittests/Release/bittests.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/bittests/Release/bittests.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittests/Release/bittests.pch"
- AssemblerListingLocation=".\../../../test/bittests/Release/"
- ObjectFile=".\../../../test/bittests/Release/"
- ProgramDataBaseFileName=".\../../../test/bittests/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/bittests/Release/bittests.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\bittests.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/bittestsdll/bittestsdll.vcproj b/windows/test/bittestsdll/bittestsdll.vcproj
deleted file mode 100644
index 4288e03..0000000
--- a/windows/test/bittestsdll/bittestsdll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="bittestsdll"
- ProjectGUID="{E5C9E235-E10F-4F46-A94F-A112CD8D867E}"
- RootNamespace="bittestsdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/bittestsdll/Debug/bittestsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittestsdll/Debug/bittestsdll.pch"
- AssemblerListingLocation=".\../../../test/bittestsdll/Debug/"
- ObjectFile=".\../../../test/bittestsdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/bittestsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/bittestsdll/Debug/bittestsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/bittestsdll/Debug/bittestsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittestsdll/Debug/bittestsdll.pch"
- AssemblerListingLocation=".\../../../test/bittestsdll/Debug/"
- ObjectFile=".\../../../test/bittestsdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/bittestsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/bittestsdll/Debug/bittestsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/bittestsdll/Release/bittestsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittestsdll/Release/bittestsdll.pch"
- AssemblerListingLocation=".\../../../test/bittestsdll/Release/"
- ObjectFile=".\../../../test/bittestsdll/Release/"
- ProgramDataBaseFileName=".\../../../test/bittestsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/bittestsdll/Release/bittestsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/bittestsdll/Release/bittestsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/bittestsdll/Release/bittestsdll.pch"
- AssemblerListingLocation=".\../../../test/bittestsdll/Release/"
- ObjectFile=".\../../../test/bittestsdll/Release/"
- ProgramDataBaseFileName=".\../../../test/bittestsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/bittestsdll/Release/bittestsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\bittests.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/btree2/btree2.vcproj b/windows/test/btree2/btree2.vcproj
deleted file mode 100644
index 27f4d66..0000000
--- a/windows/test/btree2/btree2.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="btree2"
- ProjectGUID="{8CE6FF9D-8A14-4A45-971A-18972109AC9D}"
- RootNamespace="btree2"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/btree2/Debug/btree2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2/Debug/btree2.pch"
- AssemblerListingLocation=".\../../../test/btree2/Debug/"
- ObjectFile=".\../../../test/btree2/Debug/"
- ProgramDataBaseFileName=".\../../../test/btree2/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/btree2/Debug/btree2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/btree2/Debug/btree2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2/Debug/btree2.pch"
- AssemblerListingLocation=".\../../../test/btree2/Debug/"
- ObjectFile=".\../../../test/btree2/Debug/"
- ProgramDataBaseFileName=".\../../../test/btree2/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/btree2/Debug/btree2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/btree2/Release/btree2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2/Release/btree2.pch"
- AssemblerListingLocation=".\../../../test/btree2/Release/"
- ObjectFile=".\../../../test/btree2/Release/"
- ProgramDataBaseFileName=".\../../../test/btree2/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/btree2/Release/btree2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/btree2/Release/btree2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2/Release/btree2.pch"
- AssemblerListingLocation=".\../../../test/btree2/Release/"
- ObjectFile=".\../../../test/btree2/Release/"
- ProgramDataBaseFileName=".\../../../test/btree2/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/btree2/Release/btree2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\btree2.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/btree2dll/btree2dll.vcproj b/windows/test/btree2dll/btree2dll.vcproj
deleted file mode 100644
index 0658779..0000000
--- a/windows/test/btree2dll/btree2dll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="btree2dll"
- ProjectGUID="{34EEE194-B77E-453E-9C59-252C0421188A}"
- RootNamespace="btree2dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/btree2dll/Debug/btree2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2dll/Debug/btree2dll.pch"
- AssemblerListingLocation=".\../../../test/btree2dll/Debug/"
- ObjectFile=".\../../../test/btree2dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/btree2dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/btree2dll/Debug/btree2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/btree2dll/Debug/btree2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2dll/Debug/btree2dll.pch"
- AssemblerListingLocation=".\../../../test/btree2dll/Debug/"
- ObjectFile=".\../../../test/btree2dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/btree2dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/btree2dll/Debug/btree2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/btree2dll/Release/btree2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2dll/Release/btree2dll.pch"
- AssemblerListingLocation=".\../../../test/btree2dll/Release/"
- ObjectFile=".\../../../test/btree2dll/Release/"
- ProgramDataBaseFileName=".\../../../test/btree2dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/btree2dll/Release/btree2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/btree2dll/Release/btree2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/btree2dll/Release/btree2dll.pch"
- AssemblerListingLocation=".\../../../test/btree2dll/Release/"
- ObjectFile=".\../../../test/btree2dll/Release/"
- ProgramDataBaseFileName=".\../../../test/btree2dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/btree2dll/Release/btree2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\btree2.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cache/cache.vcproj b/windows/test/cache/cache.vcproj
deleted file mode 100644
index d23d7ff..0000000
--- a/windows/test/cache/cache.vcproj
+++ /dev/null
@@ -1,402 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cache"
- ProjectGUID="{4BAFED6D-07B4-465D-8C93-81A1C2EF02E0}"
- RootNamespace="cache"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cache/Debug/cache.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache/Debug/cache.pch"
- AssemblerListingLocation=".\../../../test/cache/Debug/"
- ObjectFile=".\../../../test/cache/Debug/"
- ProgramDataBaseFileName=".\../../../test/cache/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cache/Debug/cache.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cache/Debug/cache.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache/Debug/cache.pch"
- AssemblerListingLocation=".\../../../test/cache/Debug/"
- ObjectFile=".\../../../test/cache/Debug/"
- ProgramDataBaseFileName=".\../../../test/cache/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cache/Debug/cache.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cache/Release/cache.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache/Release/cache.pch"
- AssemblerListingLocation=".\../../../test/cache/Release/"
- ObjectFile=".\../../../test/cache/Release/"
- ProgramDataBaseFileName=".\../../../test/cache/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cache/Release/cache.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cache/Release/cache.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache/Release/cache.pch"
- AssemblerListingLocation=".\../../../test/cache/Release/"
- ObjectFile=".\../../../test/cache/Release/"
- ProgramDataBaseFileName=".\../../../test/cache/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cache/Release/cache.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\cache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\cache_common.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cache_api/cache_api.vcproj b/windows/test/cache_api/cache_api.vcproj
deleted file mode 100644
index 2e53ce9..0000000
--- a/windows/test/cache_api/cache_api.vcproj
+++ /dev/null
@@ -1,407 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cache_api"
- ProjectGUID="{4F8B23C1-9832-4C3B-A836-2FBB53F628A0}"
- RootNamespace="cache_api"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cache_api/Debug/cache_api.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_api/Debug/cache_api.pch"
- AssemblerListingLocation=".\../../../test/cache_api/Debug/"
- ObjectFile=".\../../../test/cache_api/Debug/"
- ProgramDataBaseFileName=".\../../../test/cache_api/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cache_api/Debug/cache_api.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cache_api/Debug/cache_api.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_api/Debug/cache_api.pch"
- AssemblerListingLocation=".\../../../test/cache_api/Debug/"
- ObjectFile=".\../../../test/cache_api/Debug/"
- ProgramDataBaseFileName=".\../../../test/cache_api/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cache_api/Debug/cache_api.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cache_api/Release/cache_api.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_api/Release/cache_api.pch"
- AssemblerListingLocation=".\../../../test/cache_api/Release/"
- ObjectFile=".\../../../test/cache_api/Release/"
- ProgramDataBaseFileName=".\../../../test/cache_api/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cache_api/Release/cache_api.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cache_api/Release/cache_api.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_api/Release/cache_api.pch"
- AssemblerListingLocation=".\../../../test/cache_api/Release/"
- ObjectFile=".\../../../test/cache_api/Release/"
- ProgramDataBaseFileName=".\../../../test/cache_api/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cache_api/Release/cache_api.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\cache_api.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\cache_common.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cache_apidll/cache_apidll.vcproj b/windows/test/cache_apidll/cache_apidll.vcproj
deleted file mode 100644
index 0e0e50c..0000000
--- a/windows/test/cache_apidll/cache_apidll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cache_apidll"
- ProjectGUID="{EB5DE4FB-CC65-47BF-9D94-AC96B01B6A33}"
- RootNamespace="cache_apidll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cache_apidll/Release/cache_apidll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_apidll/Release/cache_apidll.pch"
- AssemblerListingLocation=".\../../../test/cache_apidll/Release/"
- ObjectFile=".\../../../test/cache_apidll/Release/"
- ProgramDataBaseFileName=".\../../../test/cache_apidll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cache_apidll/Release/cache_apidll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cache_apidll/Release/cache_apidll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_apidll/Release/cache_apidll.pch"
- AssemblerListingLocation=".\../../../test/cache_apidll/Release/"
- ObjectFile=".\../../../test/cache_apidll/Release/"
- ProgramDataBaseFileName=".\../../../test/cache_apidll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cache_apidll/Release/cache_apidll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cache_apidll/Debug/cache_apidll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_apidll/Debug/cache_apidll.pch"
- AssemblerListingLocation=".\../../../test/cache_apidll/Debug/"
- ObjectFile=".\../../../test/cache_apidll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cache_apidll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cache_apidll/Debug/cache_apidll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cache_apidll/Debug/cache_apidll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cache_apidll/Debug/cache_apidll.pch"
- AssemblerListingLocation=".\../../../test/cache_apidll/Debug/"
- ObjectFile=".\../../../test/cache_apidll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cache_apidll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cache_apidll/Debug/cache_apidll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\cache_api.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\cache_common.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cachedll/cachedll.vcproj b/windows/test/cachedll/cachedll.vcproj
deleted file mode 100644
index 8593090..0000000
--- a/windows/test/cachedll/cachedll.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cachedll"
- ProjectGUID="{D0CEF98C-07B5-4A8A-9153-43FDF6B5F169}"
- RootNamespace="cachedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cachedll/Debug/cachedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cachedll/Debug/cachedll.pch"
- AssemblerListingLocation=".\../../../test/cachedll/Debug/"
- ObjectFile=".\../../../test/cachedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cachedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cachedll/Debug/cachedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cachedll/Debug/cachedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cachedll/Debug/cachedll.pch"
- AssemblerListingLocation=".\../../../test/cachedll/Debug/"
- ObjectFile=".\../../../test/cachedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cachedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cachedll/Debug/cachedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cachedll/Release/cachedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cachedll/Release/cachedll.pch"
- AssemblerListingLocation=".\../../../test/cachedll/Release/"
- ObjectFile=".\../../../test/cachedll/Release/"
- ProgramDataBaseFileName=".\../../../test/cachedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cachedll/Release/cachedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cachedll/Release/cachedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cachedll/Release/cachedll.pch"
- AssemblerListingLocation=".\../../../test/cachedll/Release/"
- ObjectFile=".\../../../test/cachedll/Release/"
- ProgramDataBaseFileName=".\../../../test/cachedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cachedll/Release/cachedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\cache.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\cache_common.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/checktests.bat b/windows/test/checktests.bat
deleted file mode 100644
index e76ba01..0000000
--- a/windows/test/checktests.bat
+++ /dev/null
@@ -1,147 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 library
-rem
-rem Created: Scott Wegner, 9/4/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_LIBTEST_", as we use these for our
-rem tests. Also clear "HDF5_LIBTEST_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_libtest_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_libtest_') do set %%a=
-set hdf5_libtest_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the libtest being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_libtest_tests=%hdf5_libtest_tests% %1
- set hdf5_libtest_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_libtest_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_libtest_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- call :add_test testerror.bat .
- call :add_test testhdf5%2 .\testhdf5%2\%1
- call :add_test lheap%2 .\lheap%2\%1
- call :add_test ohdr%2 .\ohdr%2\%1
- call :add_test stab%2 .\stab%2\%1
- call :add_test gheap%2 .\gheap%2\%1
- call :add_test cache%2 .\cache%2\%1
- call :add_test cache_api%2 .\cache_api%2\%1
- call :add_test pool%2 .\pool%2\%1
- call :add_test hyperslab%2 .\hyperslab%2\%1
- call :add_test istore%2 .\istore%2\%1
- call :add_test bittests%2 .\bittests%2\%1
- call :add_test dt_arith%2 .\dt_arith%2\%1
- call :add_test dtypes%2 .\dtypes%2\%1
- call :add_test dsets%2 .\dsets%2\%1
- call :add_test cmpd_dset%2 .\cmpd_dset%2\%1
- call :add_test extend%2 .\extend%2\%1
- call :add_test external%2 .\external%2\%1
- call :add_test objcopy%2 .\objcopy%2\%1
- call :add_test links%2 .\links%2\%1
- call :add_test unlink%2 .\unlink%2\%1
- call :add_test big%2 .\big%2\%1
- call :add_test mtime%2 .\mtime%2\%1
- call :add_test fillval%2 .\fillval%2\%1
- call :add_test mount%2 .\mount%2\%1
- call :add_test flush1%2 .\flush1%2\%1
- call :add_test flush2%2 .\flush2%2\%1
- call :add_test app_ref%2 .\app_ref%2\%1
- call :add_test enum%2 .\enum%2\%1
- call :add_test set_extent%2 .\set_extent%2\%1
- rem Test commented because threadsafe is not built by default on Windows.
- rem --SJW 9/5/07
- rem call :add_test ttsafe%2 .\ttsafe%2\%1
- rem Test commented because stream driver is not supported on Windows.
- rem --SJW 9/5/07
- rem call :add_test stream_test%2 .\stream_test%2\%1
- call :add_test getname%2 .\getname%2\%1
- call :add_test vfd%2 .\vfd%2\%1
- call :add_test ntypes%2 .\ntypes%2\%1
- call :add_test dangle%2 .\dangle%2\%1
- call :add_test reserved%2 .\reserved%2\%1
- call :add_test cross_read%2 .\cross_read%2\%1
- call :add_test freespace%2 .\freespace%2\%1
- call :add_test mf%2 .\mf%2\%1
- call :add_test btree2%2 .\btree2%2\%1
- call :add_test fheap%2 .\fheap%2\%1
- call :add_test earray%2 .\earray%2\%1
- call :add_test farray%2 .\farray%2\%1
-
- call :add_test tcheckversion%2 .\tcheckversion%2\%1
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All library tests passed.
- ) else (
- echo.** FAILED Library tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
diff --git a/windows/test/chunk/chunk.vcproj b/windows/test/chunk/chunk.vcproj
deleted file mode 100644
index 66776b6..0000000
--- a/windows/test/chunk/chunk.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunk"
- ProjectGUID="{57A5C559-F1BD-49F1-9B5E-13591D22FD75}"
- RootNamespace="chunk"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/chunk/Debug/chunk.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunk/Debug/chunk.pch"
- AssemblerListingLocation=".\../../../test/chunk/Debug/"
- ObjectFile=".\../../../test/chunk/Debug/"
- ProgramDataBaseFileName=".\../../../test/chunk/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/chunk/Debug/chunk.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/chunk/Debug/chunk.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunk/Debug/chunk.pch"
- AssemblerListingLocation=".\../../../test/chunk/Debug/"
- ObjectFile=".\../../../test/chunk/Debug/"
- ProgramDataBaseFileName=".\../../../test/chunk/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/chunk/Debug/chunk.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/chunk/Release/chunk.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunk/Release/chunk.pch"
- AssemblerListingLocation=".\../../../test/chunk/Release/"
- ObjectFile=".\../../../test/chunk/Release/"
- ProgramDataBaseFileName=".\../../../test/chunk/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/chunk/Release/chunk.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/chunk/Release/chunk.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunk/Release/chunk.pch"
- AssemblerListingLocation=".\../../../test/chunk/Release/"
- ObjectFile=".\../../../test/chunk/Release/"
- ProgramDataBaseFileName=".\../../../test/chunk/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/chunk/Release/chunk.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\chunk.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/chunk_info/chunk_info.vcproj b/windows/test/chunk_info/chunk_info.vcproj
deleted file mode 100644
index ffb1268..0000000
--- a/windows/test/chunk_info/chunk_info.vcproj
+++ /dev/null
@@ -1,343 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunk_info"
- ProjectGUID="{E3B24219-DEB9-4ECB-809C-AD98EE51974E}"
- RootNamespace="chunk_info"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="$(PlatformName)\$(ConfigurationName)"
- IntermediateDirectory="$(PlatformName)\$(ConfigurationName)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="$(PlatformName)\$(ConfigurationName)"
- IntermediateDirectory="$(PlatformName)\$(ConfigurationName)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\chunk_info.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/chunk_infodll/chunk_infodll.vcproj b/windows/test/chunk_infodll/chunk_infodll.vcproj
deleted file mode 100644
index 6637c77..0000000
--- a/windows/test/chunk_infodll/chunk_infodll.vcproj
+++ /dev/null
@@ -1,343 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunk_infodll"
- ProjectGUID="{D6FB6925-671E-47C1-97AD-DFC6F2E3F72D}"
- RootNamespace="chunk_infodll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="$(PlatformName)\$(ConfigurationName)"
- IntermediateDirectory="$(PlatformName)\$(ConfigurationName)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="$(PlatformName)\$(ConfigurationName)"
- IntermediateDirectory="$(PlatformName)\$(ConfigurationName)"
- ConfigurationType="1"
- InheritedPropertySheets="..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="$(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="0"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\chunk_info.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/chunkdll/chunkdll.vcproj b/windows/test/chunkdll/chunkdll.vcproj
deleted file mode 100644
index 2332665..0000000
--- a/windows/test/chunkdll/chunkdll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="chunkdll"
- ProjectGUID="{4941199C-EB11-460D-8EF7-9F68293AE202}"
- RootNamespace="chunkdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/chunkdll/Debug/chunkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunkdll/Debug/chunkdll.pch"
- AssemblerListingLocation=".\../../../test/chunkdll/Debug/"
- ObjectFile=".\../../../test/chunkdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/chunkdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/chunkdll/Debug/chunkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/chunkdll/Debug/chunkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunkdll/Debug/chunkdll.pch"
- AssemblerListingLocation=".\../../../test/chunkdll/Debug/"
- ObjectFile=".\../../../test/chunkdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/chunkdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/chunkdll/Debug/chunkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/chunkdll/Release/chunkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunkdll/Release/chunkdll.pch"
- AssemblerListingLocation=".\../../../test/chunkdll/Release/"
- ObjectFile=".\../../../test/chunkdll/Release/"
- ProgramDataBaseFileName=".\../../../test/chunkdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/chunkdll/Release/chunkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/chunkdll/Release/chunkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/chunkdll/Release/chunkdll.pch"
- AssemblerListingLocation=".\../../../test/chunkdll/Release/"
- ObjectFile=".\../../../test/chunkdll/Release/"
- ProgramDataBaseFileName=".\../../../test/chunkdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/chunkdll/Release/chunkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\chunk.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cmpd_dset/cmpd_dset.vcproj b/windows/test/cmpd_dset/cmpd_dset.vcproj
deleted file mode 100644
index 9edb3c6..0000000
--- a/windows/test/cmpd_dset/cmpd_dset.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cmpd_dset"
- ProjectGUID="{BA86B1FE-8CA7-4A96-9FD0-11941F885589}"
- RootNamespace="cmpd_dset"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cmpd_dset/Release/cmpd_dset.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dset/Release/cmpd_dset.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dset/Release/"
- ObjectFile=".\../../../test/cmpd_dset/Release/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dset/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cmpd_dset/Release/cmpd_dset.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cmpd_dset/Release/cmpd_dset.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dset/Release/cmpd_dset.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dset/Release/"
- ObjectFile=".\../../../test/cmpd_dset/Release/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dset/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cmpd_dset/Release/cmpd_dset.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cmpd_dset/Debug/cmpd_dset.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dset/Debug/cmpd_dset.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dset/Debug/"
- ObjectFile=".\../../../test/cmpd_dset/Debug/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dset/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cmpd_dset/Debug/cmpd_dset.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cmpd_dset/Debug/cmpd_dset.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dset/Debug/cmpd_dset.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dset/Debug/"
- ObjectFile=".\../../../test/cmpd_dset/Debug/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dset/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cmpd_dset/Debug/cmpd_dset.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\cmpd_dset.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cmpd_dsetdll/cmpd_dsetdll.vcproj b/windows/test/cmpd_dsetdll/cmpd_dsetdll.vcproj
deleted file mode 100644
index df44712..0000000
--- a/windows/test/cmpd_dsetdll/cmpd_dsetdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cmpd_dsetdll"
- ProjectGUID="{8F4417C5-2F4A-4070-88F9-0AFDB0F8EA14}"
- RootNamespace="cmpd_dsetdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cmpd_dsetdll/Release/cmpd_dsetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dsetdll/Release/cmpd_dsetdll.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dsetdll/Release/"
- ObjectFile=".\../../../test/cmpd_dsetdll/Release/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dsetdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cmpd_dsetdll/Release/cmpd_dsetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cmpd_dsetdll/Release/cmpd_dsetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dsetdll/Release/cmpd_dsetdll.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dsetdll/Release/"
- ObjectFile=".\../../../test/cmpd_dsetdll/Release/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dsetdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cmpd_dsetdll/Release/cmpd_dsetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cmpd_dsetdll/Debug/cmpd_dsetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dsetdll/Debug/cmpd_dsetdll.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dsetdll/Debug/"
- ObjectFile=".\../../../test/cmpd_dsetdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dsetdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cmpd_dsetdll/Debug/cmpd_dsetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cmpd_dsetdll/Debug/cmpd_dsetdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cmpd_dsetdll/Debug/cmpd_dsetdll.pch"
- AssemblerListingLocation=".\../../../test/cmpd_dsetdll/Debug/"
- ObjectFile=".\../../../test/cmpd_dsetdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cmpd_dsetdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cmpd_dsetdll/Debug/cmpd_dsetdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\cmpd_dset.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cross_read/cross_read.vcproj b/windows/test/cross_read/cross_read.vcproj
deleted file mode 100644
index 69ba14d..0000000
--- a/windows/test/cross_read/cross_read.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cross_read"
- ProjectGUID="{68A52165-E0EF-4019-B658-1AC734649955}"
- RootNamespace="cross_read"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cross_read/Release/cross_read.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_read/Release/cross_read.pch"
- AssemblerListingLocation=".\../../../test/cross_read/Release/"
- ObjectFile=".\../../../test/cross_read/Release/"
- ProgramDataBaseFileName=".\../../../test/cross_read/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cross_read/Release/cross_read.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cross_read/Release/cross_read.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_read/Release/cross_read.pch"
- AssemblerListingLocation=".\../../../test/cross_read/Release/"
- ObjectFile=".\../../../test/cross_read/Release/"
- ProgramDataBaseFileName=".\../../../test/cross_read/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/cross_read/Release/cross_read.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cross_read/Debug/cross_read.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_read/Debug/cross_read.pch"
- AssemblerListingLocation=".\../../../test/cross_read/Debug/"
- ObjectFile=".\../../../test/cross_read/Debug/"
- ProgramDataBaseFileName=".\../../../test/cross_read/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cross_read/Debug/cross_read.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cross_read/Debug/cross_read.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_read/Debug/cross_read.pch"
- AssemblerListingLocation=".\../../../test/cross_read/Debug/"
- ObjectFile=".\../../../test/cross_read/Debug/"
- ProgramDataBaseFileName=".\../../../test/cross_read/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cross_read/Debug/cross_read.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\cross_read.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/cross_readdll/cross_readdll.vcproj b/windows/test/cross_readdll/cross_readdll.vcproj
deleted file mode 100644
index a8f76bf..0000000
--- a/windows/test/cross_readdll/cross_readdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="cross_readdll"
- ProjectGUID="{E1F98D07-4724-46CB-B327-5677C1C9266D}"
- RootNamespace="cross_readdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cross_readdll/Debug/cross_readdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_readdll/Debug/cross_readdll.pch"
- AssemblerListingLocation=".\../../../test/cross_readdll/Debug/"
- ObjectFile=".\../../../test/cross_readdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cross_readdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cross_readdll/Debug/cross_readdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cross_readdll/Debug/cross_readdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_readdll/Debug/cross_readdll.pch"
- AssemblerListingLocation=".\../../../test/cross_readdll/Debug/"
- ObjectFile=".\../../../test/cross_readdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/cross_readdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/cross_readdll/Debug/cross_readdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/cross_readdll/Release/cross_readdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_readdll/Release/cross_readdll.pch"
- AssemblerListingLocation=".\../../../test/cross_readdll/Release/"
- ObjectFile=".\../../../test/cross_readdll/Release/"
- ProgramDataBaseFileName=".\../../../test/cross_readdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cross_readdll/Release/cross_readdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/cross_readdll/Release/cross_readdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/cross_readdll/Release/cross_readdll.pch"
- AssemblerListingLocation=".\../../../test/cross_readdll/Release/"
- ObjectFile=".\../../../test/cross_readdll/Release/"
- ProgramDataBaseFileName=".\../../../test/cross_readdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/cross_readdll/Release/cross_readdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\cross_read.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dangle/dangle.vcproj b/windows/test/dangle/dangle.vcproj
deleted file mode 100644
index 12f6ed3..0000000
--- a/windows/test/dangle/dangle.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dangle"
- ProjectGUID="{8C6D9C00-44A9-432F-B695-F56439C1B288}"
- RootNamespace="dangle"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dangle/Release/dangle.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangle/Release/dangle.pch"
- AssemblerListingLocation=".\../../../test/dangle/Release/"
- ObjectFile=".\../../../test/dangle/Release/"
- ProgramDataBaseFileName=".\../../../test/dangle/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dangle/Release/dangle.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dangle/Release/dangle.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangle/Release/dangle.pch"
- AssemblerListingLocation=".\../../../test/dangle/Release/"
- ObjectFile=".\../../../test/dangle/Release/"
- ProgramDataBaseFileName=".\../../../test/dangle/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dangle/Release/dangle.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dangle/Debug/dangle.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangle/Debug/dangle.pch"
- AssemblerListingLocation=".\../../../test/dangle/Debug/"
- ObjectFile=".\../../../test/dangle/Debug/"
- ProgramDataBaseFileName=".\../../../test/dangle/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dangle/Debug/dangle.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dangle/Debug/dangle.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangle/Debug/dangle.pch"
- AssemblerListingLocation=".\../../../test/dangle/Debug/"
- ObjectFile=".\../../../test/dangle/Debug/"
- ProgramDataBaseFileName=".\../../../test/dangle/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dangle/Debug/dangle.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dangle.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dangledll/dangledll.vcproj b/windows/test/dangledll/dangledll.vcproj
deleted file mode 100644
index 5b27d30..0000000
--- a/windows/test/dangledll/dangledll.vcproj
+++ /dev/null
@@ -1,390 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dangledll"
- ProjectGUID="{D15E5D9B-A1A6-4935-889C-D880FD0068CE}"
- RootNamespace="dangledll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dangledll/Debug/dangledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangledll/Debug/dangledll.pch"
- AssemblerListingLocation=".\../../../test/dangledll/Debug/"
- ObjectFile=".\../../../test/dangledll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dangledll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dangledll/Debug/dangledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dangledll/Debug/dangledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangledll/Debug/dangledll.pch"
- AssemblerListingLocation=".\../../../test/dangledll/Debug/"
- ObjectFile=".\../../../test/dangledll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dangledll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dangledll/Debug/dangledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dangledll/Release/dangledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangledll/Release/dangledll.pch"
- AssemblerListingLocation=".\../../../test/dangledll/Release/"
- ObjectFile=".\../../../test/dangledll/Release/"
- ProgramDataBaseFileName=".\../../../test/dangledll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dangledll/Release/dangledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dangledll/Release/dangledll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dangledll/Release/dangledll.pch"
- AssemblerListingLocation=".\../../../test/dangledll/Release/"
- ObjectFile=".\../../../test/dangledll/Release/"
- ProgramDataBaseFileName=".\../../../test/dangledll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dangledll/Release/dangledll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dangle.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dsets/dsets.vcproj b/windows/test/dsets/dsets.vcproj
deleted file mode 100644
index 5e0777a..0000000
--- a/windows/test/dsets/dsets.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dsets"
- ProjectGUID="{A90ADD88-DA1B-4642-A97B-37DF89433858}"
- RootNamespace="dsets"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dsets/Release/dsets.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsets/Release/dsets.pch"
- AssemblerListingLocation=".\../../../test/dsets/Release/"
- ObjectFile=".\../../../test/dsets/Release/"
- ProgramDataBaseFileName=".\../../../test/dsets/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dsets/Release/dsets.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dsets/Release/dsets.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsets/Release/dsets.pch"
- AssemblerListingLocation=".\../../../test/dsets/Release/"
- ObjectFile=".\../../../test/dsets/Release/"
- ProgramDataBaseFileName=".\../../../test/dsets/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dsets/Release/dsets.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dsets/Debug/dsets.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsets/Debug/dsets.pch"
- AssemblerListingLocation=".\../../../test/dsets/Debug/"
- ObjectFile=".\../../../test/dsets/Debug/"
- ProgramDataBaseFileName=".\../../../test/dsets/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dsets/Debug/dsets.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dsets/Debug/dsets.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsets/Debug/dsets.pch"
- AssemblerListingLocation=".\../../../test/dsets/Debug/"
- ObjectFile=".\../../../test/dsets/Debug/"
- ProgramDataBaseFileName=".\../../../test/dsets/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dsets/Debug/dsets.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dsets.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dsetsdll/dsetsdll.vcproj b/windows/test/dsetsdll/dsetsdll.vcproj
deleted file mode 100644
index afad0af..0000000
--- a/windows/test/dsetsdll/dsetsdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dsetsdll"
- ProjectGUID="{1B298EEC-0B47-4145-88AA-C6558E0BD993}"
- RootNamespace="dsetsdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dsetsdll/Debug/dsetsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsetsdll/Debug/dsetsdll.pch"
- AssemblerListingLocation=".\../../../test/dsetsdll/Debug/"
- ObjectFile=".\../../../test/dsetsdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dsetsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dsetsdll/Debug/dsetsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dsetsdll/Debug/dsetsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsetsdll/Debug/dsetsdll.pch"
- AssemblerListingLocation=".\../../../test/dsetsdll/Debug/"
- ObjectFile=".\../../../test/dsetsdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dsetsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dsetsdll/Debug/dsetsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dsetsdll/Release/dsetsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsetsdll/Release/dsetsdll.pch"
- AssemblerListingLocation=".\../../../test/dsetsdll/Release/"
- ObjectFile=".\../../../test/dsetsdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dsetsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dsetsdll/Release/dsetsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dsetsdll/Release/dsetsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dsetsdll/Release/dsetsdll.pch"
- AssemblerListingLocation=".\../../../test/dsetsdll/Release/"
- ObjectFile=".\../../../test/dsetsdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dsetsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dsetsdll/Release/dsetsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dsets.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dt_arith/dt_arith.vcproj b/windows/test/dt_arith/dt_arith.vcproj
deleted file mode 100644
index eee94f8..0000000
--- a/windows/test/dt_arith/dt_arith.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dt_arith"
- ProjectGUID="{AE5D4766-9668-4EB5-B801-5DF8F53363FC}"
- RootNamespace="dt_arith"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dt_arith/Debug/dt_arith.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arith/Debug/dt_arith.pch"
- AssemblerListingLocation=".\../../../test/dt_arith/Debug/"
- ObjectFile=".\../../../test/dt_arith/Debug/"
- ProgramDataBaseFileName=".\../../../test/dt_arith/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dt_arith/Debug/dt_arith.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dt_arith/Debug/dt_arith.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arith/Debug/dt_arith.pch"
- AssemblerListingLocation=".\../../../test/dt_arith/Debug/"
- ObjectFile=".\../../../test/dt_arith/Debug/"
- ProgramDataBaseFileName=".\../../../test/dt_arith/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dt_arith/Debug/dt_arith.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dt_arith/Release/dt_arith.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arith/Release/dt_arith.pch"
- AssemblerListingLocation=".\../../../test/dt_arith/Release/"
- ObjectFile=".\../../../test/dt_arith/Release/"
- ProgramDataBaseFileName=".\../../../test/dt_arith/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dt_arith/Release/dt_arith.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dt_arith/Release/dt_arith.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arith/Release/dt_arith.pch"
- AssemblerListingLocation=".\../../../test/dt_arith/Release/"
- ObjectFile=".\../../../test/dt_arith/Release/"
- ProgramDataBaseFileName=".\../../../test/dt_arith/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dt_arith/Release/dt_arith.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\dt_arith.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dt_arithdll/dt_arithdll.vcproj b/windows/test/dt_arithdll/dt_arithdll.vcproj
deleted file mode 100644
index ab8e6c5..0000000
--- a/windows/test/dt_arithdll/dt_arithdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dt_arithdll"
- ProjectGUID="{3E41969B-D69B-4235-B192-A94F7853D869}"
- RootNamespace="dt_arithdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dt_arithdll/Debug/dt_arithdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arithdll/Debug/dt_arithdll.pch"
- AssemblerListingLocation=".\../../../test/dt_arithdll/Debug/"
- ObjectFile=".\../../../test/dt_arithdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dt_arithdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dt_arithdll/Debug/dt_arithdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dt_arithdll/Debug/dt_arithdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arithdll/Debug/dt_arithdll.pch"
- AssemblerListingLocation=".\../../../test/dt_arithdll/Debug/"
- ObjectFile=".\../../../test/dt_arithdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dt_arithdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dt_arithdll/Debug/dt_arithdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dt_arithdll/Release/dt_arithdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arithdll/Release/dt_arithdll.pch"
- AssemblerListingLocation=".\../../../test/dt_arithdll/Release/"
- ObjectFile=".\../../../test/dt_arithdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dt_arithdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dt_arithdll/Release/dt_arithdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dt_arithdll/Release/dt_arithdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dt_arithdll/Release/dt_arithdll.pch"
- AssemblerListingLocation=".\../../../test/dt_arithdll/Release/"
- ObjectFile=".\../../../test/dt_arithdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dt_arithdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dt_arithdll/Release/dt_arithdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\dt_arith.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dtransform/dtransform.vcproj b/windows/test/dtransform/dtransform.vcproj
deleted file mode 100644
index 70c3e54..0000000
--- a/windows/test/dtransform/dtransform.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dtransform"
- ProjectGUID="{F5109F4B-5869-40A7-BC6A-8130CA4BB987}"
- RootNamespace="dtransform"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtransform/Debug/dtransform.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransform/Debug/dtransform.pch"
- AssemblerListingLocation=".\../../../test/dtransform/Debug/"
- ObjectFile=".\../../../test/dtransform/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtransform/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtransform/Debug/dtransform.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtransform/Debug/dtransform.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransform/Debug/dtransform.pch"
- AssemblerListingLocation=".\../../../test/dtransform/Debug/"
- ObjectFile=".\../../../test/dtransform/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtransform/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtransform/Debug/dtransform.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtransform/Release/dtransform.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransform/Release/dtransform.pch"
- AssemblerListingLocation=".\../../../test/dtransform/Release/"
- ObjectFile=".\../../../test/dtransform/Release/"
- ProgramDataBaseFileName=".\../../../test/dtransform/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dtransform/Release/dtransform.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtransform/Release/dtransform.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransform/Release/dtransform.pch"
- AssemblerListingLocation=".\../../../test/dtransform/Release/"
- ObjectFile=".\../../../test/dtransform/Release/"
- ProgramDataBaseFileName=".\../../../test/dtransform/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dtransform/Release/dtransform.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dtransform.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dtransformdll/dtransformdll.vcproj b/windows/test/dtransformdll/dtransformdll.vcproj
deleted file mode 100644
index 93591a6..0000000
--- a/windows/test/dtransformdll/dtransformdll.vcproj
+++ /dev/null
@@ -1,390 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dtransformdll"
- ProjectGUID="{0E0F449A-7998-4113-BDD2-A74E0B6D3466}"
- RootNamespace="dtransformdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtransformdll/Debug/dtransformdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransformdll/Debug/dtransformdll.pch"
- AssemblerListingLocation=".\../../../test/dtransformdll/Debug/"
- ObjectFile=".\../../../test/dtransformdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtransformdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtransformdll/Debug/dtransformdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtransformdll/Debug/dtransformdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransformdll/Debug/dtransformdll.pch"
- AssemblerListingLocation=".\../../../test/dtransformdll/Debug/"
- ObjectFile=".\../../../test/dtransformdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtransformdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtransformdll/Debug/dtransformdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtransformdll/Release/dtransformdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransformdll/Release/dtransformdll.pch"
- AssemblerListingLocation=".\../../../test/dtransformdll/Release/"
- ObjectFile=".\../../../test/dtransformdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dtransformdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dtransformdll/Release/dtransformdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtransformdll/Release/dtransformdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtransformdll/Release/dtransformdll.pch"
- AssemblerListingLocation=".\../../../test/dtransformdll/Release/"
- ObjectFile=".\../../../test/dtransformdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dtransformdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dtransformdll/Release/dtransformdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dtransform.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dtypes/dtypes.vcproj b/windows/test/dtypes/dtypes.vcproj
deleted file mode 100644
index 522603d..0000000
--- a/windows/test/dtypes/dtypes.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dtypes"
- ProjectGUID="{4AC79406-D6E0-43B3-82B0-7A032FABB52A}"
- RootNamespace="dtypes"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtypes/Debug/dtypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypes/Debug/dtypes.pch"
- AssemblerListingLocation=".\../../../test/dtypes/Debug/"
- ObjectFile=".\../../../test/dtypes/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtypes/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtypes/Debug/dtypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtypes/Debug/dtypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypes/Debug/dtypes.pch"
- AssemblerListingLocation=".\../../../test/dtypes/Debug/"
- ObjectFile=".\../../../test/dtypes/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtypes/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtypes/Debug/dtypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtypes/Release/dtypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypes/Release/dtypes.pch"
- AssemblerListingLocation=".\../../../test/dtypes/Release/"
- ObjectFile=".\../../../test/dtypes/Release/"
- ProgramDataBaseFileName=".\../../../test/dtypes/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dtypes/Release/dtypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtypes/Release/dtypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypes/Release/dtypes.pch"
- AssemblerListingLocation=".\../../../test/dtypes/Release/"
- ObjectFile=".\../../../test/dtypes/Release/"
- ProgramDataBaseFileName=".\../../../test/dtypes/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/dtypes/Release/dtypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dtypes.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/dtypesdll/dtypesdll.vcproj b/windows/test/dtypesdll/dtypesdll.vcproj
deleted file mode 100644
index 5e76dc0..0000000
--- a/windows/test/dtypesdll/dtypesdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="dtypesdll"
- ProjectGUID="{AE50F8BA-5FAC-47CC-A5A0-7F1E55C413E3}"
- RootNamespace="dtypesdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtypesdll/Debug/dtypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypesdll/Debug/dtypesdll.pch"
- AssemblerListingLocation=".\../../../test/dtypesdll/Debug/"
- ObjectFile=".\../../../test/dtypesdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtypesdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtypesdll/Debug/dtypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtypesdll/Debug/dtypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypesdll/Debug/dtypesdll.pch"
- AssemblerListingLocation=".\../../../test/dtypesdll/Debug/"
- ObjectFile=".\../../../test/dtypesdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/dtypesdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/dtypesdll/Debug/dtypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/dtypesdll/Release/dtypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypesdll/Release/dtypesdll.pch"
- AssemblerListingLocation=".\../../../test/dtypesdll/Release/"
- ObjectFile=".\../../../test/dtypesdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dtypesdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dtypesdll/Release/dtypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/dtypesdll/Release/dtypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/dtypesdll/Release/dtypesdll.pch"
- AssemblerListingLocation=".\../../../test/dtypesdll/Release/"
- ObjectFile=".\../../../test/dtypesdll/Release/"
- ProgramDataBaseFileName=".\../../../test/dtypesdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/dtypesdll/Release/dtypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\dtypes.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/earray/earray.vcproj b/windows/test/earray/earray.vcproj
deleted file mode 100644
index fa78f39..0000000
--- a/windows/test/earray/earray.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="earray"
- ProjectGUID="{D6FBD436-D8B4-4FEB-A8F8-EB097CAF55CF}"
- RootNamespace="earray"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\earray.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/earraydll/earraydll.vcproj b/windows/test/earraydll/earraydll.vcproj
deleted file mode 100644
index bbee158..0000000
--- a/windows/test/earraydll/earraydll.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="earraydll"
- ProjectGUID="{3C224452-C71A-4B3E-937A-891144D1941D}"
- RootNamespace="earraydll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\earray.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/efc/efc.vcproj b/windows/test/efc/efc.vcproj
deleted file mode 100644
index 8668e64..0000000
--- a/windows/test/efc/efc.vcproj
+++ /dev/null
@@ -1,435 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="efc"
- ProjectGUID="{FD8B058E-F53A-4197-B75E-849904E5AA79}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/efc/Release/efc.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efc/Release/efc.pch"
- AssemblerListingLocation=".\../../../test/efc/Release/"
- ObjectFile=".\../../../test/efc/Release/"
- ProgramDataBaseFileName=".\../../../test/efc/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/efc/Release/efc.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/efc/Release/efc.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efc/Release/efc.pch"
- AssemblerListingLocation=".\../../../test/efc/Release/"
- ObjectFile=".\../../../test/efc/Release/"
- ProgramDataBaseFileName=".\../../../test/efc/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/efc/Release/efc.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/efc/Debug/efc.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efc/Debug/efc.pch"
- AssemblerListingLocation=".\../../../test/efc/Debug/"
- ObjectFile=".\../../../test/efc/Debug/"
- ProgramDataBaseFileName=".\../../../test/efc/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/efc/Debug/efc.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/efc/Debug/efc.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efc/Debug/efc.pch"
- AssemblerListingLocation=".\../../../test/efc/Debug/"
- ObjectFile=".\../../../test/efc/Debug/"
- ProgramDataBaseFileName=".\../../../test/efc/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/efc/Debug/efc.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\efc.c"
- >
- <FileConfiguration
- Name="Release|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Release|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/efcdll/efcdll.vcproj b/windows/test/efcdll/efcdll.vcproj
deleted file mode 100644
index 384977f..0000000
--- a/windows/test/efcdll/efcdll.vcproj
+++ /dev/null
@@ -1,431 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="efcdll"
- ProjectGUID="{D8D53F43-41EE-486A-8DBD-956D8CD072E8}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/efcdll/Release/efcdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efcdll/Release/efcdll.pch"
- AssemblerListingLocation=".\../../../test/efcdll/Release/"
- ObjectFile=".\../../../test/efcdll/Release/"
- ProgramDataBaseFileName=".\../../../test/efcdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/efcdll/Release/efcdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/efcdll/Release/efcdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efcdll/Release/efcdll.pch"
- AssemblerListingLocation=".\../../../test/efcdll/Release/"
- ObjectFile=".\../../../test/efcdll/Release/"
- ProgramDataBaseFileName=".\../../../test/efcdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/efcdll/Release/efcdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/efcdll/Debug/efcdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efcdll/Debug/efcdll.pch"
- AssemblerListingLocation=".\../../../test/efcdll/Debug/"
- ObjectFile=".\../../../test/efcdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/efcdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/efcdll/Debug/efcdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/efcdll/Debug/efcdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/efcdll/Debug/efcdll.pch"
- AssemblerListingLocation=".\../../../test/efcdll/Debug/"
- ObjectFile=".\../../../test/efcdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/efcdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/efcdll/Debug/efcdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\efc.c"
- >
- <FileConfiguration
- Name="Release|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Release|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|Win32"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- <FileConfiguration
- Name="Debug|x64"
- >
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories=""
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;"
- />
- </FileConfiguration>
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/enum/enum.vcproj b/windows/test/enum/enum.vcproj
deleted file mode 100644
index cfb4a95..0000000
--- a/windows/test/enum/enum.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="enum"
- ProjectGUID="{FD8B058E-F53A-4197-B75E-849904E5AA79}"
- RootNamespace="enum"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/enum/Release/enum.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enum/Release/enum.pch"
- AssemblerListingLocation=".\../../../test/enum/Release/"
- ObjectFile=".\../../../test/enum/Release/"
- ProgramDataBaseFileName=".\../../../test/enum/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/enum/Release/enum.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/enum/Release/enum.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enum/Release/enum.pch"
- AssemblerListingLocation=".\../../../test/enum/Release/"
- ObjectFile=".\../../../test/enum/Release/"
- ProgramDataBaseFileName=".\../../../test/enum/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/enum/Release/enum.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/enum/Debug/enum.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enum/Debug/enum.pch"
- AssemblerListingLocation=".\../../../test/enum/Debug/"
- ObjectFile=".\../../../test/enum/Debug/"
- ProgramDataBaseFileName=".\../../../test/enum/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/enum/Debug/enum.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/enum/Debug/enum.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enum/Debug/enum.pch"
- AssemblerListingLocation=".\../../../test/enum/Debug/"
- ObjectFile=".\../../../test/enum/Debug/"
- ProgramDataBaseFileName=".\../../../test/enum/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/enum/Debug/enum.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\enum.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/enumdll/enumdll.vcproj b/windows/test/enumdll/enumdll.vcproj
deleted file mode 100644
index 7cfd34e..0000000
--- a/windows/test/enumdll/enumdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="enumdll"
- ProjectGUID="{D8D53F43-41EE-486A-8DBD-956D8CD072E8}"
- RootNamespace="enumdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/enumdll/Release/enumdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enumdll/Release/enumdll.pch"
- AssemblerListingLocation=".\../../../test/enumdll/Release/"
- ObjectFile=".\../../../test/enumdll/Release/"
- ProgramDataBaseFileName=".\../../../test/enumdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/enumdll/Release/enumdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/enumdll/Release/enumdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enumdll/Release/enumdll.pch"
- AssemblerListingLocation=".\../../../test/enumdll/Release/"
- ObjectFile=".\../../../test/enumdll/Release/"
- ProgramDataBaseFileName=".\../../../test/enumdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/enumdll/Release/enumdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/enumdll/Debug/enumdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enumdll/Debug/enumdll.pch"
- AssemblerListingLocation=".\../../../test/enumdll/Debug/"
- ObjectFile=".\../../../test/enumdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/enumdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/enumdll/Debug/enumdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/enumdll/Debug/enumdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/enumdll/Debug/enumdll.pch"
- AssemblerListingLocation=".\../../../test/enumdll/Debug/"
- ObjectFile=".\../../../test/enumdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/enumdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/enumdll/Debug/enumdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\enum.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/err_compat/err_compat.vcproj b/windows/test/err_compat/err_compat.vcproj
deleted file mode 100644
index ab17d5c..0000000
--- a/windows/test/err_compat/err_compat.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="err_compat"
- ProjectGUID="{265C41B2-30D7-4FF8-A08C-B997363DA763}"
- RootNamespace="err_compat"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/err_compat/Debug/err_compat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compat/Debug/err_compat.pch"
- AssemblerListingLocation=".\../../../test/err_compat/Debug/"
- ObjectFile=".\../../../test/err_compat/Debug/"
- ProgramDataBaseFileName=".\../../../test/err_compat/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/err_compat/Debug/err_compat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/err_compat/Debug/err_compat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compat/Debug/err_compat.pch"
- AssemblerListingLocation=".\../../../test/err_compat/Debug/"
- ObjectFile=".\../../../test/err_compat/Debug/"
- ProgramDataBaseFileName=".\../../../test/err_compat/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/err_compat/Debug/err_compat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/err_compat/Release/err_compat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compat/Release/err_compat.pch"
- AssemblerListingLocation=".\../../../test/err_compat/Release/"
- ObjectFile=".\../../../test/err_compat/Release/"
- ProgramDataBaseFileName=".\../../../test/err_compat/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/err_compat/Release/err_compat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/err_compat/Release/err_compat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compat/Release/err_compat.pch"
- AssemblerListingLocation=".\../../../test/err_compat/Release/"
- ObjectFile=".\../../../test/err_compat/Release/"
- ProgramDataBaseFileName=".\../../../test/err_compat/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/err_compat/Release/err_compat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\test\err_compat.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/err_compatdll/err_compatdll.vcproj b/windows/test/err_compatdll/err_compatdll.vcproj
deleted file mode 100644
index eb2933c..0000000
--- a/windows/test/err_compatdll/err_compatdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="err_compatdll"
- ProjectGUID="{309CE6F8-4658-44CB-8E99-0B86DCA77EFC}"
- RootNamespace="err_compatdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/err_compatdll/Debug/err_compatdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compatdll/Debug/err_compatdll.pch"
- AssemblerListingLocation=".\../../../test/err_compatdll/Debug/"
- ObjectFile=".\../../../test/err_compatdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/err_compatdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/err_compatdll/Debug/err_compatdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/err_compatdll/Debug/err_compatdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compatdll/Debug/err_compatdll.pch"
- AssemblerListingLocation=".\../../../test/err_compatdll/Debug/"
- ObjectFile=".\../../../test/err_compatdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/err_compatdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/err_compatdll/Debug/err_compatdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/err_compatdll/Release/err_compatdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compatdll/Release/err_compatdll.pch"
- AssemblerListingLocation=".\../../../test/err_compatdll/Release/"
- ObjectFile=".\../../../test/err_compatdll/Release/"
- ProgramDataBaseFileName=".\../../../test/err_compatdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/err_compatdll/Release/err_compatdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/err_compatdll/Release/err_compatdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/err_compatdll/Release/err_compatdll.pch"
- AssemblerListingLocation=".\../../../test/err_compatdll/Release/"
- ObjectFile=".\../../../test/err_compatdll/Release/"
- ProgramDataBaseFileName=".\../../../test/err_compatdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/err_compatdll/Release/err_compatdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\test\err_compat.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/error_test/error_test.vcproj b/windows/test/error_test/error_test.vcproj
deleted file mode 100644
index cc00f2c..0000000
--- a/windows/test/error_test/error_test.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="error_test"
- ProjectGUID="{7ABA5DD5-77E7-42D3-9C29-84A13B0CAA91}"
- RootNamespace="error_test"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/error_test/Release/error_test.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_test/Release/error_test.pch"
- AssemblerListingLocation=".\../../../test/error_test/Release/"
- ObjectFile=".\../../../test/error_test/Release/"
- ProgramDataBaseFileName=".\../../../test/error_test/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/error_test/Release/error_test.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/error_test/Release/error_test.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_test/Release/error_test.pch"
- AssemblerListingLocation=".\../../../test/error_test/Release/"
- ObjectFile=".\../../../test/error_test/Release/"
- ProgramDataBaseFileName=".\../../../test/error_test/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/error_test/Release/error_test.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/error_test/Debug/error_test.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_test/Debug/error_test.pch"
- AssemblerListingLocation=".\../../../test/error_test/Debug/"
- ObjectFile=".\../../../test/error_test/Debug/"
- ProgramDataBaseFileName=".\../../../test/error_test/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/error_test/Debug/error_test.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/error_test/Debug/error_test.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_test/Debug/error_test.pch"
- AssemblerListingLocation=".\../../../test/error_test/Debug/"
- ObjectFile=".\../../../test/error_test/Debug/"
- ProgramDataBaseFileName=".\../../../test/error_test/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/error_test/Debug/error_test.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\test\error_test.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/error_testdll/error_testdll.vcproj b/windows/test/error_testdll/error_testdll.vcproj
deleted file mode 100644
index 86a3792..0000000
--- a/windows/test/error_testdll/error_testdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="error_testdll"
- ProjectGUID="{55894CDC-C220-40FE-B403-D74EAC6EBACF}"
- RootNamespace="error_testdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/error_testdll/Debug/error_testdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_testdll/Debug/error_testdll.pch"
- AssemblerListingLocation=".\../../../test/error_testdll/Debug/"
- ObjectFile=".\../../../test/error_testdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/error_testdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/error_testdll/Debug/error_testdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/error_testdll/Debug/error_testdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_testdll/Debug/error_testdll.pch"
- AssemblerListingLocation=".\../../../test/error_testdll/Debug/"
- ObjectFile=".\../../../test/error_testdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/error_testdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/error_testdll/Debug/error_testdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/error_testdll/Release/error_testdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_testdll/Release/error_testdll.pch"
- AssemblerListingLocation=".\../../../test/error_testdll/Release/"
- ObjectFile=".\../../../test/error_testdll/Release/"
- ProgramDataBaseFileName=".\../../../test/error_testdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/error_testdll/Release/error_testdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/error_testdll/Release/error_testdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/error_testdll/Release/error_testdll.pch"
- AssemblerListingLocation=".\../../../test/error_testdll/Release/"
- ObjectFile=".\../../../test/error_testdll/Release/"
- ProgramDataBaseFileName=".\../../../test/error_testdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/error_testdll/Release/error_testdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\test\error_test.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/extend/extend.vcproj b/windows/test/extend/extend.vcproj
deleted file mode 100644
index bf9e712..0000000
--- a/windows/test/extend/extend.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="extend"
- ProjectGUID="{8708E58C-F990-4B6C-AD83-745CA9582E92}"
- RootNamespace="extend"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/extend/Release/extend.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extend/Release/extend.pch"
- AssemblerListingLocation=".\../../../test/extend/Release/"
- ObjectFile=".\../../../test/extend/Release/"
- ProgramDataBaseFileName=".\../../../test/extend/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/extend/Release/extend.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/extend/Release/extend.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extend/Release/extend.pch"
- AssemblerListingLocation=".\../../../test/extend/Release/"
- ObjectFile=".\../../../test/extend/Release/"
- ProgramDataBaseFileName=".\../../../test/extend/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/extend/Release/extend.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/extend/Debug/extend.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extend/Debug/extend.pch"
- AssemblerListingLocation=".\../../../test/extend/Debug/"
- ObjectFile=".\../../../test/extend/Debug/"
- ProgramDataBaseFileName=".\../../../test/extend/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/extend/Debug/extend.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/extend/Debug/extend.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extend/Debug/extend.pch"
- AssemblerListingLocation=".\../../../test/extend/Debug/"
- ObjectFile=".\../../../test/extend/Debug/"
- ProgramDataBaseFileName=".\../../../test/extend/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/extend/Debug/extend.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\extend.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/extenddll/extenddll.vcproj b/windows/test/extenddll/extenddll.vcproj
deleted file mode 100644
index 791ff61..0000000
--- a/windows/test/extenddll/extenddll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="extenddll"
- ProjectGUID="{76FFD0F6-3D5F-4826-A3BA-DEE92084FA27}"
- RootNamespace="extenddll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/extenddll/Debug/extenddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extenddll/Debug/extenddll.pch"
- AssemblerListingLocation=".\../../../test/extenddll/Debug/"
- ObjectFile=".\../../../test/extenddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/extenddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/extenddll/Debug/extenddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/extenddll/Debug/extenddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extenddll/Debug/extenddll.pch"
- AssemblerListingLocation=".\../../../test/extenddll/Debug/"
- ObjectFile=".\../../../test/extenddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/extenddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/extenddll/Debug/extenddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/extenddll/Release/extenddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extenddll/Release/extenddll.pch"
- AssemblerListingLocation=".\../../../test/extenddll/Release/"
- ObjectFile=".\../../../test/extenddll/Release/"
- ProgramDataBaseFileName=".\../../../test/extenddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/extenddll/Release/extenddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/extenddll/Release/extenddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/extenddll/Release/extenddll.pch"
- AssemblerListingLocation=".\../../../test/extenddll/Release/"
- ObjectFile=".\../../../test/extenddll/Release/"
- ProgramDataBaseFileName=".\../../../test/extenddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/extenddll/Release/extenddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\extend.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/external/external.vcproj b/windows/test/external/external.vcproj
deleted file mode 100644
index 7e2e96a..0000000
--- a/windows/test/external/external.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="external"
- ProjectGUID="{7D293021-0601-498B-91B8-C49580EFB08D}"
- RootNamespace="external"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/external/Debug/external.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/external/Debug/external.pch"
- AssemblerListingLocation=".\../../../test/external/Debug/"
- ObjectFile=".\../../../test/external/Debug/"
- ProgramDataBaseFileName=".\../../../test/external/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/external/Debug/external.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/external/Debug/external.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/external/Debug/external.pch"
- AssemblerListingLocation=".\../../../test/external/Debug/"
- ObjectFile=".\../../../test/external/Debug/"
- ProgramDataBaseFileName=".\../../../test/external/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/external/Debug/external.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/external/Release/external.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/external/Release/external.pch"
- AssemblerListingLocation=".\../../../test/external/Release/"
- ObjectFile=".\../../../test/external/Release/"
- ProgramDataBaseFileName=".\../../../test/external/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/external/Release/external.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/external/Release/external.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/external/Release/external.pch"
- AssemblerListingLocation=".\../../../test/external/Release/"
- ObjectFile=".\../../../test/external/Release/"
- ProgramDataBaseFileName=".\../../../test/external/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/external/Release/external.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\external.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/externaldll/externaldll.vcproj b/windows/test/externaldll/externaldll.vcproj
deleted file mode 100644
index a8716b4..0000000
--- a/windows/test/externaldll/externaldll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="externaldll"
- ProjectGUID="{0CB771D6-9CAB-4799-A7B1-5D89E436E6AF}"
- RootNamespace="externaldll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/externaldll/Release/externaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/externaldll/Release/externaldll.pch"
- AssemblerListingLocation=".\../../../test/externaldll/Release/"
- ObjectFile=".\../../../test/externaldll/Release/"
- ProgramDataBaseFileName=".\../../../test/externaldll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/externaldll/Release/externaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/externaldll/Release/externaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/externaldll/Release/externaldll.pch"
- AssemblerListingLocation=".\../../../test/externaldll/Release/"
- ObjectFile=".\../../../test/externaldll/Release/"
- ProgramDataBaseFileName=".\../../../test/externaldll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/externaldll/Release/externaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/externaldll/Debug/externaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/externaldll/Debug/externaldll.pch"
- AssemblerListingLocation=".\../../../test/externaldll/Debug/"
- ObjectFile=".\../../../test/externaldll/Debug/"
- ProgramDataBaseFileName=".\../../../test/externaldll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/externaldll/Debug/externaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/externaldll/Debug/externaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/externaldll/Debug/externaldll.pch"
- AssemblerListingLocation=".\../../../test/externaldll/Debug/"
- ObjectFile=".\../../../test/externaldll/Debug/"
- ProgramDataBaseFileName=".\../../../test/externaldll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/externaldll/Debug/externaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\external.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/farray/farray.vcproj b/windows/test/farray/farray.vcproj
deleted file mode 100644
index 376340d..0000000
--- a/windows/test/farray/farray.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="farray"
- ProjectGUID="{AE3E8414-FE68-4BF5-AFAA-77EBFCB706C5}"
- RootNamespace="farray"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\farray.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/farraydll/farraydll.vcproj b/windows/test/farraydll/farraydll.vcproj
deleted file mode 100644
index 2f7eac7..0000000
--- a/windows/test/farraydll/farraydll.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="farraydll"
- ProjectGUID="{79FF58EE-7427-4732-AC25-370341859292}"
- RootNamespace="farraydll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\farray.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/fheap/fheap.vcproj b/windows/test/fheap/fheap.vcproj
deleted file mode 100644
index ec0decb..0000000
--- a/windows/test/fheap/fheap.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="fheap"
- ProjectGUID="{AACD0537-E8F3-4F0F-A0E6-B99C0F4EF892}"
- RootNamespace="fheap"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fheap/Release/fheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheap/Release/fheap.pch"
- AssemblerListingLocation=".\../../../test/fheap/Release/"
- ObjectFile=".\../../../test/fheap/Release/"
- ProgramDataBaseFileName=".\../../../test/fheap/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/fheap/Release/fheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fheap/Release/fheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheap/Release/fheap.pch"
- AssemblerListingLocation=".\../../../test/fheap/Release/"
- ObjectFile=".\../../../test/fheap/Release/"
- ProgramDataBaseFileName=".\../../../test/fheap/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/fheap/Release/fheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fheap/Debug/fheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheap/Debug/fheap.pch"
- AssemblerListingLocation=".\../../../test/fheap/Debug/"
- ObjectFile=".\../../../test/fheap/Debug/"
- ProgramDataBaseFileName=".\../../../test/fheap/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fheap/Debug/fheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fheap/Debug/fheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheap/Debug/fheap.pch"
- AssemblerListingLocation=".\../../../test/fheap/Debug/"
- ObjectFile=".\../../../test/fheap/Debug/"
- ProgramDataBaseFileName=".\../../../test/fheap/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fheap/Debug/fheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat"
- >
- <File
- RelativePath="..\..\..\test\fheap.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/fheapdll/fheapdll.vcproj b/windows/test/fheapdll/fheapdll.vcproj
deleted file mode 100644
index 3690c49..0000000
--- a/windows/test/fheapdll/fheapdll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="fheapdll"
- ProjectGUID="{CEBB9980-4B77-4105-BFEB-4DCDBB1F5E35}"
- RootNamespace="fheapdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fheapdll/Release/fheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheapdll/Release/fheapdll.pch"
- AssemblerListingLocation=".\../../../test/fheapdll/Release/"
- ObjectFile=".\../../../test/fheapdll/Release/"
- ProgramDataBaseFileName=".\../../../test/fheapdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/fheapdll/Release/fheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fheapdll/Release/fheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheapdll/Release/fheapdll.pch"
- AssemblerListingLocation=".\../../../test/fheapdll/Release/"
- ObjectFile=".\../../../test/fheapdll/Release/"
- ProgramDataBaseFileName=".\../../../test/fheapdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/fheapdll/Release/fheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fheapdll/Debug/fheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheapdll/Debug/fheapdll.pch"
- AssemblerListingLocation=".\../../../test/fheapdll/Debug/"
- ObjectFile=".\../../../test/fheapdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/fheapdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fheapdll/Debug/fheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fheapdll/Debug/fheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fheapdll/Debug/fheapdll.pch"
- AssemblerListingLocation=".\../../../test/fheapdll/Debug/"
- ObjectFile=".\../../../test/fheapdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/fheapdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fheapdll/Debug/fheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\fheap.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/fillval/fillval.vcproj b/windows/test/fillval/fillval.vcproj
deleted file mode 100644
index 1e02965..0000000
--- a/windows/test/fillval/fillval.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="fillval"
- ProjectGUID="{CAC1F1E8-55D5-4CC6-857E-F01E59FB8098}"
- RootNamespace="fillval"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fillval/Debug/fillval.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillval/Debug/fillval.pch"
- AssemblerListingLocation=".\../../../test/fillval/Debug/"
- ObjectFile=".\../../../test/fillval/Debug/"
- ProgramDataBaseFileName=".\../../../test/fillval/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fillval/Debug/fillval.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fillval/Debug/fillval.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillval/Debug/fillval.pch"
- AssemblerListingLocation=".\../../../test/fillval/Debug/"
- ObjectFile=".\../../../test/fillval/Debug/"
- ProgramDataBaseFileName=".\../../../test/fillval/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fillval/Debug/fillval.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fillval/Release/fillval.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillval/Release/fillval.pch"
- AssemblerListingLocation=".\../../../test/fillval/Release/"
- ObjectFile=".\../../../test/fillval/Release/"
- ProgramDataBaseFileName=".\../../../test/fillval/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/fillval/Release/fillval.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fillval/Release/fillval.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillval/Release/fillval.pch"
- AssemblerListingLocation=".\../../../test/fillval/Release/"
- ObjectFile=".\../../../test/fillval/Release/"
- ProgramDataBaseFileName=".\../../../test/fillval/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/fillval/Release/fillval.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\fillval.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/fillvaldll/fillvaldll.vcproj b/windows/test/fillvaldll/fillvaldll.vcproj
deleted file mode 100644
index c130d54..0000000
--- a/windows/test/fillvaldll/fillvaldll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="fillvaldll"
- ProjectGUID="{0CB176F2-1FA9-467A-986D-512FAD8144B0}"
- RootNamespace="fillvaldll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fillvaldll/Release/fillvaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillvaldll/Release/fillvaldll.pch"
- AssemblerListingLocation=".\../../../test/fillvaldll/Release/"
- ObjectFile=".\../../../test/fillvaldll/Release/"
- ProgramDataBaseFileName=".\../../../test/fillvaldll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/fillvaldll/Release/fillvaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fillvaldll/Release/fillvaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillvaldll/Release/fillvaldll.pch"
- AssemblerListingLocation=".\../../../test/fillvaldll/Release/"
- ObjectFile=".\../../../test/fillvaldll/Release/"
- ProgramDataBaseFileName=".\../../../test/fillvaldll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/fillvaldll/Release/fillvaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/fillvaldll/Debug/fillvaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillvaldll/Debug/fillvaldll.pch"
- AssemblerListingLocation=".\../../../test/fillvaldll/Debug/"
- ObjectFile=".\../../../test/fillvaldll/Debug/"
- ProgramDataBaseFileName=".\../../../test/fillvaldll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fillvaldll/Debug/fillvaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/fillvaldll/Debug/fillvaldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/fillvaldll/Debug/fillvaldll.pch"
- AssemblerListingLocation=".\../../../test/fillvaldll/Debug/"
- ObjectFile=".\../../../test/fillvaldll/Debug/"
- ProgramDataBaseFileName=".\../../../test/fillvaldll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/fillvaldll/Debug/fillvaldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\fillval.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/flush1/flush1.vcproj b/windows/test/flush1/flush1.vcproj
deleted file mode 100644
index a9fdc83..0000000
--- a/windows/test/flush1/flush1.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="flush1"
- ProjectGUID="{364FF608-7969-4ED1-95B2-8592872F8264}"
- RootNamespace="flush1"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush1/Release/flush1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1/Release/flush1.pch"
- AssemblerListingLocation=".\../../../test/flush1/Release/"
- ObjectFile=".\../../../test/flush1/Release/"
- ProgramDataBaseFileName=".\../../../test/flush1/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/flush1/Release/flush1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush1/Release/flush1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1/Release/flush1.pch"
- AssemblerListingLocation=".\../../../test/flush1/Release/"
- ObjectFile=".\../../../test/flush1/Release/"
- ProgramDataBaseFileName=".\../../../test/flush1/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/flush1/Release/flush1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush1/Debug/flush1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1/Debug/flush1.pch"
- AssemblerListingLocation=".\../../../test/flush1/Debug/"
- ObjectFile=".\../../../test/flush1/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush1/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush1/Debug/flush1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush1/Debug/flush1.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1/Debug/flush1.pch"
- AssemblerListingLocation=".\../../../test/flush1/Debug/"
- ObjectFile=".\../../../test/flush1/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush1/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush1/Debug/flush1.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\flush1.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/flush1dll/flush1dll.vcproj b/windows/test/flush1dll/flush1dll.vcproj
deleted file mode 100644
index 4fe9b97..0000000
--- a/windows/test/flush1dll/flush1dll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="flush1dll"
- ProjectGUID="{B36344D1-122C-4BC6-A292-CC82F74CBB0A}"
- RootNamespace="flush1dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush1dll/Debug/flush1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1dll/Debug/flush1dll.pch"
- AssemblerListingLocation=".\../../../test/flush1dll/Debug/"
- ObjectFile=".\../../../test/flush1dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush1dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush1dll/Debug/flush1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush1dll/Debug/flush1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1dll/Debug/flush1dll.pch"
- AssemblerListingLocation=".\../../../test/flush1dll/Debug/"
- ObjectFile=".\../../../test/flush1dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush1dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush1dll/Debug/flush1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush1dll/Release/flush1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1dll/Release/flush1dll.pch"
- AssemblerListingLocation=".\../../../test/flush1dll/Release/"
- ObjectFile=".\../../../test/flush1dll/Release/"
- ProgramDataBaseFileName=".\../../../test/flush1dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/flush1dll/Release/flush1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush1dll/Release/flush1dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush1dll/Release/flush1dll.pch"
- AssemblerListingLocation=".\../../../test/flush1dll/Release/"
- ObjectFile=".\../../../test/flush1dll/Release/"
- ProgramDataBaseFileName=".\../../../test/flush1dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/flush1dll/Release/flush1dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\flush1.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/flush2/flush2.vcproj b/windows/test/flush2/flush2.vcproj
deleted file mode 100644
index bc24074..0000000
--- a/windows/test/flush2/flush2.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="flush2"
- ProjectGUID="{E9F8FC85-8508-4FE7-9BB0-C0494A71BA1B}"
- RootNamespace="flush2"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush2/Debug/flush2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2/Debug/flush2.pch"
- AssemblerListingLocation=".\../../../test/flush2/Debug/"
- ObjectFile=".\../../../test/flush2/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush2/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush2/Debug/flush2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush2/Debug/flush2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2/Debug/flush2.pch"
- AssemblerListingLocation=".\../../../test/flush2/Debug/"
- ObjectFile=".\../../../test/flush2/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush2/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush2/Debug/flush2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush2/Release/flush2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2/Release/flush2.pch"
- AssemblerListingLocation=".\../../../test/flush2/Release/"
- ObjectFile=".\../../../test/flush2/Release/"
- ProgramDataBaseFileName=".\../../../test/flush2/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/flush2/Release/flush2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush2/Release/flush2.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2/Release/flush2.pch"
- AssemblerListingLocation=".\../../../test/flush2/Release/"
- ObjectFile=".\../../../test/flush2/Release/"
- ProgramDataBaseFileName=".\../../../test/flush2/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/flush2/Release/flush2.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\flush2.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/flush2dll/flush2dll.vcproj b/windows/test/flush2dll/flush2dll.vcproj
deleted file mode 100644
index ca945a0..0000000
--- a/windows/test/flush2dll/flush2dll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="flush2dll"
- ProjectGUID="{F4386ECB-D688-4C18-A091-673F1F8A96E7}"
- RootNamespace="flush2dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush2dll/Debug/flush2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2dll/Debug/flush2dll.pch"
- AssemblerListingLocation=".\../../../test/flush2dll/Debug/"
- ObjectFile=".\../../../test/flush2dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush2dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush2dll/Debug/flush2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush2dll/Debug/flush2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2dll/Debug/flush2dll.pch"
- AssemblerListingLocation=".\../../../test/flush2dll/Debug/"
- ObjectFile=".\../../../test/flush2dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/flush2dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/flush2dll/Debug/flush2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/flush2dll/Release/flush2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2dll/Release/flush2dll.pch"
- AssemblerListingLocation=".\../../../test/flush2dll/Release/"
- ObjectFile=".\../../../test/flush2dll/Release/"
- ProgramDataBaseFileName=".\../../../test/flush2dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/flush2dll/Release/flush2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/flush2dll/Release/flush2dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/flush2dll/Release/flush2dll.pch"
- AssemblerListingLocation=".\../../../test/flush2dll/Release/"
- ObjectFile=".\../../../test/flush2dll/Release/"
- ProgramDataBaseFileName=".\../../../test/flush2dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/flush2dll/Release/flush2dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\flush2.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/freespace/freespace.vcproj b/windows/test/freespace/freespace.vcproj
deleted file mode 100644
index 2ec5194..0000000
--- a/windows/test/freespace/freespace.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="freespace"
- ProjectGUID="{D4395435-B3B0-4937-9AC5-89BD73C47303}"
- RootNamespace="freespace"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\freespace.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/freespacedll/freespacedll.vcproj b/windows/test/freespacedll/freespacedll.vcproj
deleted file mode 100644
index 18fdea4..0000000
--- a/windows/test/freespacedll/freespacedll.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="freespacedll"
- ProjectGUID="{737F7A65-62E7-4707-B3DB-B9856131687D}"
- RootNamespace="freespacedll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\freespace.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/getname/getname.vcproj b/windows/test/getname/getname.vcproj
deleted file mode 100644
index 9ae3d1e..0000000
--- a/windows/test/getname/getname.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="getname"
- ProjectGUID="{B21CA611-6DAE-4051-8B4C-989E135711B1}"
- RootNamespace="getname"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/getname/Release/getname.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getname/Release/getname.pch"
- AssemblerListingLocation=".\../../../test/getname/Release/"
- ObjectFile=".\../../../test/getname/Release/"
- ProgramDataBaseFileName=".\../../../test/getname/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/getname/Release/getname.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/getname/Release/getname.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getname/Release/getname.pch"
- AssemblerListingLocation=".\../../../test/getname/Release/"
- ObjectFile=".\../../../test/getname/Release/"
- ProgramDataBaseFileName=".\../../../test/getname/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/getname/Release/getname.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/getname/Debug/getname.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getname/Debug/getname.pch"
- AssemblerListingLocation=".\../../../test/getname/Debug/"
- ObjectFile=".\../../../test/getname/Debug/"
- ProgramDataBaseFileName=".\../../../test/getname/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/getname/Debug/getname.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/getname/Debug/getname.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getname/Debug/getname.pch"
- AssemblerListingLocation=".\../../../test/getname/Debug/"
- ObjectFile=".\../../../test/getname/Debug/"
- ProgramDataBaseFileName=".\../../../test/getname/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/getname/Debug/getname.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\getname.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/getnamedll/getnamedll.vcproj b/windows/test/getnamedll/getnamedll.vcproj
deleted file mode 100644
index 82ebfcd..0000000
--- a/windows/test/getnamedll/getnamedll.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="getnamedll"
- ProjectGUID="{80892339-F6CE-4E96-B61B-131095F2612D}"
- RootNamespace="getnamedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/getnamedll/Release/getnamedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getnamedll/Release/getnamedll.pch"
- AssemblerListingLocation=".\../../../test/getnamedll/Release/"
- ObjectFile=".\../../../test/getnamedll/Release/"
- ProgramDataBaseFileName=".\../../../test/getnamedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/getnamedll/Release/getnamedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/getnamedll/Release/getnamedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getnamedll/Release/getnamedll.pch"
- AssemblerListingLocation=".\../../../test/getnamedll/Release/"
- ObjectFile=".\../../../test/getnamedll/Release/"
- ProgramDataBaseFileName=".\../../../test/getnamedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/getnamedll/Release/getnamedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/getnamedll/Debug/getnamedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getnamedll/Debug/getnamedll.pch"
- AssemblerListingLocation=".\../../../test/getnamedll/Debug/"
- ObjectFile=".\../../../test/getnamedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/getnamedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/getnamedll/Debug/getnamedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/getnamedll/Debug/getnamedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getnamedll/Debug/getnamedll.pch"
- AssemblerListingLocation=".\../../../test/getnamedll/Debug/"
- ObjectFile=".\../../../test/getnamedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/getnamedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/getnamedll/Debug/getnamedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\getname.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/getub/getub.vcproj b/windows/test/getub/getub.vcproj
deleted file mode 100644
index 60cb6d3..0000000
--- a/windows/test/getub/getub.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="getub"
- ProjectGUID="{2FC5DAE1-36D6-4783-A0F9-96D0B37687A8}"
- RootNamespace="getub"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/getub/Debug/getub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\tools\lib,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getub/Debug/getub.pch"
- AssemblerListingLocation=".\../../../test/getub/Debug/"
- ObjectFile=".\../../../test/getub/Debug/"
- ProgramDataBaseFileName=".\../../../test/getub/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/getub/Debug/getub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/getub/Debug/getub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\tools\lib,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getub/Debug/getub.pch"
- AssemblerListingLocation=".\../../../test/getub/Debug/"
- ObjectFile=".\../../../test/getub/Debug/"
- ProgramDataBaseFileName=".\../../../test/getub/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/getub/Debug/getub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/getub/Release/getub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\tools\lib,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getub/Release/getub.pch"
- AssemblerListingLocation=".\../../../test/getub/Release/"
- ObjectFile=".\../../../test/getub/Release/"
- ProgramDataBaseFileName=".\../../../test/getub/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/getub/Release/getub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/getub/Release/getub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\tools\lib,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/getub/Release/getub.pch"
- AssemblerListingLocation=".\../../../test/getub/Release/"
- ObjectFile=".\../../../test/getub/Release/"
- ProgramDataBaseFileName=".\../../../test/getub/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/getub/Release/getub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5jam\getub.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/gheap/gheap.vcproj b/windows/test/gheap/gheap.vcproj
deleted file mode 100644
index ebea71f..0000000
--- a/windows/test/gheap/gheap.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="gheap"
- ProjectGUID="{9FCBE814-3818-4F1A-975D-05BAF6FF432F}"
- RootNamespace="gheap"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/gheap/Release/gheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheap/Release/gheap.pch"
- AssemblerListingLocation=".\../../../test/gheap/Release/"
- ObjectFile=".\../../../test/gheap/Release/"
- ProgramDataBaseFileName=".\../../../test/gheap/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/gheap/Release/gheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/gheap/Release/gheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheap/Release/gheap.pch"
- AssemblerListingLocation=".\../../../test/gheap/Release/"
- ObjectFile=".\../../../test/gheap/Release/"
- ProgramDataBaseFileName=".\../../../test/gheap/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/gheap/Release/gheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/gheap/Debug/gheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheap/Debug/gheap.pch"
- AssemblerListingLocation=".\../../../test/gheap/Debug/"
- ObjectFile=".\../../../test/gheap/Debug/"
- ProgramDataBaseFileName=".\../../../test/gheap/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/gheap/Debug/gheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/gheap/Debug/gheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheap/Debug/gheap.pch"
- AssemblerListingLocation=".\../../../test/gheap/Debug/"
- ObjectFile=".\../../../test/gheap/Debug/"
- ProgramDataBaseFileName=".\../../../test/gheap/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/gheap/Debug/gheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\gheap.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/gheapdll/gheapdll.vcproj b/windows/test/gheapdll/gheapdll.vcproj
deleted file mode 100644
index 3d5b8a6..0000000
--- a/windows/test/gheapdll/gheapdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="gheapdll"
- ProjectGUID="{0DA16B6F-0156-417A-9093-589D55BB066C}"
- RootNamespace="gheapdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/gheapdll/Release/gheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheapdll/Release/gheapdll.pch"
- AssemblerListingLocation=".\../../../test/gheapdll/Release/"
- ObjectFile=".\../../../test/gheapdll/Release/"
- ProgramDataBaseFileName=".\../../../test/gheapdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/gheapdll/Release/gheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/gheapdll/Release/gheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheapdll/Release/gheapdll.pch"
- AssemblerListingLocation=".\../../../test/gheapdll/Release/"
- ObjectFile=".\../../../test/gheapdll/Release/"
- ProgramDataBaseFileName=".\../../../test/gheapdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/gheapdll/Release/gheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/gheapdll/Debug/gheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheapdll/Debug/gheapdll.pch"
- AssemblerListingLocation=".\../../../test/gheapdll/Debug/"
- ObjectFile=".\../../../test/gheapdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/gheapdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/gheapdll/Debug/gheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/gheapdll/Debug/gheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/gheapdll/Debug/gheapdll.pch"
- AssemblerListingLocation=".\../../../test/gheapdll/Debug/"
- ObjectFile=".\../../../test/gheapdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/gheapdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/gheapdll/Debug/gheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\gheap.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/hyperslab/hyperslab.vcproj b/windows/test/hyperslab/hyperslab.vcproj
deleted file mode 100644
index 6e4a929..0000000
--- a/windows/test/hyperslab/hyperslab.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hyperslab"
- ProjectGUID="{1AB767EA-546C-4F72-BC1F-6AA0458512D8}"
- RootNamespace="hyperslab"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/hyperslab/Debug/hyperslab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslab/Debug/hyperslab.pch"
- AssemblerListingLocation=".\../../../test/hyperslab/Debug/"
- ObjectFile=".\../../../test/hyperslab/Debug/"
- ProgramDataBaseFileName=".\../../../test/hyperslab/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/hyperslab/Debug/hyperslab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/hyperslab/Debug/hyperslab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslab/Debug/hyperslab.pch"
- AssemblerListingLocation=".\../../../test/hyperslab/Debug/"
- ObjectFile=".\../../../test/hyperslab/Debug/"
- ProgramDataBaseFileName=".\../../../test/hyperslab/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/hyperslab/Debug/hyperslab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/hyperslab/Release/hyperslab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslab/Release/hyperslab.pch"
- AssemblerListingLocation=".\../../../test/hyperslab/Release/"
- ObjectFile=".\../../../test/hyperslab/Release/"
- ProgramDataBaseFileName=".\../../../test/hyperslab/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/hyperslab/Release/hyperslab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/hyperslab/Release/hyperslab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslab/Release/hyperslab.pch"
- AssemblerListingLocation=".\../../../test/hyperslab/Release/"
- ObjectFile=".\../../../test/hyperslab/Release/"
- ProgramDataBaseFileName=".\../../../test/hyperslab/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/hyperslab/Release/hyperslab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\hyperslab.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/hyperslabdll/hyperslabdll.vcproj b/windows/test/hyperslabdll/hyperslabdll.vcproj
deleted file mode 100644
index 9143be6..0000000
--- a/windows/test/hyperslabdll/hyperslabdll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="hyperslabdll"
- ProjectGUID="{CEA44545-33C8-4C63-9F8C-85BA48F45637}"
- RootNamespace="hyperslabdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/hyperslabdll/Release/hyperslabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslabdll/Release/hyperslabdll.pch"
- AssemblerListingLocation=".\../../../test/hyperslabdll/Release/"
- ObjectFile=".\../../../test/hyperslabdll/Release/"
- ProgramDataBaseFileName=".\../../../test/hyperslabdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/hyperslabdll/Release/hyperslabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/hyperslabdll/Release/hyperslabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslabdll/Release/hyperslabdll.pch"
- AssemblerListingLocation=".\../../../test/hyperslabdll/Release/"
- ObjectFile=".\../../../test/hyperslabdll/Release/"
- ProgramDataBaseFileName=".\../../../test/hyperslabdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/hyperslabdll/Release/hyperslabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/hyperslabdll/Debug/hyperslabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslabdll/Debug/hyperslabdll.pch"
- AssemblerListingLocation=".\../../../test/hyperslabdll/Debug/"
- ObjectFile=".\../../../test/hyperslabdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/hyperslabdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/hyperslabdll/Debug/hyperslabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/hyperslabdll/Debug/hyperslabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/hyperslabdll/Debug/hyperslabdll.pch"
- AssemblerListingLocation=".\../../../test/hyperslabdll/Debug/"
- ObjectFile=".\../../../test/hyperslabdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/hyperslabdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/hyperslabdll/Debug/hyperslabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\hyperslab.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/iopipe/iopipe.vcproj b/windows/test/iopipe/iopipe.vcproj
deleted file mode 100644
index e8b62a2..0000000
--- a/windows/test/iopipe/iopipe.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="iopipe"
- ProjectGUID="{73B78F11-3DCC-4A00-B4A6-E5A8FAE9DD90}"
- RootNamespace="iopipe"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/iopipe/Release/iopipe.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipe/Release/iopipe.pch"
- AssemblerListingLocation=".\../../../test/iopipe/Release/"
- ObjectFile=".\../../../test/iopipe/Release/"
- ProgramDataBaseFileName=".\../../../test/iopipe/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/iopipe/Release/iopipe.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/iopipe/Release/iopipe.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipe/Release/iopipe.pch"
- AssemblerListingLocation=".\../../../test/iopipe/Release/"
- ObjectFile=".\../../../test/iopipe/Release/"
- ProgramDataBaseFileName=".\../../../test/iopipe/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/iopipe/Release/iopipe.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/iopipe/Debug/iopipe.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipe/Debug/iopipe.pch"
- AssemblerListingLocation=".\../../../test/iopipe/Debug/"
- ObjectFile=".\../../../test/iopipe/Debug/"
- ProgramDataBaseFileName=".\../../../test/iopipe/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/iopipe/Debug/iopipe.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/iopipe/Debug/iopipe.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipe/Debug/iopipe.pch"
- AssemblerListingLocation=".\../../../test/iopipe/Debug/"
- ObjectFile=".\../../../test/iopipe/Debug/"
- ProgramDataBaseFileName=".\../../../test/iopipe/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/iopipe/Debug/iopipe.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\iopipe.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/iopipedll/iopipedll.vcproj b/windows/test/iopipedll/iopipedll.vcproj
deleted file mode 100644
index 6fd0524..0000000
--- a/windows/test/iopipedll/iopipedll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="iopipedll"
- ProjectGUID="{4E8105F2-56D4-45D6-9017-706F804052E7}"
- RootNamespace="iopipedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/iopipedll/Debug/iopipedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipedll/Debug/iopipedll.pch"
- AssemblerListingLocation=".\../../../test/iopipedll/Debug/"
- ObjectFile=".\../../../test/iopipedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/iopipedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/iopipedll/Debug/iopipedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/iopipedll/Debug/iopipedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipedll/Debug/iopipedll.pch"
- AssemblerListingLocation=".\../../../test/iopipedll/Debug/"
- ObjectFile=".\../../../test/iopipedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/iopipedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/iopipedll/Debug/iopipedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/iopipedll/Release/iopipedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipedll/Release/iopipedll.pch"
- AssemblerListingLocation=".\../../../test/iopipedll/Release/"
- ObjectFile=".\../../../test/iopipedll/Release/"
- ProgramDataBaseFileName=".\../../../test/iopipedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/iopipedll/Release/iopipedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/iopipedll/Release/iopipedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/iopipedll/Release/iopipedll.pch"
- AssemblerListingLocation=".\../../../test/iopipedll/Release/"
- ObjectFile=".\../../../test/iopipedll/Release/"
- ProgramDataBaseFileName=".\../../../test/iopipedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/iopipedll/Release/iopipedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\iopipe.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/istore/istore.vcproj b/windows/test/istore/istore.vcproj
deleted file mode 100644
index 9972e26..0000000
--- a/windows/test/istore/istore.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="istore"
- ProjectGUID="{C4BA3E66-2310-43E7-B30A-ABDCCF44D823}"
- RootNamespace="istore"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/istore/Release/istore.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istore/Release/istore.pch"
- AssemblerListingLocation=".\../../../test/istore/Release/"
- ObjectFile=".\../../../test/istore/Release/"
- ProgramDataBaseFileName=".\../../../test/istore/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/istore/Release/istore.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/istore/Release/istore.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istore/Release/istore.pch"
- AssemblerListingLocation=".\../../../test/istore/Release/"
- ObjectFile=".\../../../test/istore/Release/"
- ProgramDataBaseFileName=".\../../../test/istore/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/istore/Release/istore.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/istore/Debug/istore.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istore/Debug/istore.pch"
- AssemblerListingLocation=".\../../../test/istore/Debug/"
- ObjectFile=".\../../../test/istore/Debug/"
- ProgramDataBaseFileName=".\../../../test/istore/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/istore/Debug/istore.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/istore/Debug/istore.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istore/Debug/istore.pch"
- AssemblerListingLocation=".\../../../test/istore/Debug/"
- ObjectFile=".\../../../test/istore/Debug/"
- ProgramDataBaseFileName=".\../../../test/istore/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/istore/Debug/istore.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\istore.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/istoredll/istoredll.vcproj b/windows/test/istoredll/istoredll.vcproj
deleted file mode 100644
index 6c44f99..0000000
--- a/windows/test/istoredll/istoredll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="istoredll"
- ProjectGUID="{BE4FFA8B-2988-4888-A9B9-DD108BCBA8A6}"
- RootNamespace="istoredll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/istoredll/Release/istoredll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istoredll/Release/istoredll.pch"
- AssemblerListingLocation=".\../../../test/istoredll/Release/"
- ObjectFile=".\../../../test/istoredll/Release/"
- ProgramDataBaseFileName=".\../../../test/istoredll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/istoredll/Release/istoredll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/istoredll/Release/istoredll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istoredll/Release/istoredll.pch"
- AssemblerListingLocation=".\../../../test/istoredll/Release/"
- ObjectFile=".\../../../test/istoredll/Release/"
- ProgramDataBaseFileName=".\../../../test/istoredll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/istoredll/Release/istoredll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/istoredll/Debug/istoredll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istoredll/Debug/istoredll.pch"
- AssemblerListingLocation=".\../../../test/istoredll/Debug/"
- ObjectFile=".\../../../test/istoredll/Debug/"
- ProgramDataBaseFileName=".\../../../test/istoredll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/istoredll/Debug/istoredll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/istoredll/Debug/istoredll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/istoredll/Debug/istoredll.pch"
- AssemblerListingLocation=".\../../../test/istoredll/Debug/"
- ObjectFile=".\../../../test/istoredll/Debug/"
- ProgramDataBaseFileName=".\../../../test/istoredll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/istoredll/Debug/istoredll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\istore.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/lheap/lheap.vcproj b/windows/test/lheap/lheap.vcproj
deleted file mode 100644
index fd368c1..0000000
--- a/windows/test/lheap/lheap.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="lheap"
- ProjectGUID="{5A90FD64-6EED-45E1-A147-D9FE72788570}"
- RootNamespace="lheap"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/lheap/Debug/lheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheap/Debug/lheap.pch"
- AssemblerListingLocation=".\../../../test/lheap/Debug/"
- ObjectFile=".\../../../test/lheap/Debug/"
- ProgramDataBaseFileName=".\../../../test/lheap/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/lheap/Debug/lheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/lheap/Debug/lheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheap/Debug/lheap.pch"
- AssemblerListingLocation=".\../../../test/lheap/Debug/"
- ObjectFile=".\../../../test/lheap/Debug/"
- ProgramDataBaseFileName=".\../../../test/lheap/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/lheap/Debug/lheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/lheap/Release/lheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheap/Release/lheap.pch"
- AssemblerListingLocation=".\../../../test/lheap/Release/"
- ObjectFile=".\../../../test/lheap/Release/"
- ProgramDataBaseFileName=".\../../../test/lheap/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/lheap/Release/lheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/lheap/Release/lheap.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheap/Release/lheap.pch"
- AssemblerListingLocation=".\../../../test/lheap/Release/"
- ObjectFile=".\../../../test/lheap/Release/"
- ProgramDataBaseFileName=".\../../../test/lheap/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/lheap/Release/lheap.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\lheap.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/lheapdll/lheapdll.vcproj b/windows/test/lheapdll/lheapdll.vcproj
deleted file mode 100644
index 72cbfc8..0000000
--- a/windows/test/lheapdll/lheapdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="lheapdll"
- ProjectGUID="{E02CDAAC-05F4-436B-B245-2A402FFA131F}"
- RootNamespace="lheapdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/lheapdll/Release/lheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheapdll/Release/lheapdll.pch"
- AssemblerListingLocation=".\../../../test/lheapdll/Release/"
- ObjectFile=".\../../../test/lheapdll/Release/"
- ProgramDataBaseFileName=".\../../../test/lheapdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/lheapdll/Release/lheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/lheapdll/Release/lheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheapdll/Release/lheapdll.pch"
- AssemblerListingLocation=".\../../../test/lheapdll/Release/"
- ObjectFile=".\../../../test/lheapdll/Release/"
- ProgramDataBaseFileName=".\../../../test/lheapdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/lheapdll/Release/lheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/lheapdll/Debug/lheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheapdll/Debug/lheapdll.pch"
- AssemblerListingLocation=".\../../../test/lheapdll/Debug/"
- ObjectFile=".\../../../test/lheapdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/lheapdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/lheapdll/Debug/lheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/lheapdll/Debug/lheapdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/lheapdll/Debug/lheapdll.pch"
- AssemblerListingLocation=".\../../../test/lheapdll/Debug/"
- ObjectFile=".\../../../test/lheapdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/lheapdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/lheapdll/Debug/lheapdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\lheap.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/libtest/libtest.vcproj b/windows/test/libtest/libtest.vcproj
deleted file mode 100644
index 4b0a007..0000000
--- a/windows/test/libtest/libtest.vcproj
+++ /dev/null
@@ -1,340 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="libtest"
- ProjectGUID="{A80D439C-37B4-4619-A122-1C69F567733B}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\test\libtest\Debug/libtest.pch"
- AssemblerListingLocation=".\..\..\..\test\libtest\Debug/"
- ObjectFile=".\..\..\..\test\libtest\Debug/"
- ProgramDataBaseFileName=".\..\..\..\test\libtest\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_LIB"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\test\libtest\Debug/libtest.pch"
- AssemblerListingLocation=".\..\..\..\test\libtest\Debug/"
- ObjectFile=".\..\..\..\test\libtest\Debug/"
- ProgramDataBaseFileName=".\..\..\..\test\libtest\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\test\libtest\Release/libtest.pch"
- AssemblerListingLocation=".\..\..\..\test\libtest\Release/"
- ObjectFile=".\..\..\..\test\libtest\Release/"
- ProgramDataBaseFileName=".\..\..\..\test\libtest\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_LIB"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\test\libtest\Release/libtest.pch"
- AssemblerListingLocation=".\..\..\..\test\libtest\Release/"
- ObjectFile=".\..\..\..\test\libtest\Release/"
- ProgramDataBaseFileName=".\..\..\..\test\libtest\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\h5test.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\testframe.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/libtestdll/libtestdll.vcproj b/windows/test/libtestdll/libtestdll.vcproj
deleted file mode 100644
index 45c2538..0000000
--- a/windows/test/libtestdll/libtestdll.vcproj
+++ /dev/null
@@ -1,421 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="libtestdll"
- ProjectGUID="{54BDA057-C716-4807-A35E-73185DCB236D}"
- RootNamespace="libtestdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../test/libtestdll/Release/libtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5USEDLL_;_HDF5TESTDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/libtestdll/Release/libtestdll.pch"
- AssemblerListingLocation=".\../../../test/libtestdll/Release/"
- ObjectFile=".\../../../test/libtestdll/Release/"
- ProgramDataBaseFileName=".\../../../test/libtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\libtestdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/libtestdll/Release/libtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5USEDLL_;_HDF5TESTDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/libtestdll/Release/libtestdll.pch"
- AssemblerListingLocation=".\../../../test/libtestdll/Release/"
- ObjectFile=".\../../../test/libtestdll/Release/"
- ProgramDataBaseFileName=".\../../../test/libtestdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\libtestdll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="1"
- TypeLibraryName=".\../../../test/libtestdll/Debug/libtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5USEDLL_;_HDF5TESTDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/libtestdll/Debug/libtestdll.pch"
- AssemblerListingLocation=".\../../../test/libtestdll/Debug/"
- ObjectFile=".\../../../test/libtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/libtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\libtestddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="2"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- MkTypLibCompatible="true"
- SuppressStartupBanner="true"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/libtestdll/Debug/libtestdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5USEDLL_;_HDF5TESTDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/libtestdll/Debug/libtestdll.pch"
- AssemblerListingLocation=".\../../../test/libtestdll/Debug/"
- ObjectFile=".\../../../test/libtestdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/libtestdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\libtestddll.dll"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ManifestFile="$(TargetPath).intermediate.manifest"
- GenerateDebugInformation="true"
- ProgramDatabaseFile="$(TargetDir)$(TargetName).pdb"
- SubSystem="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- ImportLibrary="$(TargetDir)$(TargetName).lib"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\h5test.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\testframe.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/links/links.vcproj b/windows/test/links/links.vcproj
deleted file mode 100644
index 95be919..0000000
--- a/windows/test/links/links.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="links"
- ProjectGUID="{8792D377-8105-4C67-87F1-115E48D0178F}"
- RootNamespace="links"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/links/Release/links.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/links/Release/links.pch"
- AssemblerListingLocation=".\../../../test/links/Release/"
- ObjectFile=".\../../../test/links/Release/"
- ProgramDataBaseFileName=".\../../../test/links/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/links/Release/links.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/links/Release/links.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/links/Release/links.pch"
- AssemblerListingLocation=".\../../../test/links/Release/"
- ObjectFile=".\../../../test/links/Release/"
- ProgramDataBaseFileName=".\../../../test/links/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/links/Release/links.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/links/Debug/links.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/links/Debug/links.pch"
- AssemblerListingLocation=".\../../../test/links/Debug/"
- ObjectFile=".\../../../test/links/Debug/"
- ProgramDataBaseFileName=".\../../../test/links/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/links/Debug/links.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/links/Debug/links.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/links/Debug/links.pch"
- AssemblerListingLocation=".\../../../test/links/Debug/"
- ObjectFile=".\../../../test/links/Debug/"
- ProgramDataBaseFileName=".\../../../test/links/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/links/Debug/links.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\links.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/linksdll/linksdll.vcproj b/windows/test/linksdll/linksdll.vcproj
deleted file mode 100644
index 1b13968..0000000
--- a/windows/test/linksdll/linksdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="linksdll"
- ProjectGUID="{27CCFE35-61A5-434F-8B83-9A40AE2AE8C5}"
- RootNamespace="linksdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/linksdll/Debug/linksdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/linksdll/Debug/linksdll.pch"
- AssemblerListingLocation=".\../../../test/linksdll/Debug/"
- ObjectFile=".\../../../test/linksdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/linksdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/linksdll/Debug/linksdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/linksdll/Debug/linksdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/linksdll/Debug/linksdll.pch"
- AssemblerListingLocation=".\../../../test/linksdll/Debug/"
- ObjectFile=".\../../../test/linksdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/linksdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/linksdll/Debug/linksdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/linksdll/Release/linksdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/linksdll/Release/linksdll.pch"
- AssemblerListingLocation=".\../../../test/linksdll/Release/"
- ObjectFile=".\../../../test/linksdll/Release/"
- ProgramDataBaseFileName=".\../../../test/linksdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/linksdll/Release/linksdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/linksdll/Release/linksdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/linksdll/Release/linksdll.pch"
- AssemblerListingLocation=".\../../../test/linksdll/Release/"
- ObjectFile=".\../../../test/linksdll/Release/"
- ProgramDataBaseFileName=".\../../../test/linksdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/linksdll/Release/linksdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\links.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/mf/mf.vcproj b/windows/test/mf/mf.vcproj
deleted file mode 100644
index 541b53e..0000000
--- a/windows/test/mf/mf.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="mf"
- ProjectGUID="{4EF0B5BE-E79D-4A79-BDE8-F383BC6C371D}"
- RootNamespace="mf"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\mf.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/mfdll/mfdll.vcproj b/windows/test/mfdll/mfdll.vcproj
deleted file mode 100644
index fbca153..0000000
--- a/windows/test/mfdll/mfdll.vcproj
+++ /dev/null
@@ -1,339 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="mfdll"
- ProjectGUID="{C4811E26-A7DA-424D-8A44-F29DFD588533}"
- RootNamespace="mfdll"
- Keyword="Win32Proj"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="4"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- MinimalRebuild="true"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="2"
- GenerateDebugInformation="true"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- CharacterSet="1"
- WholeProgramOptimization="1"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- WarningLevel="3"
- Detect64BitPortabilityProblems="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- LinkIncremental="1"
- GenerateDebugInformation="true"
- SubSystem="1"
- OptimizeReferences="2"
- EnableCOMDATFolding="2"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\mf.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/mount/mount.vcproj b/windows/test/mount/mount.vcproj
deleted file mode 100644
index a378e07..0000000
--- a/windows/test/mount/mount.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="mount"
- ProjectGUID="{4EE91AD5-8332-4FD3-A5E3-BF4C145BB53A}"
- RootNamespace="mount"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mount/Debug/mount.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mount/Debug/mount.pch"
- AssemblerListingLocation=".\../../../test/mount/Debug/"
- ObjectFile=".\../../../test/mount/Debug/"
- ProgramDataBaseFileName=".\../../../test/mount/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mount/Debug/mount.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mount/Debug/mount.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mount/Debug/mount.pch"
- AssemblerListingLocation=".\../../../test/mount/Debug/"
- ObjectFile=".\../../../test/mount/Debug/"
- ProgramDataBaseFileName=".\../../../test/mount/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mount/Debug/mount.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mount/Release/mount.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mount/Release/mount.pch"
- AssemblerListingLocation=".\../../../test/mount/Release/"
- ObjectFile=".\../../../test/mount/Release/"
- ProgramDataBaseFileName=".\../../../test/mount/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/mount/Release/mount.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mount/Release/mount.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mount/Release/mount.pch"
- AssemblerListingLocation=".\../../../test/mount/Release/"
- ObjectFile=".\../../../test/mount/Release/"
- ProgramDataBaseFileName=".\../../../test/mount/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/mount/Release/mount.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\mount.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/mountdll/mountdll.vcproj b/windows/test/mountdll/mountdll.vcproj
deleted file mode 100644
index 4babfc2..0000000
--- a/windows/test/mountdll/mountdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="mountdll"
- ProjectGUID="{CE7C4168-68A6-43B2-BAE7-B2CF857C8F03}"
- RootNamespace="mountdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mountdll/Debug/mountdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mountdll/Debug/mountdll.pch"
- AssemblerListingLocation=".\../../../test/mountdll/Debug/"
- ObjectFile=".\../../../test/mountdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/mountdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mountdll/Debug/mountdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mountdll/Debug/mountdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mountdll/Debug/mountdll.pch"
- AssemblerListingLocation=".\../../../test/mountdll/Debug/"
- ObjectFile=".\../../../test/mountdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/mountdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mountdll/Debug/mountdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mountdll/Release/mountdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mountdll/Release/mountdll.pch"
- AssemblerListingLocation=".\../../../test/mountdll/Release/"
- ObjectFile=".\../../../test/mountdll/Release/"
- ProgramDataBaseFileName=".\../../../test/mountdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/mountdll/Release/mountdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mountdll/Release/mountdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mountdll/Release/mountdll.pch"
- AssemblerListingLocation=".\../../../test/mountdll/Release/"
- ObjectFile=".\../../../test/mountdll/Release/"
- ProgramDataBaseFileName=".\../../../test/mountdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/mountdll/Release/mountdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\mount.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/mtime/mtime.vcproj b/windows/test/mtime/mtime.vcproj
deleted file mode 100644
index 57a5b41..0000000
--- a/windows/test/mtime/mtime.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="mtime"
- ProjectGUID="{40EBF7DB-330C-4F56-AE68-9FC7D75CB5D5}"
- RootNamespace="mtime"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mtime/Release/mtime.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtime/Release/mtime.pch"
- AssemblerListingLocation=".\../../../test/mtime/Release/"
- ObjectFile=".\../../../test/mtime/Release/"
- ProgramDataBaseFileName=".\../../../test/mtime/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/mtime/Release/mtime.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mtime/Release/mtime.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtime/Release/mtime.pch"
- AssemblerListingLocation=".\../../../test/mtime/Release/"
- ObjectFile=".\../../../test/mtime/Release/"
- ProgramDataBaseFileName=".\../../../test/mtime/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/mtime/Release/mtime.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mtime/Debug/mtime.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtime/Debug/mtime.pch"
- AssemblerListingLocation=".\../../../test/mtime/Debug/"
- ObjectFile=".\../../../test/mtime/Debug/"
- ProgramDataBaseFileName=".\../../../test/mtime/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mtime/Debug/mtime.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mtime/Debug/mtime.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtime/Debug/mtime.pch"
- AssemblerListingLocation=".\../../../test/mtime/Debug/"
- ObjectFile=".\../../../test/mtime/Debug/"
- ProgramDataBaseFileName=".\../../../test/mtime/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mtime/Debug/mtime.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\mtime.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/mtimedll/mtimedll.vcproj b/windows/test/mtimedll/mtimedll.vcproj
deleted file mode 100644
index dfe6c28..0000000
--- a/windows/test/mtimedll/mtimedll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="mtimedll"
- ProjectGUID="{7F1AFE93-97E7-4905-A2CF-5C845D7FDD4F}"
- RootNamespace="mtimedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mtimedll/Release/mtimedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtimedll/Release/mtimedll.pch"
- AssemblerListingLocation=".\../../../test/mtimedll/Release/"
- ObjectFile=".\../../../test/mtimedll/Release/"
- ProgramDataBaseFileName=".\../../../test/mtimedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/mtimedll/Release/mtimedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mtimedll/Release/mtimedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtimedll/Release/mtimedll.pch"
- AssemblerListingLocation=".\../../../test/mtimedll/Release/"
- ObjectFile=".\../../../test/mtimedll/Release/"
- ProgramDataBaseFileName=".\../../../test/mtimedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/mtimedll/Release/mtimedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/mtimedll/Debug/mtimedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtimedll/Debug/mtimedll.pch"
- AssemblerListingLocation=".\../../../test/mtimedll/Debug/"
- ObjectFile=".\../../../test/mtimedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/mtimedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mtimedll/Debug/mtimedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/mtimedll/Debug/mtimedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/mtimedll/Debug/mtimedll.pch"
- AssemblerListingLocation=".\../../../test/mtimedll/Debug/"
- ObjectFile=".\../../../test/mtimedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/mtimedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/mtimedll/Debug/mtimedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\mtime.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/ntypes/ntypes.vcproj b/windows/test/ntypes/ntypes.vcproj
deleted file mode 100644
index 6efa879..0000000
--- a/windows/test/ntypes/ntypes.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ntypes"
- ProjectGUID="{0A049202-6533-413E-89D6-5D6866AAE703}"
- RootNamespace="ntypes"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ntypes/Debug/ntypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypes/Debug/ntypes.pch"
- AssemblerListingLocation=".\../../../test/ntypes/Debug/"
- ObjectFile=".\../../../test/ntypes/Debug/"
- ProgramDataBaseFileName=".\../../../test/ntypes/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ntypes/Debug/ntypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ntypes/Debug/ntypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypes/Debug/ntypes.pch"
- AssemblerListingLocation=".\../../../test/ntypes/Debug/"
- ObjectFile=".\../../../test/ntypes/Debug/"
- ProgramDataBaseFileName=".\../../../test/ntypes/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ntypes/Debug/ntypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ntypes/Release/ntypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypes/Release/ntypes.pch"
- AssemblerListingLocation=".\../../../test/ntypes/Release/"
- ObjectFile=".\../../../test/ntypes/Release/"
- ProgramDataBaseFileName=".\../../../test/ntypes/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/ntypes/Release/ntypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ntypes/Release/ntypes.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypes/Release/ntypes.pch"
- AssemblerListingLocation=".\../../../test/ntypes/Release/"
- ObjectFile=".\../../../test/ntypes/Release/"
- ProgramDataBaseFileName=".\../../../test/ntypes/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/ntypes/Release/ntypes.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\ntypes.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/ntypesdll/ntypesdll.vcproj b/windows/test/ntypesdll/ntypesdll.vcproj
deleted file mode 100644
index d0eb962..0000000
--- a/windows/test/ntypesdll/ntypesdll.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ntypesdll"
- ProjectGUID="{9AAC897A-70FA-4E5E-BF48-F664C12B05C7}"
- RootNamespace="ntypesdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ntypesdll/Debug/ntypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypesdll/Debug/ntypesdll.pch"
- AssemblerListingLocation=".\../../../test/ntypesdll/Debug/"
- ObjectFile=".\../../../test/ntypesdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/ntypesdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ntypesdll/Debug/ntypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ntypesdll/Debug/ntypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypesdll/Debug/ntypesdll.pch"
- AssemblerListingLocation=".\../../../test/ntypesdll/Debug/"
- ObjectFile=".\../../../test/ntypesdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/ntypesdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ntypesdll/Debug/ntypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ntypesdll/Release/ntypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypesdll/Release/ntypesdll.pch"
- AssemblerListingLocation=".\../../../test/ntypesdll/Release/"
- ObjectFile=".\../../../test/ntypesdll/Release/"
- ProgramDataBaseFileName=".\../../../test/ntypesdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/ntypesdll/Release/ntypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ntypesdll/Release/ntypesdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ntypesdll/Release/ntypesdll.pch"
- AssemblerListingLocation=".\../../../test/ntypesdll/Release/"
- ObjectFile=".\../../../test/ntypesdll/Release/"
- ProgramDataBaseFileName=".\../../../test/ntypesdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/ntypesdll/Release/ntypesdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\ntypes.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/objcopy/objcopy.vcproj b/windows/test/objcopy/objcopy.vcproj
deleted file mode 100644
index 489a850..0000000
--- a/windows/test/objcopy/objcopy.vcproj
+++ /dev/null
@@ -1,401 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="objcopy"
- ProjectGUID="{34C0FDFA-81D6-4652-B841-894BD1A15FB0}"
- RootNamespace="objcopy"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/objcopy/Debug/objcopy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopy/Debug/objcopy.pch"
- AssemblerListingLocation=".\../../../test/objcopy/Debug/"
- ObjectFile=".\../../../test/objcopy/Debug/"
- ProgramDataBaseFileName=".\../../../test/objcopy/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/objcopy/Debug/objcopy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/objcopy/Debug/objcopy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopy/Debug/objcopy.pch"
- AssemblerListingLocation=".\../../../test/objcopy/Debug/"
- ObjectFile=".\../../../test/objcopy/Debug/"
- ProgramDataBaseFileName=".\../../../test/objcopy/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/objcopy/Debug/objcopy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/objcopy/Release/objcopy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopy/Release/objcopy.pch"
- AssemblerListingLocation=".\../../../test/objcopy/Release/"
- ObjectFile=".\../../../test/objcopy/Release/"
- ProgramDataBaseFileName=".\../../../test/objcopy/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/objcopy/Release/objcopy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/objcopy/Release/objcopy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopy/Release/objcopy.pch"
- AssemblerListingLocation=".\../../../test/objcopy/Release/"
- ObjectFile=".\../../../test/objcopy/Release/"
- ProgramDataBaseFileName=".\../../../test/objcopy/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/objcopy/Release/objcopy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\objcopy.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/objcopydll/objcopydll.vcproj b/windows/test/objcopydll/objcopydll.vcproj
deleted file mode 100644
index 8d25dbf..0000000
--- a/windows/test/objcopydll/objcopydll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="objcopydll"
- ProjectGUID="{794B7E1E-E6AD-456D-9F33-FCE317325EC4}"
- RootNamespace="objcopydll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/objcopydll/Debug/objcopydll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopydll/Debug/objcopydll.pch"
- AssemblerListingLocation=".\../../../test/objcopydll/Debug/"
- ObjectFile=".\../../../test/objcopydll/Debug/"
- ProgramDataBaseFileName=".\../../../test/objcopydll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/objcopydll/Debug/objcopydll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/objcopydll/Debug/objcopydll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopydll/Debug/objcopydll.pch"
- AssemblerListingLocation=".\../../../test/objcopydll/Debug/"
- ObjectFile=".\../../../test/objcopydll/Debug/"
- ProgramDataBaseFileName=".\../../../test/objcopydll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/objcopydll/Debug/objcopydll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/objcopydll/Release/objcopydll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopydll/Release/objcopydll.pch"
- AssemblerListingLocation=".\../../../test/objcopydll/Release/"
- ObjectFile=".\../../../test/objcopydll/Release/"
- ProgramDataBaseFileName=".\../../../test/objcopydll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/objcopydll/Release/objcopydll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/objcopydll/Release/objcopydll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/objcopydll/Release/objcopydll.pch"
- AssemblerListingLocation=".\../../../test/objcopydll/Release/"
- ObjectFile=".\../../../test/objcopydll/Release/"
- ProgramDataBaseFileName=".\../../../test/objcopydll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/objcopydll/Release/objcopydll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\objcopy.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/ohdr/ohdr.vcproj b/windows/test/ohdr/ohdr.vcproj
deleted file mode 100644
index 392ae00..0000000
--- a/windows/test/ohdr/ohdr.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ohdr"
- ProjectGUID="{DB97D6C6-2E60-47DC-AED7-4691A1D6DC05}"
- RootNamespace="ohdr"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ohdr/Release/ohdr.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdr/Release/ohdr.pch"
- AssemblerListingLocation=".\../../../test/ohdr/Release/"
- ObjectFile=".\../../../test/ohdr/Release/"
- ProgramDataBaseFileName=".\../../../test/ohdr/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/ohdr/Release/ohdr.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ohdr/Release/ohdr.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdr/Release/ohdr.pch"
- AssemblerListingLocation=".\../../../test/ohdr/Release/"
- ObjectFile=".\../../../test/ohdr/Release/"
- ProgramDataBaseFileName=".\../../../test/ohdr/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/ohdr/Release/ohdr.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ohdr/Debug/ohdr.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdr/Debug/ohdr.pch"
- AssemblerListingLocation=".\../../../test/ohdr/Debug/"
- ObjectFile=".\../../../test/ohdr/Debug/"
- ProgramDataBaseFileName=".\../../../test/ohdr/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ohdr/Debug/ohdr.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ohdr/Debug/ohdr.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdr/Debug/ohdr.pch"
- AssemblerListingLocation=".\../../../test/ohdr/Debug/"
- ObjectFile=".\../../../test/ohdr/Debug/"
- ProgramDataBaseFileName=".\../../../test/ohdr/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ohdr/Debug/ohdr.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\ohdr.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/ohdrdll/ohdrdll.vcproj b/windows/test/ohdrdll/ohdrdll.vcproj
deleted file mode 100644
index 4bf63c2..0000000
--- a/windows/test/ohdrdll/ohdrdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ohdrdll"
- ProjectGUID="{37605955-FA00-41C9-9D39-D078CF270376}"
- RootNamespace="ohdrdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ohdrdll/Release/ohdrdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdrdll/Release/ohdrdll.pch"
- AssemblerListingLocation=".\../../../test/ohdrdll/Release/"
- ObjectFile=".\../../../test/ohdrdll/Release/"
- ProgramDataBaseFileName=".\../../../test/ohdrdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/ohdrdll/Release/ohdrdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ohdrdll/Release/ohdrdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdrdll/Release/ohdrdll.pch"
- AssemblerListingLocation=".\../../../test/ohdrdll/Release/"
- ObjectFile=".\../../../test/ohdrdll/Release/"
- ProgramDataBaseFileName=".\../../../test/ohdrdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/ohdrdll/Release/ohdrdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ohdrdll/Debug/ohdrdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdrdll/Debug/ohdrdll.pch"
- AssemblerListingLocation=".\../../../test/ohdrdll/Debug/"
- ObjectFile=".\../../../test/ohdrdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/ohdrdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ohdrdll/Debug/ohdrdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ohdrdll/Debug/ohdrdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ohdrdll/Debug/ohdrdll.pch"
- AssemblerListingLocation=".\../../../test/ohdrdll/Debug/"
- ObjectFile=".\../../../test/ohdrdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/ohdrdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ohdrdll/Debug/ohdrdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\ohdr.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/overhead/overhead.vcproj b/windows/test/overhead/overhead.vcproj
deleted file mode 100644
index cea89cb..0000000
--- a/windows/test/overhead/overhead.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="overhead"
- ProjectGUID="{9A9D7ABC-ED3A-462E-9ED1-CB55F14174F3}"
- RootNamespace="overhead"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/overhead/Release/overhead.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overhead/Release/overhead.pch"
- AssemblerListingLocation=".\../../../test/overhead/Release/"
- ObjectFile=".\../../../test/overhead/Release/"
- ProgramDataBaseFileName=".\../../../test/overhead/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/overhead/Release/overhead.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/overhead/Release/overhead.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overhead/Release/overhead.pch"
- AssemblerListingLocation=".\../../../test/overhead/Release/"
- ObjectFile=".\../../../test/overhead/Release/"
- ProgramDataBaseFileName=".\../../../test/overhead/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/overhead/Release/overhead.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/overhead/Debug/overhead.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overhead/Debug/overhead.pch"
- AssemblerListingLocation=".\../../../test/overhead/Debug/"
- ObjectFile=".\../../../test/overhead/Debug/"
- ProgramDataBaseFileName=".\../../../test/overhead/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/overhead/Debug/overhead.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/overhead/Debug/overhead.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overhead/Debug/overhead.pch"
- AssemblerListingLocation=".\../../../test/overhead/Debug/"
- ObjectFile=".\../../../test/overhead/Debug/"
- ProgramDataBaseFileName=".\../../../test/overhead/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/overhead/Debug/overhead.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\overhead.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/overheaddll/overheaddll.vcproj b/windows/test/overheaddll/overheaddll.vcproj
deleted file mode 100644
index e9a0801..0000000
--- a/windows/test/overheaddll/overheaddll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="overheaddll"
- ProjectGUID="{71A1C081-FF1C-452B-B938-95551D565302}"
- RootNamespace="overheaddll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/overheaddll/Debug/overheaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overheaddll/Debug/overheaddll.pch"
- AssemblerListingLocation=".\../../../test/overheaddll/Debug/"
- ObjectFile=".\../../../test/overheaddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/overheaddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/overheaddll/Debug/overheaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/overheaddll/Debug/overheaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overheaddll/Debug/overheaddll.pch"
- AssemblerListingLocation=".\../../../test/overheaddll/Debug/"
- ObjectFile=".\../../../test/overheaddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/overheaddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/overheaddll/Debug/overheaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/overheaddll/Release/overheaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overheaddll/Release/overheaddll.pch"
- AssemblerListingLocation=".\../../../test/overheaddll/Release/"
- ObjectFile=".\../../../test/overheaddll/Release/"
- ProgramDataBaseFileName=".\../../../test/overheaddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/overheaddll/Release/overheaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/overheaddll/Release/overheaddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/overheaddll/Release/overheaddll.pch"
- AssemblerListingLocation=".\../../../test/overheaddll/Release/"
- ObjectFile=".\../../../test/overheaddll/Release/"
- ProgramDataBaseFileName=".\../../../test/overheaddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/overheaddll/Release/overheaddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\perform\overhead.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/pool/pool.vcproj b/windows/test/pool/pool.vcproj
deleted file mode 100644
index 464d240..0000000
--- a/windows/test/pool/pool.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="pool"
- ProjectGUID="{9ADAE03A-2060-471E-A7B5-9D8F6995223A}"
- RootNamespace="pool"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/pool/Release/pool.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pool/Release/pool.pch"
- AssemblerListingLocation=".\../../../test/pool/Release/"
- ObjectFile=".\../../../test/pool/Release/"
- ProgramDataBaseFileName=".\../../../test/pool/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/pool/Release/pool.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/pool/Release/pool.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pool/Release/pool.pch"
- AssemblerListingLocation=".\../../../test/pool/Release/"
- ObjectFile=".\../../../test/pool/Release/"
- ProgramDataBaseFileName=".\../../../test/pool/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/pool/Release/pool.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/pool/Debug/pool.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pool/Debug/pool.pch"
- AssemblerListingLocation=".\../../../test/pool/Debug/"
- ObjectFile=".\../../../test/pool/Debug/"
- ProgramDataBaseFileName=".\../../../test/pool/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/pool/Debug/pool.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/pool/Debug/pool.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pool/Debug/pool.pch"
- AssemblerListingLocation=".\../../../test/pool/Debug/"
- ObjectFile=".\../../../test/pool/Debug/"
- ProgramDataBaseFileName=".\../../../test/pool/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/pool/Debug/pool.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\pool.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/pooldll/pooldll.vcproj b/windows/test/pooldll/pooldll.vcproj
deleted file mode 100644
index 5346552..0000000
--- a/windows/test/pooldll/pooldll.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="pooldll"
- ProjectGUID="{DFE42486-47A2-487C-81B9-DDCDA9F07BF0}"
- RootNamespace="pooldll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/pooldll/Release/pooldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pooldll/Release/pooldll.pch"
- AssemblerListingLocation=".\../../../test/pooldll/Release/"
- ObjectFile=".\../../../test/pooldll/Release/"
- ProgramDataBaseFileName=".\../../../test/pooldll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/pooldll/Release/pooldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/pooldll/Release/pooldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pooldll/Release/pooldll.pch"
- AssemblerListingLocation=".\../../../test/pooldll/Release/"
- ObjectFile=".\../../../test/pooldll/Release/"
- ProgramDataBaseFileName=".\../../../test/pooldll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/pooldll/Release/pooldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/pooldll/Debug/pooldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pooldll/Debug/pooldll.pch"
- AssemblerListingLocation=".\../../../test/pooldll/Debug/"
- ObjectFile=".\../../../test/pooldll/Debug/"
- ProgramDataBaseFileName=".\../../../test/pooldll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/pooldll/Debug/pooldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/pooldll/Debug/pooldll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/pooldll/Debug/pooldll.pch"
- AssemblerListingLocation=".\../../../test/pooldll/Debug/"
- ObjectFile=".\../../../test/pooldll/Debug/"
- ProgramDataBaseFileName=".\../../../test/pooldll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/pooldll/Debug/pooldll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\pool.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/reserved/reserved.vcproj b/windows/test/reserved/reserved.vcproj
deleted file mode 100644
index 2bbbd3d..0000000
--- a/windows/test/reserved/reserved.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="reserved"
- ProjectGUID="{2248C52C-75DC-465B-A598-6E89CC93E00D}"
- RootNamespace="reserved"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/reserved/Debug/reserved.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserved/Debug/reserved.pch"
- AssemblerListingLocation=".\../../../test/reserved/Debug/"
- ObjectFile=".\../../../test/reserved/Debug/"
- ProgramDataBaseFileName=".\../../../test/reserved/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/reserved/Debug/reserved.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/reserved/Debug/reserved.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserved/Debug/reserved.pch"
- AssemblerListingLocation=".\../../../test/reserved/Debug/"
- ObjectFile=".\../../../test/reserved/Debug/"
- ProgramDataBaseFileName=".\../../../test/reserved/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/reserved/Debug/reserved.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/reserved/Release/reserved.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserved/Release/reserved.pch"
- AssemblerListingLocation=".\../../../test/reserved/Release/"
- ObjectFile=".\../../../test/reserved/Release/"
- ProgramDataBaseFileName=".\../../../test/reserved/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/reserved/Release/reserved.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/reserved/Release/reserved.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserved/Release/reserved.pch"
- AssemblerListingLocation=".\../../../test/reserved/Release/"
- ObjectFile=".\../../../test/reserved/Release/"
- ProgramDataBaseFileName=".\../../../test/reserved/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/reserved/Release/reserved.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\reserved.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/reserveddll/reserveddll.vcproj b/windows/test/reserveddll/reserveddll.vcproj
deleted file mode 100644
index ca4d75c..0000000
--- a/windows/test/reserveddll/reserveddll.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="reserveddll"
- ProjectGUID="{C2E6106F-1450-4F62-8D8E-17A93E986B26}"
- RootNamespace="reserveddll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/reserveddll/Debug/reserveddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserveddll/Debug/reserveddll.pch"
- AssemblerListingLocation=".\../../../test/reserveddll/Debug/"
- ObjectFile=".\../../../test/reserveddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/reserveddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/reserveddll/Debug/reserveddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/reserveddll/Debug/reserveddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserveddll/Debug/reserveddll.pch"
- AssemblerListingLocation=".\../../../test/reserveddll/Debug/"
- ObjectFile=".\../../../test/reserveddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/reserveddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/reserveddll/Debug/reserveddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/reserveddll/Release/reserveddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserveddll/Release/reserveddll.pch"
- AssemblerListingLocation=".\../../../test/reserveddll/Release/"
- ObjectFile=".\../../../test/reserveddll/Release/"
- ProgramDataBaseFileName=".\../../../test/reserveddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/reserveddll/Release/reserveddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/reserveddll/Release/reserveddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/reserveddll/Release/reserveddll.pch"
- AssemblerListingLocation=".\../../../test/reserveddll/Release/"
- ObjectFile=".\../../../test/reserveddll/Release/"
- ProgramDataBaseFileName=".\../../../test/reserveddll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/reserveddll/Release/reserveddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\reserved.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/set_extent/set_extent.vcproj b/windows/test/set_extent/set_extent.vcproj
deleted file mode 100644
index 9ae1a06..0000000
--- a/windows/test/set_extent/set_extent.vcproj
+++ /dev/null
@@ -1,397 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="set_extent"
- ProjectGUID="{E81413CC-046C-42B0-B862-0BB81AED2854}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/set_extent/Debug/set_extent.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extent/Debug/set_extent.pch"
- AssemblerListingLocation=".\../../../test/set_extent/Debug/"
- ObjectFile=".\../../../test/set_extent/Debug/"
- ProgramDataBaseFileName=".\../../../test/set_extent/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/set_extent/Debug/set_extent.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/set_extent/Debug/set_extent.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extent/Debug/set_extent.pch"
- AssemblerListingLocation=".\../../../test/set_extent/Debug/"
- ObjectFile=".\../../../test/set_extent/Debug/"
- ProgramDataBaseFileName=".\../../../test/set_extent/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/set_extent/Debug/set_extent.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/set_extent/Release/set_extent.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extent/Release/set_extent.pch"
- AssemblerListingLocation=".\../../../test/set_extent/Release/"
- ObjectFile=".\../../../test/set_extent/Release/"
- ProgramDataBaseFileName=".\../../../test/set_extent/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/set_extent/Release/set_extent.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/set_extent/Release/set_extent.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extent/Release/set_extent.pch"
- AssemblerListingLocation=".\../../../test/set_extent/Release/"
- ObjectFile=".\../../../test/set_extent/Release/"
- ProgramDataBaseFileName=".\../../../test/set_extent/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="1"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/set_extent/Release/set_extent.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\set_extent.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/set_extentdll/set_extentdll.vcproj b/windows/test/set_extentdll/set_extentdll.vcproj
deleted file mode 100644
index 98691a5..0000000
--- a/windows/test/set_extentdll/set_extentdll.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="set_extentdll"
- ProjectGUID="{14DB1F8E-0BF6-4E9D-8372-5EA9ED48347F}"
- RootNamespace="set_extentdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/set_extentdll/Debug/set_extentdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extentdll/Debug/set_extentdll.pch"
- AssemblerListingLocation=".\../../../test/set_extentdll/Debug/"
- ObjectFile=".\../../../test/set_extentdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/set_extentdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/set_extentdll/Debug/set_extentdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/set_extentdll/Debug/set_extentdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extentdll/Debug/set_extentdll.pch"
- AssemblerListingLocation=".\../../../test/set_extentdll/Debug/"
- ObjectFile=".\../../../test/set_extentdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/set_extentdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/set_extentdll/Debug/set_extentdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/set_extentdll/Release/set_extentdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extentdll/Release/set_extentdll.pch"
- AssemblerListingLocation=".\../../../test/set_extentdll/Release/"
- ObjectFile=".\../../../test/set_extentdll/Release/"
- ProgramDataBaseFileName=".\../../../test/set_extentdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/set_extentdll/Release/set_extentdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/set_extentdll/Release/set_extentdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/set_extentdll/Release/set_extentdll.pch"
- AssemblerListingLocation=".\../../../test/set_extentdll/Release/"
- ObjectFile=".\../../../test/set_extentdll/Release/"
- ProgramDataBaseFileName=".\../../../test/set_extentdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1028"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/set_extentdll/Release/set_extentdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\set_extent.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/stab/stab.vcproj b/windows/test/stab/stab.vcproj
deleted file mode 100644
index e5bbfdc..0000000
--- a/windows/test/stab/stab.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="stab"
- ProjectGUID="{17DC13C3-78E0-4EF5-B7B1-87EB1A379D2A}"
- RootNamespace="stab"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/stab/Debug/stab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stab/Debug/stab.pch"
- AssemblerListingLocation=".\../../../test/stab/Debug/"
- ObjectFile=".\../../../test/stab/Debug/"
- ProgramDataBaseFileName=".\../../../test/stab/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/stab/Debug/stab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/stab/Debug/stab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stab/Debug/stab.pch"
- AssemblerListingLocation=".\../../../test/stab/Debug/"
- ObjectFile=".\../../../test/stab/Debug/"
- ProgramDataBaseFileName=".\../../../test/stab/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/stab/Debug/stab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/stab/Release/stab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stab/Release/stab.pch"
- AssemblerListingLocation=".\../../../test/stab/Release/"
- ObjectFile=".\../../../test/stab/Release/"
- ProgramDataBaseFileName=".\../../../test/stab/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/stab/Release/stab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/stab/Release/stab.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stab/Release/stab.pch"
- AssemblerListingLocation=".\../../../test/stab/Release/"
- ObjectFile=".\../../../test/stab/Release/"
- ProgramDataBaseFileName=".\../../../test/stab/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/stab/Release/stab.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\stab.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/stabdll/stabdll.vcproj b/windows/test/stabdll/stabdll.vcproj
deleted file mode 100644
index a954b4e..0000000
--- a/windows/test/stabdll/stabdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="stabdll"
- ProjectGUID="{7CF4F18C-3C74-4EBB-AD76-F41575D7A5A0}"
- RootNamespace="stabdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/stabdll/Debug/stabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stabdll/Debug/stabdll.pch"
- AssemblerListingLocation=".\../../../test/stabdll/Debug/"
- ObjectFile=".\../../../test/stabdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/stabdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/stabdll/Debug/stabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/stabdll/Debug/stabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stabdll/Debug/stabdll.pch"
- AssemblerListingLocation=".\../../../test/stabdll/Debug/"
- ObjectFile=".\../../../test/stabdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/stabdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/stabdll/Debug/stabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/stabdll/Release/stabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stabdll/Release/stabdll.pch"
- AssemblerListingLocation=".\../../../test/stabdll/Release/"
- ObjectFile=".\../../../test/stabdll/Release/"
- ProgramDataBaseFileName=".\../../../test/stabdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/stabdll/Release/stabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/stabdll/Release/stabdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/stabdll/Release/stabdll.pch"
- AssemblerListingLocation=".\../../../test/stabdll/Release/"
- ObjectFile=".\../../../test/stabdll/Release/"
- ProgramDataBaseFileName=".\../../../test/stabdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/stabdll/Release/stabdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\stab.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/tcheckversion/tcheckversion.vcproj b/windows/test/tcheckversion/tcheckversion.vcproj
deleted file mode 100644
index 3f3c8c9..0000000
--- a/windows/test/tcheckversion/tcheckversion.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="tcheckversion"
- ProjectGUID="{DFB6DCC1-2E00-4566-B935-F32172FDA483}"
- RootNamespace="tcheckversion"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/tcheckversion/Release/tcheckversion.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversion/Release/tcheckversion.pch"
- AssemblerListingLocation=".\../../../test/tcheckversion/Release/"
- ObjectFile=".\../../../test/tcheckversion/Release/"
- ProgramDataBaseFileName=".\../../../test/tcheckversion/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/tcheckversion/Release/tcheckversion.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/tcheckversion/Release/tcheckversion.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversion/Release/tcheckversion.pch"
- AssemblerListingLocation=".\../../../test/tcheckversion/Release/"
- ObjectFile=".\../../../test/tcheckversion/Release/"
- ProgramDataBaseFileName=".\../../../test/tcheckversion/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/tcheckversion/Release/tcheckversion.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/tcheckversion/Debug/tcheckversion.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversion/Debug/tcheckversion.pch"
- AssemblerListingLocation=".\../../../test/tcheckversion/Debug/"
- ObjectFile=".\../../../test/tcheckversion/Debug/"
- ProgramDataBaseFileName=".\../../../test/tcheckversion/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/tcheckversion/Debug/tcheckversion.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/tcheckversion/Debug/tcheckversion.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversion/Debug/tcheckversion.pch"
- AssemblerListingLocation=".\../../../test/tcheckversion/Debug/"
- ObjectFile=".\../../../test/tcheckversion/Debug/"
- ProgramDataBaseFileName=".\../../../test/tcheckversion/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/tcheckversion/Debug/tcheckversion.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\tcheck_version.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/tcheckversiondll/tcheckversiondll.vcproj b/windows/test/tcheckversiondll/tcheckversiondll.vcproj
deleted file mode 100644
index 7fccf05..0000000
--- a/windows/test/tcheckversiondll/tcheckversiondll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="tcheckversiondll"
- ProjectGUID="{7B3EB7A5-DA01-4488-A06B-63E2941EE078}"
- RootNamespace="tcheckversiondll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/tcheckversiondll/Debug/tcheckversiondll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversiondll/Debug/tcheckversiondll.pch"
- AssemblerListingLocation=".\../../../test/tcheckversiondll/Debug/"
- ObjectFile=".\../../../test/tcheckversiondll/Debug/"
- ProgramDataBaseFileName=".\../../../test/tcheckversiondll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/tcheckversiondll/Debug/tcheckversiondll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/tcheckversiondll/Debug/tcheckversiondll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversiondll/Debug/tcheckversiondll.pch"
- AssemblerListingLocation=".\../../../test/tcheckversiondll/Debug/"
- ObjectFile=".\../../../test/tcheckversiondll/Debug/"
- ProgramDataBaseFileName=".\../../../test/tcheckversiondll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/tcheckversiondll/Debug/tcheckversiondll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/tcheckversiondll/Release/tcheckversiondll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversiondll/Release/tcheckversiondll.pch"
- AssemblerListingLocation=".\../../../test/tcheckversiondll/Release/"
- ObjectFile=".\../../../test/tcheckversiondll/Release/"
- ProgramDataBaseFileName=".\../../../test/tcheckversiondll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/tcheckversiondll/Release/tcheckversiondll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/tcheckversiondll/Release/tcheckversiondll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\test,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tcheckversiondll/Release/tcheckversiondll.pch"
- AssemblerListingLocation=".\../../../test/tcheckversiondll/Release/"
- ObjectFile=".\../../../test/tcheckversiondll/Release/"
- ProgramDataBaseFileName=".\../../../test/tcheckversiondll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/tcheckversiondll/Release/tcheckversiondll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\tcheck_version.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/tellub/tellub.vcproj b/windows/test/tellub/tellub.vcproj
deleted file mode 100644
index a8be4e3..0000000
--- a/windows/test/tellub/tellub.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="tellub"
- ProjectGUID="{A26C50E9-D3FB-4490-9CD7-606EB2E77D21}"
- RootNamespace="tellub"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/tellub/Release/tellub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tellub/Release/tellub.pch"
- AssemblerListingLocation=".\../../../test/tellub/Release/"
- ObjectFile=".\../../../test/tellub/Release/"
- ProgramDataBaseFileName=".\../../../test/tellub/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/tellub/Release/tellub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/tellub/Release/tellub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tellub/Release/tellub.pch"
- AssemblerListingLocation=".\../../../test/tellub/Release/"
- ObjectFile=".\../../../test/tellub/Release/"
- ProgramDataBaseFileName=".\../../../test/tellub/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/tellub/Release/tellub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/tellub/Debug/tellub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tellub/Debug/tellub.pch"
- AssemblerListingLocation=".\../../../test/tellub/Debug/"
- ObjectFile=".\../../../test/tellub/Debug/"
- ProgramDataBaseFileName=".\../../../test/tellub/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/tellub/Debug/tellub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/tellub/Debug/tellub.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/tellub/Debug/tellub.pch"
- AssemblerListingLocation=".\../../../test/tellub/Debug/"
- ObjectFile=".\../../../test/tellub/Debug/"
- ProgramDataBaseFileName=".\../../../test/tellub/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/tellub/Debug/tellub.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5jam\tellub.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/testerror.bat b/windows/test/testerror.bat
deleted file mode 100644
index 24d39e0..0000000
--- a/windows/test/testerror.bat
+++ /dev/null
@@ -1,204 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for test_error and err_compat
-rem
-rem Created: Scott Wegner, 8/16/07
-rem Modified:
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem Determine backwards compatibility options enabled
-rem set deprecated_symbols=%deprecated_symbols%
-
-set /a nerrors=0
-set verbose=yes
-
-if not exist .\testfiles mkdir testfiles
-
-goto main
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%a
- ) )
- )
- set test_msg=%test_msg%
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. If a test fails then increment
-rem the `nerrors' global variable and (if $verbose is set) display the
-rem difference between the actual output and the expected output. The
-rem expected output is given as the first argument to this function and
-rem the actual output file is calculated by replacing the `.ddl' with
-rem `.out'. The actual output is not removed if $HDF5_NOCLEANUP has a
-rem non-zero value.
-rem
-:test
- rem The test name
- set test_err=%1%3
- rem The path of the test binary
- set test_err_bin=%cd%\%test_err%\%2\%test_err%
-
- set expect1=%cd%\testfiles\%~n1_1
- set expect2=%cd%\testfiles\%~n1_2
- set expect1_parsed=%~n1_1.parsed
- set expect2_parsed=%~n1_2.parsed
- set actual=%~n1.out
- set actual_err=%~n1.err
- set actual_ext=%~n1.ext
-
- rem Run test
- (
- echo.#############################
- echo.Expected output for %1
- echo.#############################
- %test_err_bin%
- ) >%actual% 2>%actual_err%
- rem Extract file name, line number, version and thread IDs because they may
- rem be different
-
- rem Also filter out lines starting with *****, because Windows treats these
- rem as wildcards, and parses as filenames. -SJW, 8/16/07
- type nul > %actual_ext%
- for /f "delims=" %%a in (%actual_err%) do (
- set line_tmp=%%a
- if not "!line_tmp:~0,9!"=="*********" (
- set line=
- set last_token=
- set skip=
- for %%b in (%%a) do (
- if not defined skip (
- if "!last_token!"=="thread" (
- set line=!line! ^(IDs^):
-
- ) else if "!last_token!"=="some" (
- if "%%b"=="thread:" (
- set line=!line! thread ^(IDs^):
- set skip=yes
- ) else (
- set line=!line! some %%b
- )
-
- ) else if "!last_token:~0,2!"=="#0" (
- set line=!line! ^(file name^)
-
- ) else if "!last_token!"=="HDF5" (
- rem Check if we wrap parenthesis around "version (number)"
- set version_token=%%b
- if "!version_token:~0,1!"=="(" (
- set line=!line! ^(version ^(number^)^)
- ) else (
- set line=!line! version ^(number^).
- )
-
- ) else if "!last_token!"=="line" (
- set line=!line! ^(number^)
-
- ) else if not "%%b"=="some" (
- set line=!line! %%b
- )
- set last_token=%%b
- )
- )
- echo.!line!>>%actual_ext%
- )
- )
- type %actual_ext% >> %actual%
-
- rem We parse through our expected output file in a similar way, because
- rem Windows will parse out commas and other special characters as well.
- rem -SJW, 8/16/07
- for %%a in (expect1 expect2) do (
- type nul > !%%a_parsed!
- for /f "delims=" %%b in (!%%a!) do (
- set line_tmp=%%b
- if not "!line_tmp:~0,9!"=="*********" (
- set line=
- for %%c in (%%b) do (
- set line=!line! %%c
- )
- echo.!line!>>!%%a_parsed!
- )
- )
- )
-
- fc /w %expect1_parsed% %actual% > nul
- if errorlevel 0 (
- call :testing PASSED %test_err%
- ) else (
- fc /w %expect2_parsed% %actual% > nul
- if errorlevel 0 (
- call :testing PASSED %test_err%
- ) else (
- call :testing *FAILED* %test_err%
- echo. Expected result differs from actual result
- set /a nerrors=%nerrors%+1
- if "yes"=="%verbose%" fc %expect1_parsed% %actual%
- )
- )
-
- rem Clean up output file
- if not defined HDF5_NOCLEANUP (
- for %%a in (%actual% %actual_err% %actual_ext% %expect1_parsed% %expect2_parsed%) do del /f %%a
- )
-
- exit /b
-
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %*
-
- exit /b
-
-
-rem ##############################################################################
-rem ##############################################################################
-rem ### T H E T E S T S ###
-rem ##############################################################################
-rem ##############################################################################
-
-:main
-
- rem test for err_compat
- if "%deprecated_symbols%"=="yes" (
- call :skip err_compat %1 %2
- ) else (
- call :test err_compat %1 %2
- )
-
- rem test for error_test
- call :test error_test %1 %2
-
- if "%nerrors%"=="0" (
- echo.All Error API tests passed.
- ) else (
- echo.** FAILED Error API tests
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/test/testhdf5/testhdf5.vcproj b/windows/test/testhdf5/testhdf5.vcproj
deleted file mode 100644
index 326da30..0000000
--- a/windows/test/testhdf5/testhdf5.vcproj
+++ /dev/null
@@ -1,492 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="testhdf5"
- ProjectGUID="{D1518671-CB9D-471F-8BCE-A03DE67F26B1}"
- RootNamespace="testhdf5"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/testhdf5/Debug/testhdf5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;_DEBUG;WIN32"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5/Debug/testhdf5.pch"
- AssemblerListingLocation=".\../../../test/testhdf5/Debug/"
- ObjectFile=".\../../../test/testhdf5/Debug/"
- ProgramDataBaseFileName=".\../../../test/testhdf5/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/testhdf5/Debug/testhdf5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/testhdf5/Debug/testhdf5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;_DEBUG;WIN32"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5/Debug/testhdf5.pch"
- AssemblerListingLocation=".\../../../test/testhdf5/Debug/"
- ObjectFile=".\../../../test/testhdf5/Debug/"
- ProgramDataBaseFileName=".\../../../test/testhdf5/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/testhdf5/Debug/testhdf5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/testhdf5/Release/testhdf5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;NDEBUG;WIN32"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5/Release/testhdf5.pch"
- AssemblerListingLocation=".\../../../test/testhdf5/Release/"
- ObjectFile=".\../../../test/testhdf5/Release/"
- ProgramDataBaseFileName=".\../../../test/testhdf5/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/testhdf5/Release/testhdf5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/testhdf5/Release/testhdf5.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;NDEBUG;WIN32"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5/Release/testhdf5.pch"
- AssemblerListingLocation=".\../../../test/testhdf5/Release/"
- ObjectFile=".\../../../test/testhdf5/Release/"
- ProgramDataBaseFileName=".\../../../test/testhdf5/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/testhdf5/Release/testhdf5.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\tarray.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tattr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tchecksum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tconfig.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tcoords.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\testhdf5.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tfile.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tgenprop.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\th5o.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\th5s.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\theap.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tid.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\titerate.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tmeta.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tmisc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\trefer.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\trefstr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tselect.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tskiplist.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tsohm.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttime.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttst.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tunicode.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tvlstr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tvltypes.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/testhdf5dll/testhdf5dll.vcproj b/windows/test/testhdf5dll/testhdf5dll.vcproj
deleted file mode 100644
index 21fdfd0..0000000
--- a/windows/test/testhdf5dll/testhdf5dll.vcproj
+++ /dev/null
@@ -1,484 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="testhdf5dll"
- ProjectGUID="{D1FD44F8-8263-4B29-985D-21CE26F45A76}"
- RootNamespace="testhdf5dll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/testhdf5dll/Release/testhdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;_HDF5USEDLL_;NDEBUG;WIN32;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5dll/Release/testhdf5dll.pch"
- AssemblerListingLocation=".\../../../test/testhdf5dll/Release/"
- ObjectFile=".\../../../test/testhdf5dll/Release/"
- ProgramDataBaseFileName=".\../../../test/testhdf5dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/testhdf5dll/Release/testhdf5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/testhdf5dll/Release/testhdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;_HDF5USEDLL_;NDEBUG;WIN32;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5dll/Release/testhdf5dll.pch"
- AssemblerListingLocation=".\../../../test/testhdf5dll/Release/"
- ObjectFile=".\../../../test/testhdf5dll/Release/"
- ProgramDataBaseFileName=".\../../../test/testhdf5dll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/testhdf5dll/Release/testhdf5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/testhdf5dll/Debug/testhdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;_HDF5USEDLL_;_DEBUG;WIN32;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5dll/Debug/testhdf5dll.pch"
- AssemblerListingLocation=".\../../../test/testhdf5dll/Debug/"
- ObjectFile=".\../../../test/testhdf5dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/testhdf5dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/testhdf5dll/Debug/testhdf5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/testhdf5dll/Debug/testhdf5dll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_CONSOLE;_HDF5USEDLL_;_DEBUG;WIN32;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/testhdf5dll/Debug/testhdf5dll.pch"
- AssemblerListingLocation=".\../../../test/testhdf5dll/Debug/"
- ObjectFile=".\../../../test/testhdf5dll/Debug/"
- ProgramDataBaseFileName=".\../../../test/testhdf5dll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/testhdf5dll/Debug/testhdf5dll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\tarray.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tattr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tchecksum.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tconfig.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tcoords.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\testhdf5.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tfile.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tgenprop.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\th5o.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\th5s.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\theap.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tid.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\titerate.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tmeta.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tmisc.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\trefer.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\trefstr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tselect.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tskiplist.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tsohm.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttime.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttst.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tunicode.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tvlstr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\tvltypes.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/ttsafedll/ttsafedll.vcproj b/windows/test/ttsafedll/ttsafedll.vcproj
deleted file mode 100644
index a364040..0000000
--- a/windows/test/ttsafedll/ttsafedll.vcproj
+++ /dev/null
@@ -1,415 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="ttsafedll"
- ProjectGUID="{DDDFC0AC-2ECB-4930-9C83-788AC7C1343E}"
- RootNamespace="ttsafedll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ttsafedll/Debug/ttsafedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ttsafedll/Debug/ttsafedll.pch"
- AssemblerListingLocation=".\../../../test/ttsafedll/Debug/"
- ObjectFile=".\../../../test/ttsafedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/ttsafedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) pthreadVC2.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ttsafedll/Debug/ttsafedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ttsafedll/Debug/ttsafedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ttsafedll/Debug/ttsafedll.pch"
- AssemblerListingLocation=".\../../../test/ttsafedll/Debug/"
- ObjectFile=".\../../../test/ttsafedll/Debug/"
- ProgramDataBaseFileName=".\../../../test/ttsafedll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) pthreadVC2.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/ttsafedll/Debug/ttsafedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/ttsafedll/Release/ttsafedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ttsafedll/Release/ttsafedll.pch"
- AssemblerListingLocation=".\../../../test/ttsafedll/Release/"
- ObjectFile=".\../../../test/ttsafedll/Release/"
- ProgramDataBaseFileName=".\../../../test/ttsafedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) pthreadVC2.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/ttsafedll/Release/ttsafedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/ttsafedll/Release/ttsafedll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/ttsafedll/Release/ttsafedll.pch"
- AssemblerListingLocation=".\../../../test/ttsafedll/Release/"
- ObjectFile=".\../../../test/ttsafedll/Release/"
- ProgramDataBaseFileName=".\../../../test/ttsafedll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP) pthreadVC2.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/ttsafedll/Release/ttsafedll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\ttsafe.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttsafe_acreate.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttsafe_cancel.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttsafe_dcreate.c"
- >
- </File>
- <File
- RelativePath="..\..\..\test\ttsafe_error.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/unlink/unlink.vcproj b/windows/test/unlink/unlink.vcproj
deleted file mode 100644
index 65f6fa7..0000000
--- a/windows/test/unlink/unlink.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="unlink"
- ProjectGUID="{9321B2C5-74B3-4743-9D87-B0FDCB47373B}"
- RootNamespace="unlink"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/unlink/Release/unlink.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlink/Release/unlink.pch"
- AssemblerListingLocation=".\../../../test/unlink/Release/"
- ObjectFile=".\../../../test/unlink/Release/"
- ProgramDataBaseFileName=".\../../../test/unlink/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/unlink/Release/unlink.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/unlink/Release/unlink.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlink/Release/unlink.pch"
- AssemblerListingLocation=".\../../../test/unlink/Release/"
- ObjectFile=".\../../../test/unlink/Release/"
- ProgramDataBaseFileName=".\../../../test/unlink/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/unlink/Release/unlink.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/unlink/Debug/unlink.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlink/Debug/unlink.pch"
- AssemblerListingLocation=".\../../../test/unlink/Debug/"
- ObjectFile=".\../../../test/unlink/Debug/"
- ProgramDataBaseFileName=".\../../../test/unlink/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/unlink/Debug/unlink.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/unlink/Debug/unlink.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlink/Debug/unlink.pch"
- AssemblerListingLocation=".\../../../test/unlink/Debug/"
- ObjectFile=".\../../../test/unlink/Debug/"
- ProgramDataBaseFileName=".\../../../test/unlink/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/unlink/Debug/unlink.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\unlink.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/unlinkdll/unlinkdll.vcproj b/windows/test/unlinkdll/unlinkdll.vcproj
deleted file mode 100644
index 83d0e5f..0000000
--- a/windows/test/unlinkdll/unlinkdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="unlinkdll"
- ProjectGUID="{685666ED-4640-47EE-AEA5-35B9602CA541}"
- RootNamespace="unlinkdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/unlinkdll/Release/unlinkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlinkdll/Release/unlinkdll.pch"
- AssemblerListingLocation=".\../../../test/unlinkdll/Release/"
- ObjectFile=".\../../../test/unlinkdll/Release/"
- ProgramDataBaseFileName=".\../../../test/unlinkdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/unlinkdll/Release/unlinkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/unlinkdll/Release/unlinkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlinkdll/Release/unlinkdll.pch"
- AssemblerListingLocation=".\../../../test/unlinkdll/Release/"
- ObjectFile=".\../../../test/unlinkdll/Release/"
- ProgramDataBaseFileName=".\../../../test/unlinkdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../test/unlinkdll/Release/unlinkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/unlinkdll/Debug/unlinkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlinkdll/Debug/unlinkdll.pch"
- AssemblerListingLocation=".\../../../test/unlinkdll/Debug/"
- ObjectFile=".\../../../test/unlinkdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/unlinkdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/unlinkdll/Debug/unlinkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/unlinkdll/Debug/unlinkdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/unlinkdll/Debug/unlinkdll.pch"
- AssemblerListingLocation=".\../../../test/unlinkdll/Debug/"
- ObjectFile=".\../../../test/unlinkdll/Debug/"
- ProgramDataBaseFileName=".\../../../test/unlinkdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/unlinkdll/Debug/unlinkdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\test\unlink.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/vfd/vfd.vcproj b/windows/test/vfd/vfd.vcproj
deleted file mode 100644
index ec1e5ae..0000000
--- a/windows/test/vfd/vfd.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="vfd"
- ProjectGUID="{744EA5E0-18C8-4757-82DE-2D0CF11DBDDE}"
- RootNamespace="vfd"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/vfd/Debug/vfd.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfd/Debug/vfd.pch"
- AssemblerListingLocation=".\../../../test/vfd/Debug/"
- ObjectFile=".\../../../test/vfd/Debug/"
- ProgramDataBaseFileName=".\../../../test/vfd/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/vfd/Debug/vfd.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/vfd/Debug/vfd.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfd/Debug/vfd.pch"
- AssemblerListingLocation=".\../../../test/vfd/Debug/"
- ObjectFile=".\../../../test/vfd/Debug/"
- ProgramDataBaseFileName=".\../../../test/vfd/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/vfd/Debug/vfd.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/vfd/release/vfd.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfd/release/vfd.pch"
- AssemblerListingLocation=".\../../../test/vfd/release/"
- ObjectFile=".\../../../test/vfd/release/"
- ProgramDataBaseFileName=".\../../../test/vfd/release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/vfd/release/vfd.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/vfd/release/vfd.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfd/release/vfd.pch"
- AssemblerListingLocation=".\../../../test/vfd/release/"
- ObjectFile=".\../../../test/vfd/release/"
- ProgramDataBaseFileName=".\../../../test/vfd/release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/vfd/release/vfd.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\vfd.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/test/vfddll/vfddll.vcproj b/windows/test/vfddll/vfddll.vcproj
deleted file mode 100644
index 44508fe..0000000
--- a/windows/test/vfddll/vfddll.vcproj
+++ /dev/null
@@ -1,403 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="vfddll"
- ProjectGUID="{0C5E3F36-3338-4B2C-A956-4D577B6119E7}"
- RootNamespace="vfddll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/vfddll/release/vfddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfddll/release/vfddll.pch"
- AssemblerListingLocation=".\../../../test/vfddll/release/"
- ObjectFile=".\../../../test/vfddll/release/"
- ProgramDataBaseFileName=".\../../../test/vfddll/release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/vfddll/release/vfddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/vfddll/release/vfddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfddll/release/vfddll.pch"
- AssemblerListingLocation=".\../../../test/vfddll/release/"
- ObjectFile=".\../../../test/vfddll/release/"
- ProgramDataBaseFileName=".\../../../test/vfddll/release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../test/vfddll/release/vfddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../test/vfddll/Debug/vfddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfddll/Debug/vfddll.pch"
- AssemblerListingLocation=".\../../../test/vfddll/Debug/"
- ObjectFile=".\../../../test/vfddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/vfddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/vfddll/Debug/vfddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\test\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../test/vfddll/Debug/vfddll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_;_HDF5TESTUSEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../test/vfddll/Debug/vfddll.pch"
- AssemblerListingLocation=".\../../../test/vfddll/Debug/"
- ObjectFile=".\../../../test/vfddll/Debug/"
- ProgramDataBaseFileName=".\../../../test/vfddll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../test/vfddll/Debug/vfddll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\test\vfd.c"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/checktools.bat b/windows/tools/checktools.bat
deleted file mode 100644
index 87f30ca..0000000
--- a/windows/tools/checktools.bat
+++ /dev/null
@@ -1,164 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the hdf5 tools
-rem
-rem Created: Scott Wegner, 9/4/07
-rem Modified: Scott Wegner, 9/6/07
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set /a nerrors=0
-
-rem Clean any variables starting with "HDF5_TOOL_", as we use these for our
-rem tests. Also clear "HDF5_TOOL_TESTS", as we will be addding all of our tests
-rem to this variable.
-rem Set at least one variable in set beforehand to avoid error message.
-rem --SJW 9/5/07
-set hdf5_tool_=foo
-for /f "tokens=1 delims==" %%a in ('set hdf5_tool_') do set %%a=
-set hdf5_tool_tests=
-
-goto main
-
-
-rem Function to add a test to the test suite.
-rem Expects the following parameters:
-rem %1 - Name of the tool being tested
-rem %2 - Relative path of script
-:add_test
-
- set hdf5_tool_tests=%hdf5_tool_tests% %1
- set hdf5_tool_%1_test=%CD%\%2\%1
-
- exit /b
-
-
-rem Run all of the tests that have been added to the suite. Print a header
-rem at the beginning of each one. Short-circuit if a test fails.
-rem Expects the following parameters:
-rem %1 - release or debug version
-rem %2 - "dll" or nothing
-:run_tests
- for %%a in (%hdf5_tool_tests%) do (
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^)
- echo.************************************
-
- rem Only add our parameters for batch scripts.
- call !hdf5_tool_%%a_test:.bat= %1 %2!
- rem Exit early if test fails.
- if errorlevel 1 (
- set /a nerrors=!nerrors!+1
- echo.
- echo.************************************
- echo. Testing %%a ^(%1 %2^) FAILED
- exit /b 1
- )
- )
-
- rem If we get here, that means all of our tests passed.
- exit /b
-
-
-rem This is where we add tests to the suite, and run them all at the end.
-rem Make sure only to run dll versions of tests you build dll for.
-rem Also make sure to add *.bat to batch scripts, as the above functions rely
-rem on it for sending parameters. --SJW 9/6/07
-:main
-
- rem lib tests
- call :add_test talign%2 talign%2\%1
-
- rem h5dump tests
- rem Test commented because it produces output in the wrong directory.
- rem --SJW 9/5/07
- rem if not "%2"=="dll" (
- rem call :add_test h5dumptst .\testfiles\h5dumptst\%1
- rem )
- call :add_test testh5dump.bat .\h5dump
- call :add_test testh5dumpxml.bat .\h5dump
-
- rem h5diff tests
- rem Test commented because it produces output in the wrong directory.
- rem --SJW 9/5/07
- rem if not "%2"=="dll" (
- rem call :add_test h5difftst .\testfiles\h5difftst\%1
- rem )
- call :add_test testh5diff.bat .\h5diff
-
- rem h5ls tests
- call :add_test testh5ls.bat .\h5ls
-
- rem misc tests
- rem Test commented because we don't built it on Windows
- rem --SJW 9/5/07
- rem call :add_test h5stat_gentest .\testfiles\h5stat_gentest%2\%1
- call :add_test testh5repart.bat .\h5repart
- if not "%2"=="dll" (
- call :add_test testh5mkgrp.bat .\h5mkgrp
- )
-
- rem h5import tests
- rem Test commented because it produces output in the wrong directory.
- rem --SJW 9/5/07
- rem if not "%2"=="dll" (
- rem call :add_test h5importtest .\testfiles\h5importtest\%1
- rem )
- call :add_test h5importtestutil.bat .\h5import
-
- rem h5repack tests
- call :add_test h5repack.bat .\h5repack
- if not "%2"=="dll" (
- call :add_test h5repacktst .\testfiles\h5repacktst\%1
- )
-
- rem h5jam tests
- if not "%2"=="dll" (
- call :add_test testh5jam.bat .\h5jam
- )
-
- rem h5copy tests
- rem Test commented because we don't built it on Windows
- rem --SJW 9/5/07
- rem call :add_test h5stat_gentest .\testfiles\h5stat_gentest%2\%1
- if not "%2"=="dll" (
- call :add_test testh5copy.bat .\h5copy
- )
-
- rem h5stat tests
- rem Test commented because it produces output in the wrong directory.
- rem --SJW 9/5/07
- rem if not "%2"=="dll" (
- rem call :add_test h5repart_gentest .\testfiles\h5repart_gentest\%1
- rem )
- call :add_test testh5stat.bat .\h5stat
-
-
- rem Run the tests, passing in which version to run
- call :run_tests %*
-
- if "%nerrors%"=="0" (
- echo.All tool tests passed.
- ) else (
- echo.** FAILED tool tests.
- )
-
- popd
- endlocal & exit /b %nerrors%
- \ No newline at end of file
diff --git a/windows/tools/h5copy/h5copy.vcproj b/windows/tools/h5copy/h5copy.vcproj
deleted file mode 100644
index 3e5c9db..0000000
--- a/windows/tools/h5copy/h5copy.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5copy"
- ProjectGUID="{69952435-F01F-46A7-B907-A78EBC864ED7}"
- RootNamespace="h5copy"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5copy/Debug/h5copy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5copy/Debug/h5copy.pch"
- AssemblerListingLocation=".\../../../tools/h5copy/Debug/"
- ObjectFile=".\../../../tools/h5copy/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5copy/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5copy/Debug/h5copy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5copy/Debug/h5copy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5copy/Debug/h5copy.pch"
- AssemblerListingLocation=".\../../../tools/h5copy/Debug/"
- ObjectFile=".\../../../tools/h5copy/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5copy/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5copy/Debug/h5copy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5copy/Release/h5copy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5copy/Release/h5copy.pch"
- AssemblerListingLocation=".\../../../tools/h5copy/Release/"
- ObjectFile=".\../../../tools/h5copy/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5copy/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5copy/Release/h5copy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5copy/Release/h5copy.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5copy/Release/h5copy.pch"
- AssemblerListingLocation=".\../../../tools/h5copy/Release/"
- ObjectFile=".\../../../tools/h5copy/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5copy/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5copy/Release/h5copy.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5copy\h5copy.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5copy/testh5copy.bat b/windows/tools/h5copy/testh5copy.bat
deleted file mode 100644
index c14b505..0000000
--- a/windows/tools/h5copy/testh5copy.bat
+++ /dev/null
@@ -1,448 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5copy tool
-rem
-rem Created: Scott Wegner, 8/16/07
-rem Modified: Scott Wegner, 8/22/07
-rem
-
-
-rem We don't currently build DLL version of h5copy, but this test script is
-rem setup to support it if we do in the future. --SJW 8/22/07
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set EXIT_SUCCESS=0
-set EXIT_FAILURE=1
-
-rem The tool name
-set h5copy=h5copy%2
-rem The path of the tool binary
-set h5copy_bin=%CD%\%1\%h5copy%
-rem The h5diff tool name
-set h5diff=h5diff%2
-rem The path of the h5diff too binary
-set h5diff_bin=%CD%\..\%h5diff%\%1\%h5diff%
-rem The h5ls tool name
-set h5ls=h5ls%2
-rem Arguments to the h5ls tool
-set h5ls_args=-Svr
-rem The path of the h5ls tool binary
-set h5ls_bin=%CD%\..\%h5ls%\%1\%h5ls%
-
-set /a nerrors=0
-set verbose=yes
-
-set srcfile1=h5copytst.h5
-set srcfile2=h5copy_ref.h5
-set hdf_ext_src_file=h5copy_extlinks_src.h5
-set hdf_ext_trg_file=h5copy_extlinks_trg.h5
-
-set indir=%CD%\testfiles
-set outdir=%CD%\..\testfiles
-
-if not exist %outdir% mkdir %outdir%
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=%test_msg%
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Verifying".
-rem
-:verify
- set verify_msg=Verifying h5diff output
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set verify_msg=!verify_msg! %%~nxa
- ) )
- )
- set verify_msg=%verify_msg%
- echo.%verify_msg:~0,69% %1
-
- exit /b
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Verifying".
-rem
-:verify_h5ls
- set verifyh5ls_msg=Verifying h5ls file structure
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set verifyh5ls_msg=!verifyh5ls_msg! %%~nxa
- ) )
- )
- set verifyh5ls_msg=%verifyh5ls_msg%
- echo.%verifyh5ls_msg:~0,69% %1
-
- exit /b
-
-
-
-rem Run a test and print PASS or *FAIL*. If h5copy can complete
-rem with exit status 0, consider it pass. If a test fails then increment
-rem the `nerrors' global variable.
-rem Assumed arguments:
-rem $1 is -i
-rem $2 is input file
-rem $3 is -o
-rem $4 is output file
-rem $* everything else arguments for h5copy.
-
-:tooltest
- set runh5diff=yes
- if "%1"=="-i" (
- set inputfile=%2
- ) else (
- set runh5diff=no
- )
- if "%3"=="-o" (
- set outputfile=%4
- ) else (
- set runh5diff=no
- )
-
- (
- echo.#############################
- echo. output for %h5copy% %*
- echo.#############################
- %h5copy_bin% %*
- ) > output.out
-
- if %errorlevel% neq 0 (
- call :testing *FAILED* %h5copy% %*
- echo.failed result is:
- type output.out
- set /a nerrors=!nerrors!+1
- ) else (
- call :testing PASSED %h5copy% %*
-
- rem Clean up output file
- if not defined HDF5_NOCLEANUP (
- del /f output.out
- )
- )
-
- if %runh5diff% neq no (
- call :h5difftest %inputfile% %outputfile% %7 %9
- )
-
- exit /b
-
-
-:tooltest_fail
- set runh5diff=yes
- if "%1"=="-i" (
- set inputfile=%2
- ) else (
- set runh5diff=no
- )
- if "%3"=="-o" (
- set outputfile=%4
- ) else (
- set runh5diff=no
- )
-
- (
- echo.#############################
- echo. output for %h5copy% %*
- echo.#############################
- %h5copy_bin% %*
- ) > output.out
-
- if %errorlevel% neq 0 (
- call :testing *FAILED* %h5copy% %*
- echo.failed result is:
- type output.out
- set /a nerrors=!nerrors!+1
- ) else (
- call :testing PASSED %h5copy% %*
-
- rem Clean up output file
- if not defined HDF5_NOCLEANUP (
- del /f output.out
- )
- )
-
- if %runh5diff% neq no (
- call :h5difftest_fail %inputfile% %outputfile% %7 %9
- )
-
- exit /b
-
-
-rem Call the h5diff tool
-rem
-:h5difftest
- %h5diff_bin% -q %*
- if %errorlevel% neq 0 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
-
- exit /b
-
-
-rem Call the h5diff tool with a call that is expected to fail
-rem
-:h5difftest_fail
- %h5diff_bin% -q %*
- if %errorlevel% neq 1 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
-
- exit /b
-
-
-rem Call the h5ls tool to verify the correct output data in the destination file
-rem
-:h5lstest
- set expect=%indir%\%~n1.ls
- set expect_parsed=%expect%.parsed
- set actual=%outdir%\%~n1.out
- set actual_parsed=%actual%.parsed
-
- rem Stderr is included in stdout so that the diff can detect
- rem any unexpected output from that stream too.
- rem
- rem Note: The modification time and storage utilization are masked off
- rem so that the output is more portable
- (
- echo.#############################
- echo.Expected output for %h5ls% %*
- echo.#############################
- %h5ls_bin% %h5ls_args% %*
- ) > %actual% 2>&1
-
- rem Windows doesn't have "sed" command, and parsing the files line-by-line
- rem to emulate Unix takes a very long time. Instead, we simply remove lines
- rem with "Modified" or "Storage". We also remove lines "Opened (filename)
- rem with sec2 driver" and "Expected output for (h5ls test)", because Windows
- rem paths differ from Linux. Do this for actual and expected otput.
- rem If there is a better alternative in the future, we should use it instead.
- rem --SJW 8/22/07
- for %%a in (expect actual) do (
- findstr /v /c:" Modified:" !%%a! > tmp.txt
- findstr /v /c:" Storage:" tmp.txt > tmp2.txt
- findstr /v /b /c:"Expected output for " tmp2.txt > tmp.txt
- findstr /v /b /c:"Opened " tmp.txt > !%%a_parsed!
- )
- del /f tmp.txt tmp2.txt
-
- rem Don't special case non-existing expected output as Linux does, because
- rem we depend on it above to parse anyway. It should be an error if it
- rem doesn't exist. --SJW 8/22/07
-rem if not exist %expect% (
-rem rem Create the expect file if it doesn't yet exist
-rem call :verify_h5ls CREATED %*
-rem copy %actual% %expect%
-rem ) else (
- fc %expect_parsed% %actual_parsed% > nul
- if %errorlevel% equ 0 (
- call :verify_h5ls PASSED %*
- ) else (
- call :verify_h5ls *FAILED* %*
- echo. Expected result ^(*.ls^) differs from actual result ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc %expect_parsed% %actual_parsed%
- )
-rem )
-
- rem Clean up output file
- if not defined HDF5_NOCLEANUP (
- for %%a in (%actual% %actual_parsed% %expect_parsed%) do del /f %%a
- )
-
- exit /b
-
-
-
-rem Copy single datasets of various forms from one group to another,
-rem adding object copied to the destination file each time
-rem
-rem Assumed arguments:
-rem <none>
-:copyobjects
-
- set testfile=%indir%\%srcfile1%
- set fileout=%outdir%\%srcfile1:.h5=.out.h5%
-
- rem Remove any output file left over from previous test run
- del /f %fileout% 2> nul
-
- echo.Test copying various forms of datasets
- call :tooltest -i %testfile% -o %fileout% -v -s simple -d simple
- call :tooltest -i %testfile% -o %fileout% -v -s chunk -d chunk
- call :tooltest -i %testfile% -o %fileout% -v -s compact -d compact
- call :tooltest -i %testfile% -o %fileout% -v -s compound -d compound
- call :tooltest -i %testfile% -o %fileout% -v -s compressed -d compressed
- call :tooltest -i %testfile% -o %fileout% -v -s named_vl -d named_vl
- call :tooltest -i %testfile% -o %fileout% -v -s nested_vl -d nested_vl
-
- echo.Test copying dataset within group in source file to root of destination
- call :tooltest -i %testfile% -o %fileout% -v -s grp_dsets/simple -d simple_top
-
- echo.Test copying ^& renaming dataset.
- call :tooltest -i %testfile% -o %fileout% -v -s compound -d rename
-
- echo.Test copying empty, 'full' ^& 'nested' groups
- call :tooltest -i %testfile% -o %fileout% -v -s grp_empty -d grp_empty
- call :tooltest -i %testfile% -o %fileout% -v -s grp_dsets -d grp_dsets
- call :tooltest -i %testfile% -o %fileout% -v -s grp_nested -d grp_nested
-
- echo.Test copying dataset within group in source file to group in destination
- call :tooltest -i %testfile% -o %fileout% -v -s /grp_dsets/simple -d /grp_dsets/simple_group
-
- echo.Test copying ^& renaming group
- call :tooltest -i %testfile% -o %fileout% -v -s grp_dsets -d grp_rename
-
- echo.Test copying 'full' group hierarchy into group in destination file
- call :tooltest -i %testfile% -o %fileout% -v -s grp_dsets -d /grp_rename/grp_dsets
-
- echo.Test copying objects into group hier. that doesn't exist yet in destination file
- call :tooltest -i %testfile% -o %fileout% -vp -s simple -d /A/B1/simple
- call :tooltest -i %testfile% -o %fileout% -vp -s simple -d /A/B2/simple2
- call :tooltest -i %testfile% -o %fileout% -vp -s /grp_dsets/simple -d /C/D/simple
- call :tooltest -i %testfile% -o %fileout% -vp -s /grp_dsets -d /E/F/grp_dsets
- call :tooltest -i %testfile% -o %fileout% -vp -s /grp_nested -d /G/H/grp_nested
-
- rem Verify that the file created above is correct
- call :h5lstest %fileout%
-
- rem Remove output file created, if the "no cleanup" environment variable is
- rem not defined
- if not defined HDF5_NOCLEANUP (
- del /f %fileout%
- )
-
- exit /b
-
-
-rem Copy references in various way.
-rem adding to the destination file each time compare the result
-rem
-rem Assumed arguments:
-rem <none>
-:copyreferences
-
- set testfile=%indir%\%srcfile2%
- set fileout=%outdir%\%srcfile2:.h5=.out.h5%
-
- rem Remove any output file left over from previous test run
- del /f %fileout% 2> nul
-
- echo.Test copying object and region references
- rem echo.TOOLTEST -f ref -i $TESTFILE -o $FILEOUT -v -s / -d /COPY
- call :tooltest -f ref -i %testfile% -o %fileout% -v -s / -d /COPY
-
- rem Verify that the file created above is correct
- call :h5lstest %fileout%
-
- rem Remove output file created, if the "no cleanup" environment variable is
- rem not defined
- if not defined HDF5_NOCLEANUP (
- del /f %fileout%
- )
-
- exit /b
-
-rem Copy external links.
-rem adding to the destination file each time compare the result
-rem
-rem Assumed arguments:
-rem <none>
-:copy_ext_links
-
- set testfile=%indir%\%hdf_ext_src_file%
- set fileout=%outdir%\%hdf_ext_src_file:.h5=.out.h5%
-
- rem Remove any output file left over from previous test run
- del /f %fileout% 2> nul
-
- echo.Test copying external link directly without -f ext
- call :tooltest -v -i %testfile% -o %fileout% -s /group_ext/extlink_dset -d /copy1_dset
-
- echo.Test copying external link directly with -f ext
- call :tooltest -f ext -i %testfile% -o %fileout% -v -s /group_ext/extlink_dset -d /copy2_dset
-
- echo.Test copying dangling external link (no obj) directly without -f ext
- call :tooltest -v -i %testfile% -o %fileout% -s /group_ext/extlink_notyet1 -d /copy_dangle1_1
-
- echo.Test copying dangling external link (no obj) directly with -f ext
- call :tooltest -f ext -i %testfile% -o %fileout% -v -s /group_ext/extlink_notyet1 -d /copy_dangle1_2
-
- echo.Test copying dangling external link (no file) directly without -f ext
- call :tooltest -v -i %testfile% -o %fileout% -s /group_ext/extlink_notyet2 -d /copy_dangle2_1
-
- echo.Test copying dangling external link (no file) directly with -f ext
- call :tooltest -f ext -i %testfile% -o %fileout% -v -s /group_ext/extlink_notyet2 -d /copy_dangle2_2
-
- echo.Test copying a group contains external links without -f ext
- call :tooltest -v -i %testfile% -o %fileout% -s /group_ext -d /copy1_group
-
- echo.Test copying a group contains external links with -f ext
- call :tooltest -f ext -i %testfile% -o %fileout% -v -f ext -s /group_ext -d /copy2_group
-
- rem Verify that the file created above is correct
- call :h5lstest %fileout%
-
- rem Remove output file created, if the "no cleanup" environment variable is
- rem not defined
- if not defined HDF5_NOCLEANUP (
- del /f %fileout%
- )
-
- exit /b
-
-rem ##############################################################################
-rem ### T H E T E S T S ###
-rem ##############################################################################
-
-:main
- call :copyobjects
- call :copyreferences
- call :copy_ext_links
-
- if %nerrors% equ 0 (
- echo.All h5copy tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5debug/h5debug.vcproj b/windows/tools/h5debug/h5debug.vcproj
deleted file mode 100644
index 82143a9..0000000
--- a/windows/tools/h5debug/h5debug.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5debug"
- ProjectGUID="{BE1A0022-708E-4CC2-B01C-26BD99AB6576}"
- RootNamespace="h5debug"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5debug/Debug/h5debug.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debug/Debug/h5debug.pch"
- AssemblerListingLocation=".\../../../tools/h5debug/Debug/"
- ObjectFile=".\../../../tools/h5debug/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5debug/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5debug/Debug/h5debug.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5debug/Debug/h5debug.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debug/Debug/h5debug.pch"
- AssemblerListingLocation=".\../../../tools/h5debug/Debug/"
- ObjectFile=".\../../../tools/h5debug/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5debug/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5debug/Debug/h5debug.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5debug/Release/h5debug.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debug/Release/h5debug.pch"
- AssemblerListingLocation=".\../../../tools/h5debug/Release/"
- ObjectFile=".\../../../tools/h5debug/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5debug/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5debug/Release/h5debug.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5debug/Release/h5debug.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debug/Release/h5debug.pch"
- AssemblerListingLocation=".\../../../tools/h5debug/Release/"
- ObjectFile=".\../../../tools/h5debug/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5debug/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5debug/Release/h5debug.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\h5debug.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5debugdll/h5debugdll.vcproj b/windows/tools/h5debugdll/h5debugdll.vcproj
deleted file mode 100644
index 4c9131e..0000000
--- a/windows/tools/h5debugdll/h5debugdll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5debugdll"
- ProjectGUID="{D10F67D0-8057-49C2-A62A-12D0C512288E}"
- RootNamespace="h5debugdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5debugdll/Release/h5debugdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debugdll/Release/h5debugdll.pch"
- AssemblerListingLocation=".\../../../tools/h5debugdll/Release/"
- ObjectFile=".\../../../tools/h5debugdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5debugdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5debugdll/Release/h5debugdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5debugdll/Release/h5debugdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debugdll/Release/h5debugdll.pch"
- AssemblerListingLocation=".\../../../tools/h5debugdll/Release/"
- ObjectFile=".\../../../tools/h5debugdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5debugdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5debugdll/Release/h5debugdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5debugdll/Debug/h5debugdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debugdll/Debug/h5debugdll.pch"
- AssemblerListingLocation=".\../../../tools/h5debugdll/Debug/"
- ObjectFile=".\../../../tools/h5debugdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5debugdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5debugdll/Debug/h5debugdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5debugdll/Debug/h5debugdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5debugdll/Debug/h5debugdll.pch"
- AssemblerListingLocation=".\../../../tools/h5debugdll/Debug/"
- ObjectFile=".\../../../tools/h5debugdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5debugdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5debugdll/Debug/h5debugdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\h5debug.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5diff/h5diff.vcproj b/windows/tools/h5diff/h5diff.vcproj
deleted file mode 100644
index 5e8de50..0000000
--- a/windows/tools/h5diff/h5diff.vcproj
+++ /dev/null
@@ -1,404 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5diff"
- ProjectGUID="{1C5A9EC8-F882-4A8A-B773-E79CD46369AF}"
- RootNamespace="h5diff"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5diff/Debug/h5diff.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diff/Debug/h5diff.pch"
- AssemblerListingLocation=".\../../../tools/h5diff/Debug/"
- ObjectFile=".\../../../tools/h5diff/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5diff/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5diff/Debug/h5diff.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5diff/Debug/h5diff.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diff/Debug/h5diff.pch"
- AssemblerListingLocation=".\../../../tools/h5diff/Debug/"
- ObjectFile=".\../../../tools/h5diff/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5diff/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5diff/Debug/h5diff.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5diff/Release/h5diff.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diff/Release/h5diff.pch"
- AssemblerListingLocation=".\../../../tools/h5diff/Release/"
- ObjectFile=".\../../../tools/h5diff/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5diff/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5diff/Release/h5diff.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5diff/Release/h5diff.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diff/Release/h5diff.pch"
- AssemblerListingLocation=".\../../../tools/h5diff/Release/"
- ObjectFile=".\../../../tools/h5diff/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5diff/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5diff/Release/h5diff.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5diff\h5diff_common.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5diff\h5diff_main.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5diff/testh5diff.bat b/windows/tools/h5diff/testh5diff.bat
deleted file mode 100644
index 491332f..0000000
--- a/windows/tools/h5diff/testh5diff.bat
+++ /dev/null
@@ -1,980 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5diff tool
-rem
-rem Created: Scott Wegner, 8/22/07
-rem Modified: Allen Byrne, 2/23/10
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem ############################################################################
-rem test file names
-rem ############################################################################
-
-set indir=%CD%\testfiles
-
-set srcfile1=h5diff_basic1.h5
-set srcfile2=h5diff_basic2.h5
-set srcfile3=h5diff_types.h5
-set srcfile4=h5diff_dtypes.h5
-set srcfile5=h5diff_attr1.h5
-set srcfile6=h5diff_attr2.h5
-set srcfile7=h5diff_dset1.h5
-set srcfile8=h5diff_dset2.h5
-set srcfile9=h5diff_hyper1.h5
-set srcfile10=h5diff_hyper2.h5
-set srcfile11=h5diff_empty.h5
-set srcfile12=h5diff_links.h5
-set srcfile13=h5diff_softlinks.h5
-set srcfile14=h5diff_linked_softlink.h5
-set srcfile15=h5diff_extlink_src.h5
-set srcfile16=h5diff_extlink_trg.h5
-set srcfile17=h5diff_ext2softlink_src.h5
-set srcfile18=h5diff_ext2softlink_trg.h5
-set srclnkfile1=h5diff_danglelinks1.h5
-set srclnkfile2=h5diff_danglelinks2.h5
-set src_grp_recurse1=h5diff_grp_recurse1.h5
-set src_grp_recurse2=h5diff_grp_recurse2.h5
-set src_grp_recurse1_ext=h5diff_grp_recurse_ext1.h5
-set src_grp_recurse2_ext1=h5diff_grp_recurse_ext2-1.h5
-set src_grp_recurse2_ext2=h5diff_grp_recurse_ext2-2.h5
-set src_grp_recurse2_ext3=h5diff_grp_recurse_ext2-3.h5
-set srcexclude1_1=h5diff_exclude1-1.h5
-set srcexclude1_2=h5diff_exclude1-2.h5
-set srcexclude2_1=h5diff_exclude2-1.h5
-set srcexclude2_2=h5diff_exclude2-2.h5
-set src_comp_vl_strs=h5diff_comp_vl_strs.h5
-set src_COMPS_ARRAY_VLEN1=compounds_array_vlen1.h5
-set src_COMPS_ARRAY_VLEN2=compounds_array_vlen2.h5
-set src_ATTR_VERBOSE_LEVEL_FILE1=h5diff_attr_v_level1.h5
-set src_ATTR_VERBOSE_LEVEL_FILE2=h5diff_attr_v_level2.h5
-
-set file1=%indir%\h5diff_basic1.h5
-set file2=%indir%\h5diff_basic2.h5
-set file3=%indir%\h5diff_types.h5
-set file4=%indir%\h5diff_dtypes.h5
-set file5=%indir%\h5diff_attr1.h5
-set file6=%indir%\h5diff_attr2.h5
-set file7=%indir%\h5diff_dset1.h5
-set file8=%indir%\h5diff_dset2.h5
-set file9=%indir%\h5diff_hyper1.h5
-set file10=%indir%\h5diff_hyper2.h5
-set file11=%indir%\h5diff_empty.h5
-set file12=%indir%\h5diff_links.h5
-set file13=%indir%\h5diff_softlinks.h5
-set file14=%indir%\h5diff_linked_softlink.h5
-set file15=%indir%\h5diff_extlink_src.h5
-set file16=%indir%\h5diff_extlink_trg.h5
-set file17=%indir%\h5diff_ext2softlink_src.h5
-set file18=%indir%\h5diff_ext2softlink_trg.h5
-set lnkfile1=%indir%\h5diff_danglelinks1.h5
-set lnkfile2=%indir%\h5diff_danglelinks2.h5
-set grp_recurse1=%indir%\h5diff_grp_recurse1.h5
-set grp_recurse2=%indir%\h5diff_grp_recurse2.h5
-set grp_recurse1_ext=%indir%\h5diff_grp_recurse_ext1.h5
-set grp_recurse2_ext1=%indir%\h5diff_grp_recurse_ext2-1.h5
-set grp_recurse2_ext2=%indir%\h5diff_grp_recurse_ext2-2.h5
-set grp_recurse2_ext3=%indir%\h5diff_grp_recurse_ext2-3.h5
-set exclude1_1=%indir%\h5diff_exclude1-1.h5
-set exclude1_2=%indir%\h5diff_exclude1-2.h5
-set exclude2_1=%indir%\h5diff_exclude2-1.h5
-set exclude2_2=%indir%\h5diff_exclude2-2.h5
-set comp_vl_strs=%indir%\h5diff_comp_vl_strs.h5
-set COMPS_ARRAY_VLEN1=%indir%\compounds_array_vlen1.h5
-set COMPS_ARRAY_VLEN2=%indir%\compounds_array_vlen2.h5
-set ATTR_VERBOSE_LEVEL_FILE1=%indir%\h5diff_attr_v_level1.h5
-set ATTR_VERBOSE_LEVEL_FILE2=%indir%\h5diff_attr_v_level2.h5
-
-
-rem The tool name
-set h5diff=h5diff%2
-rem The path of the tool binary
-set h5diff_bin=%CD%\..\%h5diff%\%1\%h5diff%
-
-set EXIT_SUCCESS=0
-set EXIT_FAILURE=1
-
-set /a nerrors=0
-set verbose=yes
-rem default to run h5diff tests
-set pmode=
-rem following not needed for windows see #10 ADB 1/22/2009
-rem mydomainname=`domainname 2>/dev/null`
-
-if not exist .\testfiles mkdir .\testfiles
-
-rem Parse options
-rem On Windows, we don't parse, because we only want to worry about
-rem debug/release and dll --SJW 9/5/07
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem On Windows, simply set up the test_msg variable, so it can be printed later
-rem with the :results function. This is because Windows doesn't support
-rem printing without a linefeed. --SJW 6/20/08
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- set test_msg=!test_msg! %%~nxa
- )
- set test_msg=%test_msg%
- set test_msg=%test_msg:~0,69%
-
- exit /b
-
-
-rem Print the testing results. Simply echo the contents of test_msg (set up
-rem above), along with the passed parameter, generall PASSED, FAILED, or -SKIP-
-:results
- echo.%test_msg% %*
-
- exit /b
-
-
-
-rem Function STDOUT_FILTER isn't technically needed on Windows, because this
-rem script will never run on platforms that require it. However, include empty
-rem interface for consistency. --SJW 8/22/07
-:stdout_filter
- exit /b
-
-
-rem Function STDERR_FILTER isn't technically needed on Windows, because this
-rem script will never run on platforms that require it. However, include empty
-rem interface for consistency. --SJW 8/22/07
-:stderr_filter
- exit /b
-
-
-
-rem Run a test and print PASS or *FAIL*. If a test fails then increment
-rem the `nerrors' global variable and (if verbose is set) display the
-rem difference between the actual output and the expected output. The
-rem expected output is given as the first argument to this function and
-rem the actual output file is calculated by replacing the `.ddl' with
-rem `.out'. The actual output is not removed if HDF5_NOCLEANUP has a
-rem non-zero value.
-rem
-:tooltest
- set expect=%CD%\testfiles\%1
- set actual=%CD%\testfiles\%~n1.out
- set actual_err=%CD%\testfiles\~n1.err
- set actual_sav=%actual%-sav
- set actual_err_sav=%actual_err%-sav
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/22/07
- set params=
- for /f "tokens=2*" %%a in ("%*") do (
- if "%%b"=="" (
- set params=%%a
- ) else (
- set params=%%a %%b
- )
- )
- rem Parallel mode not actually supported, but included for consistency.
- if defined pmode (
- rem do nothing
- )
-
- rem Run test.
- (
- rem echo.#############################
- rem rem Remove quotes here, because Linux 'echo' command strips them
- rem echo.Expected output for 'h5diff %params:"=%'
- rem echo.#############################
- pushd testfiles
- %h5diff_bin% %params%
- popd
- ) > %actual% 2> %actual_err%
- set EXIT_CODE=!errorlevel!
- rem save actual and actual_err in case they are needed later.
- copy /y %actual% %actual_sav% > nul
- call :stdout_filter %actual%
- copy /y %actual_err% %actual_err_sav% > nul
- call :stderr_filter %actual_err%
- type %actual_err% >> %actual%
- echo EXIT CODE: !EXIT_CODE! >> %actual%
-
- if not exist %expect% (
- rem Create the expect file if it doesn't yet exist.
- call :results CREATED
- copy /y %actual% %expect% > nul
- ) else (
- fc /w %expect% %actual% > nul
- if !errorlevel! equ 0 (
- call :results PASSED
- ) else (
- call :results *FAILED*
- echo. Expected result ^(%expect%^) differs from actual result ^(%actual%^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc /w %actual% %expect%
- )
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_err% %actual_sav% %actual_err_sav%
- )
-
- exit /b
-
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %h5diff% %*
-
- exit /b
-
-
-:main
-rem ############################################################################
-rem The tests
-rem To avoid the printing of the complete full path of the test file, that hides
-rem all the other parameters for long paths, the printing of the command line
-rem is done first in
-rem TESTING with the name only of the test file $TOOL, not its full path $TESTFILE
-rem ############################################################################
-
-rem ############################################################################
-rem # Common usage
-rem ############################################################################
-
-
- rem 1.0
- call :testing %h5diff% -h
- call :tooltest h5diff_10.txt -h
-
- rem 1.1 normal mode
- call :testing %h5diff% %srcfile1% %srcfile2%
- call :tooltest h5diff_11.txt %file1% %file2%
-
- rem 1.2 normal mode with objects
- call :testing %h5diff% %srcfile1% %srcfile2% g1/dset1 g1/dset2
- call :tooltest h5diff_12.txt %file1% %file2% g1/dset1 g1/dset2
-
- rem 1.3 report mode
- call :testing %h5diff% -r %srcfile1% %srcfile2%
- call :tooltest h5diff_13.txt -r %file1% %file2%
-
- rem 1.4 report mode with objects
- call :testing %h5diff% -r %srcfile1% %srcfile2% g1/dset1 g1/dset2
- call :tooltest h5diff_14.txt -r %file1% %file2% g1/dset1 g1/dset2
-
- rem 1.5 with -d
- call :testing %h5diff% --report --delta=5 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_15.txt --report --delta=5 %file1% %file2% g1/dset3 g1/dset4
-
- rem 1.6.1 with -p (int)
- call :testing %h5diff% -v -p 0.02 %srcfile1% %srcfile1% g1/dset5 g1/dset6
- call :tooltest h5diff_16_1.txt -v -p 0.02 %file1% %file1% g1/dset5 g1/dset6
-
- rem 1.6.2 with -p (unsigned long_long)
- call :testing %h5diff% --verbose --relative=0.02 %srcfile1% %srcfile1% g1/dset7 g1/dset8
- call :tooltest h5diff_16_2.txt --verbose --relative=0.02 %file1% %file1% g1/dset7 g1/dset8
-
- rem 1.6.3 with -p (double)
- call :testing %h5diff% -v -p 0.02 %srcfile1% %srcfile1% g1/dset9 g1/dset10
- call :tooltest h5diff_16_3.txt -v -p 0.02 %file1% %file1% g1/dset9 g1/dset10
-
- rem 1.7 verbose mode
- call :testing %h5diff% -v %srcfile1% %srcfile2%
- call :tooltest h5diff_17.txt -v %file1% %file2%
-
- rem 1.71 test 32-bit INFINITY
- call :testing %h5diff% -v %srcfile1% %srcfile1% /g1/fp19 /g1/fp19_COPY
- call :tooltest h5diff_171.txt -v %file1% %file1% /g1/fp19 /g1/fp19_COPY
-
- rem 1.72 test 64-bit INFINITY
- call :testing %h5diff% -v %srcfile1% %srcfile1% /g1/fp20 /g1/fp20_COPY
- call :tooltest h5diff_172.txt -v %file1% %file1% /g1/fp20 /g1/fp20_COPY
-
- rem 1.8 quiet mode
- call :testing %h5diff% -q %srcfile1% %srcfile2%
- call :tooltest h5diff_18.txt -q %file1% %file2%
-
- rem ########################################################################
- rem # not comparable types
- rem ########################################################################
-
- rem 2.0
- call :testing %h5diff% -v %srcfile3% %srcfile3% dset g1
- call :tooltest h5diff_20.txt -v %file3% %file3% dset g1
-
- rem 2.1
- call :testing %h5diff% -v %srcfile3% %srcfile3% dset l1
- call :tooltest h5diff_21.txt -v %file3% %file3% dset l1
-
- rem 2.2
- call :testing %h5diff% -v %srcfile3% %srcfile3% dset t1
- call :tooltest h5diff_22.txt -v %file3% %file3% dset t1
-
- rem #######################################################################
- rem # compare groups, types, links (no differences and differences)
- rem #######################################################################
-
- rem 2.3
- call :testing %h5diff% -v %srcfile3% %srcfile3% g1 g1
- call :tooltest h5diff_23.txt -v %file3% %file3% g1 g1
-
- rem 2.4
- call :testing %h5diff% -v %srcfile3% %srcfile3% t1 t1
- call :tooltest h5diff_24.txt -v %file3% %file3% t1 t1
-
- rem 2.5
- call :testing %h5diff% -v %srcfile3% %srcfile3% l1 l1
- call :tooltest h5diff_25.txt -v %file3% %file3% l1 l1
-
- rem 2.6
- call :testing %h5diff% -v %srcfile3% %srcfile3% g1 g2
- call :tooltest h5diff_26.txt -v %file3% %file3% g1 g2
-
- rem 2.7
- call :testing %h5diff% -v %srcfile3% %srcfile3% t1 t2
- call :tooltest h5diff_27.txt -v %file3% %file3% t1 t2
-
- rem 2.8
- call :testing %h5diff% -v %srcfile3% %srcfile3% l1 l2
- call :tooltest h5diff_28.txt -v %file3% %file3% l1 l2
-
-
-
- rem ########################################################################
- rem # Dataset datatypes
- rem ########################################################################
-
- rem 5.0
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset0a dset0b
- call :tooltest h5diff_50.txt -v %file4% %file4% dset0a dset0b
-
- rem 5.1
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset1a dset1b
- call :tooltest h5diff_51.txt -v %file4% %file4% dset1a dset1b
-
- rem 5.2
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset2a dset2b
- call :tooltest h5diff_52.txt -v %file4% %file4% dset2a dset2b
-
- rem 5.3
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset3a dset4b
- call :tooltest h5diff_53.txt -v %file4% %file4% dset3a dset4b
-
- rem 5.4
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset4a dset4b
- call :tooltest h5diff_54.txt -v %file4% %file4% dset4a dset4b
-
- rem 5.5
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset5a dset5b
- call :tooltest h5diff_55.txt -v %file4% %file4% dset5a dset5b
-
- rem 5.6
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset6a dset6b
- call :tooltest h5diff_56.txt -v %file4% %file4% dset6a dset6b
-
- rem 5.7
- call :testing %h5diff% -v %srcfile4% %srcfile4% dset7a dset7b
- call :tooltest h5diff_57.txt -v %file4% %file4% dset7a dset7b
-
- rem 5.8 (region reference)
- call :testing %h5diff% -v %srcfile7% %srcfile8% refreg
- call :tooltest h5diff_58.txt -v %file7% %file8% refreg
-
- rem ########################################################################
- rem # Error messages
- rem ########################################################################
-
-
- rem 6.0: Check if the command line number of arguments is less than 3
- call :testing %h5diff% %srcfile1%
- call :tooltest h5diff_600.txt %file1%
-
- rem 6.1: Check if non-exist object name is specified
- call :testing %h5diff% %srcfile1% %srcfile1% nono_obj
- rem SKIP this test as on Wondows legacy specific
- rem call :tooltest h5diff_601.txt %file1% %file1% nono_obj
- call :results -SKIP-
-
-
- rem ########################################################################
- rem # -d
- rem ########################################################################
-
-
- rem 6.3: negative value
- call :testing %h5diff% -d -4 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_603.txt -d -4 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.4: zero
- call :testing %h5diff% -d 0 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_604.txt -d 0 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.5: non number
- call :testing %h5diff% -d u %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_605.txt -d u %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.6: hexadecimal
- call :testing %h5diff% -d 0x1 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_606.txt -d 0x1 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.7: string
- call :testing %h5diff% -d "1" %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_607.txt -d "1" %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.8: repeated option
- call :testing %h5diff% --use-system-epsilon %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_608.txt --use-system-epsilon %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.9: number larger than biggest difference
- call :testing %h5diff% -d 200 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_609.txt -d 200 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.10: number smaller than smallest difference
- call :testing %h5diff% -d 1 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_610.txt -d 1 %file1% %file2% g1/dset3 g1/dset4
-
-
- rem ########################################################################
- rem # -p
- rem ########################################################################
-
-
-
- rem 6.12: negative value
- call :testing %h5diff% -p -4 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_612.txt -p -4 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.13: zero
- call :testing %h5diff% -p 0 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_613.txt -p 0 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.14: non number
- call :testing %h5diff% -p u %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_614.txt -p u %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.15: hexadecimal
- call :testing %h5diff% -p 0x1 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_615.txt -p 0x1 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.16: string
- call :testing %h5diff% -p "0.21" %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_616.txt -p "0.21" %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.17: repeated option
- call :testing %h5diff% -p 0.21 -p 0.22 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_617.txt -p 0.21 -p 0.22 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.18: number larger than biggest difference
- call :testing %h5diff% -p 2 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_618.txt -p 2 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.19: number smaller than smallest difference
- call :testing %h5diff% -p 0.005 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_619.txt -p 0.005 %file1% %file2% g1/dset3 g1/dset4
-
-
- rem ########################################################################
- rem # -n
- rem ########################################################################
-
- rem 6.21: negative value
- call :testing %h5diff% -n -4 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_621.txt -n -4 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.22: zero
- call :testing %h5diff% -n 0 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_622.txt -n 0 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.23: non number
- call :testing %h5diff% -n u %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_623.txt -n u %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.24: hexadecimal
- call :testing %h5diff% -n 0x1 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_624.txt -n 0x1 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.25: string
- call :testing %h5diff% -n "2" %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_625.txt -n "2" %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.26: repeated option
- call :testing %h5diff% -n 2 -n 3 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_626.txt -n 2 -n 3 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.27: number larger than biggest difference
- call :testing %h5diff% --count=200 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_627.txt --count=200 %file1% %file2% g1/dset3 g1/dset4
-
- rem 6.28: number smaller than smallest difference
- call :testing %h5diff% -n 1 %srcfile1% %srcfile2% g1/dset3 g1/dset4
- call :tooltest h5diff_628.txt -n 1 %file1% %file2% g1/dset3 g1/dset4
-
- rem This is disabled on *nix platforms
- rem 6.29 non valid files
- call :testing %h5diff% file1.h6 file2.h6
- call :tooltest h5diff_629.txt file1.h6 file2.h6
-
- rem ######################################################################
- rem # NaN
- rem ######################################################################
- rem 6.30: test (NaN == NaN) must be true based on our documentation -- XCAO
- call :testing %h5diff% -v -d "0.0001" %srcfile1% %srcfile1% g1/fp18 g1/fp18_COPY
- call :tooltest h5diff_630.txt -v -d "0.0001" %file1% %file1% g1/fp18 g1/fp18_COPY
- call :testing %h5diff% -v --use-system-epsilon %srcfile1% %srcfile1% g1/fp18 g1/fp18_COPY
- call :tooltest h5diff_631.txt -v --use-system-epsilon %file1% %file1% g1/fp18 g1/fp18_COPY
-
-
- rem ########################################################################
- rem 7. attributes
- rem ########################################################################
- call :testing %h5diff% -v %srcfile5% %srcfile6%
- call :tooltest h5diff_70.txt -v %file5% %file6%
-
- rem ##################################################
- rem attrs with verbose option level
- rem ##################################################
- call :testing %h5diff% -v1 %srcfile5% %srcfile6%
- call :tooltest h5diff_700.txt -v1 %file5% %file6%
-
- call :testing %h5diff% -v2 %srcfile5% %srcfile6%
- call :tooltest h5diff_701.txt -v2 %file5% %file6%
-
- call :testing %h5diff% --verbose=1 %srcfile5% %srcfile6%
- call :tooltest h5diff_702.txt --verbose=1 %file5% %file6%
-
- call :testing %h5diff% --verbose=2 %srcfile5% %srcfile6%
- call :tooltest h5diff_703.txt --verbose=2 %file5% %file6%
-
- rem same attr number , all same attr name
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2% /g
- call :tooltest h5diff_704.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2% /g
-
- rem same attr number , some same attr name
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2% /dset
- call :tooltest h5diff_705.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2% /dset
-
- rem same attr number , all different attr name
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2% /ntype
- call :tooltest h5diff_706.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2% /ntype
-
- rem different attr number , same attr name (intersected)
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2% /g2
- call :tooltest h5diff_707.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2% /g2
-
- rem different attr number , all different attr name
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2% /g3
- call :tooltest h5diff_708.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2% /g3
-
- rem when no attributes exist in both objects
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2% /g4
- call :tooltest h5diff_709.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2% /g4
-
- rem file vs file
- call :testing %h5diff% -v2 %src_ATTR_VERBOSE_LEVEL_FILE1% %src_ATTR_VERBOSE_LEVEL_FILE2%
- call :tooltest h5diff_710.txt -v2 %ATTR_VERBOSE_LEVEL_FILE1% %ATTR_VERBOSE_LEVEL_FILE2%
-
-
- rem #######################################################################
- rem 8. all dataset datatypes
- rem #######################################################################
- call :testing %h5diff% -v %srcfile7% %srcfile8%
- call :tooltest h5diff_80.txt -v %file7% %file8%
-
- rem 9. compare a file with itself
- call :testing %h5diff% -v %srcfile2% %srcfile2%
- call :tooltest h5diff_90.txt -v %file2% %file2%
-
- rem 10. read by hyperslab, print indexes
- rem #######################################################################
- rem Not skipped on windows as this has not been a problem - ADB 1/22/2009
- rem if test -n "$pmode" -a "$mydomainname" = hdfgroup.uiuc.edu; then
- rem # skip this test which sometimes hangs in some THG machines
- rem SKIP -v $SRCFILE9 $SRCFILE10
- rem else
- rem #######################################################################
- call :testing %h5diff% -v %srcfile9% %srcfile10%
- call :tooltest h5diff_100.txt -v %file9% %file10%
- rem fi
-
- rem 11. floating point comparison
- rem Not tested on Windows due to difference in formatting of scientific
- rem notation (101, 102) --SJW 8/23/07
- call :testing %h5diff% -v %srcfile1% %srcfile1% g1/d1 g1/d2
- rem call :tooltest h5diff_101.txt -v %file1% %file1% g1/d1 g1/d2
- call :results -SKIP-
-
- call :testing %h5diff% -v %srcfile1% %srcfile1% g1/fp1 g1/fp2
- rem call :tooltest h5diff_102.txt -v %file1% %file1% g1/fp1 g1/fp2
- call :results -SKIP-
-
- rem Not tested on Windows due to difference in formatting of scientific
- rem notation with other OS. printf("%g") (103, 104)
- call :testing %h5diff% -v --use-system-epsilon %srcfile1% %srcfile1% g1/d1 g1/d2
- rem call :tooltest h5diff_103.txt -v --use-system-epsilon %file1% %file1% g1/d1 g1/d2
- call :results -SKIP-
-
- call :testing %h5diff% -v --use-system-epsilon %srcfile1% %srcfile1% g1/fp1 g1/fp2
- rem call :tooltest h5diff_102.txt -v --use-system-epsilon %file1% %file1% g1/fp1 g1/fp2
- call :results -SKIP-
-
- rem New option added #1368(E1) - ADB 2/5/2009
- rem not compable -c flag
- call :testing %h5diff% %srcfile2% %srcfile2% g2/dset1 g2/dset2
- call :tooltest h5diff_200.txt %file2% %file2% g2/dset1 g2/dset2
-
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset1 g2/dset2
- call :tooltest h5diff_201.txt -c %file2% %file2% g2/dset1 g2/dset2
-
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset2 g2/dset3
- call :tooltest h5diff_202.txt -c %file2% %file2% g2/dset2 g2/dset3
-
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset3 g2/dset4
- call :tooltest h5diff_203.txt -c %file2% %file2% g2/dset3 g2/dset4
-
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset4 g2/dset5
- call :tooltest h5diff_204.txt -c %file2% %file2% g2/dset4 g2/dset5
-
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset5 g2/dset6
- call :tooltest h5diff_205.txt -c %file2% %file2% g2/dset5 g2/dset6
-
- rem New option added - ADB 2/11/2009
- rem # not comparable in compound
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset7 g2/dset8
- call :tooltest h5diff_206.txt -c %file2% %file2% g2/dset7 g2/dset8
-
- call :testing %h5diff% -c %srcfile2% %srcfile2% g2/dset8 g2/dset9
- call :tooltest h5diff_207.txt -c %file2% %file2% g2/dset8 g2/dset9
-
- rem #######################################################################
- rem # Links compare without --follow-symlinks nor --no-dangling-links
- rem #######################################################################
- rem test for bug1749
- call :testing %h5diff% -v %srcfile12% %srcfile12% /link_g1 /link_g2
- call :tooltest h5diff_300.txt -v %file12% %file12% /link_g1 /link_g2
-
- rem #######################################################################
- rem # Links compare with --follow-symlinks Only
- rem #######################################################################
- rem soft links file to file
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile13%
- call :tooltest h5diff_400.txt --follow-symlinks -v %file13% %file13%
-
- rem softlink vs dset"
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile13% /softlink_dset1_1 /target_dset2
- call :tooltest h5diff_401.txt --follow-symlinks -v %file13% %file13% /softlink_dset1_1 /target_dset2
-
- rem dset vs softlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile13% /target_dset2 /softlink_dset1_1
- call :tooltest h5diff_402.txt --follow-symlinks -v %file13% %file13% /target_dset2 /softlink_dset1_1
-
- rem softlink vs softlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile13% /softlink_dset1_1 /softlink_dset2
- call :tooltest h5diff_403.txt --follow-symlinks -v %file13% %file13% /softlink_dset1_1 /softlink_dset2
-
- rem extlink vs extlink (FILE)"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile15%
- call :tooltest h5diff_404.txt --follow-symlinks -v %file15% %file15%
-
- rem extlink vs dset"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile16% /ext_link_dset1 /target_group2/x_dset
- call :tooltest h5diff_405.txt --follow-symlinks -v %file15% %file16% /ext_link_dset1 /target_group2/x_dset
-
- rem dset vs extlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile16% %srcfile15% /target_group2/x_dset /ext_link_dset1
- call :tooltest h5diff_406.txt --follow-symlinks -v %file16% %file15% /target_group2/x_dset /ext_link_dset1
-
- rem extlink vs extlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile15% /ext_link_dset1 /ext_link_dset2
- call :tooltest h5diff_407.txt --follow-symlinks -v %file15% %file15% /ext_link_dset1 /ext_link_dset2
-
- rem softlink vs extlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile15% /softlink_dset1_1 /ext_link_dset2
- call :tooltest h5diff_408.txt --follow-symlinks -v %file13% %file15% /softlink_dset1_1 /ext_link_dset2
-
- rem extlink vs softlink "
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile13% /ext_link_dset2 /softlink_dset1_1
- call :tooltest h5diff_409.txt --follow-symlinks -v %file15% %file13% /ext_link_dset2 /softlink_dset1_1
-
- rem linked_softlink vs linked_softlink (FILE)"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14%
- call :tooltest h5diff_410.txt --follow-symlinks -v %file14% %file14%
-
- rem dset2 vs linked_softlink_dset1"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14% /target_dset2 /softlink1_to_slink2
- call :tooltest h5diff_411.txt --follow-symlinks -v %file14% %file14% /target_dset2 /softlink1_to_slink2
-
- rem rem linked_softlink_dset1 vs dset2"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14% /softlink1_to_slink2 /target_dset2
- call :tooltest h5diff_412.txt --follow-symlinks -v %file14% %file14% /softlink1_to_slink2 /target_dset2
-
- rem linked_softlink_to_dset1 vs linked_softlink_to_dset2"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14% /softlink1_to_slink2 /softlink2_to_slink2
- call :tooltest h5diff_413.txt --follow-symlinks -v %file14% %file14% /softlink1_to_slink2 /softlink2_to_slink2
-
- rem group vs linked_softlink_group1"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14% /target_group /softlink3_to_slink2
- call :tooltest h5diff_414.txt --follow-symlinks -v %file14% %file14% /target_group /softlink3_to_slink2
-
- rem linked_softlink_group1 vs group"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14% /softlink3_to_slink2 /target_group
- call :tooltest h5diff_415.txt --follow-symlinks -v %file14% %file14% /softlink3_to_slink2 /target_group
-
- rem linked_softlink_to_group1 vs linked_softlink_to_group2"
- call :testing %h5diff% --follow-symlinks -v %srcfile14% %srcfile14% /softlink3_to_slink2 /softlink4_to_slink2
- call :tooltest h5diff_416.txt --follow-symlinks -v %file14% %file14% /softlink3_to_slink2 /softlink4_to_slink2
-
- rem non-exist-softlink vs softlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile13% /softlink_noexist /softlink_dset2
- call :tooltest h5diff_417.txt --follow-symlinks -v %file13% %file13% /softlink_noexist /softlink_dset2
-
- rem softlink vs non-exist-softlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile13% %srcfile13% /softlink_dset2 /softlink_noexist
- call :tooltest h5diff_418.txt --follow-symlinks -v %file13% %file13% /softlink_dset2 /softlink_noexist
-
- rem non-exist-extlink_file vs extlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile15% /ext_link_noexist2 /ext_link_dset2
- call :tooltest h5diff_419.txt --follow-symlinks -v %file15% %file15% /ext_link_noexist2 /ext_link_dset2
-
- rem exlink vs non-exist-extlink_file"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile15% /ext_link_dset2 /ext_link_noexist2
- call :tooltest h5diff_420.txt --follow-symlinks -v %file15% %file15% /ext_link_dset2 /ext_link_noexist2
-
- rem extlink vs non-exist-extlink_obj"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile15% /ext_link_dset2 /ext_link_noexist1
- call :tooltest h5diff_421.txt --follow-symlinks -v %file15% %file15% /ext_link_dset2 /ext_link_noexist1
-
- rem non-exist-extlink_obj vs extlink"
- call :testing %h5diff% --follow-symlinks -v %srcfile15% %srcfile15% /ext_link_noexist1 /ext_link_dset2
- call :tooltest h5diff_422.txt --follow-symlinks -v %file15% %file15% /ext_link_noexist1 /ext_link_dset2
-
- rem extlink_to_softlink_to_dset1 vs dset2"
- call :testing %h5diff% --follow-symlinks -v %srcfile17% %srcfile18% /ext_link_to_slink1 /dset2
- call :tooltest h5diff_423.txt --follow-symlinks -v %file17% %file18% /ext_link_to_slink1 /dset2
-
- rem dset2 vs extlink_to_softlink_to_dset1"
- call :testing %h5diff% --follow-symlinks -v %srcfile18% %srcfile17% /dset2 /ext_link_to_slink1
- call :tooltest h5diff_424.txt --follow-symlinks -v %file18% %file17% /dset2 /ext_link_to_slink1
-
- rem extlink_to_softlink_to_dset1 vs extlink_to_softlink_to_dset2"
- call :testing %h5diff% --follow-symlinks -v %srcfile17% %srcfile17% /ext_link_to_slink1 /ext_link_to_slink2
- call :tooltest h5diff_425.txt --follow-symlinks -v %file17% %file17% /ext_link_to_slink1 /ext_link_to_slink2
-
-
- rem #######################################################################
- rem # Dangling links compare (--follow-symlinks and --no-dangling-links)
- rem #######################################################################
- rem dangling links --follow-symlinks (FILE to FILE)
- call :testing %h5diff% --follow-symlinks -v %srclnkfile1% %srclnkfile2%
- call :tooltest h5diff_450.txt --follow-symlinks -v %lnkfile1% %lnkfile2%
-
- rem dangling links --follow-symlinks and --no-dangling-links (FILE to FILE)
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srclnkfile1% %srclnkfile2%
- call :tooltest h5diff_451.txt --follow-symlinks -v --no-dangling-links %lnkfile1% %lnkfile2%
-
- rem try --no-dangling-links without --follow-symlinks options
- call :testing %h5diff% --no-dangling-links %srcfile13% %srcfile13%
- call :tooltest h5diff_452.txt --no-dangling-links %file13% %file13%
-
- rem dangling link found for soft links (FILE to FILE)
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile13% %srcfile13%
- call :tooltest h5diff_453.txt --follow-symlinks -v --no-dangling-links %file13% %file13%
-
- rem dangling link found for soft links (obj to obj)
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile13% %srcfile13% /softlink_dset2 /softlink_noexist
- call :tooltest h5diff_454.txt --follow-symlinks -v --no-dangling-links %file13% %file13% /softlink_dset2 /softlink_noexist
-
- rem dangling link found for soft links (obj to obj) Both dangle links
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile13% %srcfile13% /softlink_noexist /softlink_noexist
- call :tooltest h5diff_455.txt --follow-symlinks -v --no-dangling-links %file13% %file13% /softlink_noexist /softlink_noexist
-
- rem dangling link found for ext links (FILE to FILE)
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile15% %srcfile15%
- call :tooltest h5diff_456.txt --follow-symlinks -v --no-dangling-links %file15% %file15%
-
- rem dangling link found for ext links (obj to obj). target file exist
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile15% %srcfile15% /ext_link_dset1 /ext_link_noexist1
- call :tooltest h5diff_457.txt --follow-symlinks -v --no-dangling-links %file15% %file15% /ext_link_dset1 /ext_link_noexist1
-
- rem dangling link found for ext links (obj to obj). target file NOT exist
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile15% %srcfile15% /ext_link_dset1 /ext_link_noexist2
- call :tooltest h5diff_458.txt --follow-symlinks -v --no-dangling-links %file15% %file15% /ext_link_dset1 /ext_link_noexist2
-
- rem dangling link found for ext links (obj to obj). Both dangle links
- call :testing %h5diff% --follow-symlinks -v --no-dangling-links %srcfile15% %srcfile15% /ext_link_noexist1 /ext_link_noexist2
- call :tooltest h5diff_459.txt --follow-symlinks -v --no-dangling-links %file15% %file15% /ext_link_noexist1 /ext_link_noexist2
-
- rem ########################################################################
- rem # test for group diff recursivly
- rem ########################################################################
- rem root
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% / /
- call :tooltest h5diff_500.txt -v %grp_recurse1% %grp_recurse2% / /
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1% %src_grp_recurse2% / /
- call :tooltest h5diff_501.txt -v --follow-symlinks %grp_recurse1% %grp_recurse2% / /
-
- rem root vs group
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% / /grp1/grp2/grp3
- call :tooltest h5diff_502.txt -v %grp_recurse1% %grp_recurse2% / /grp1/grp2/grp3
-
- rem group vs group (same name and structure)
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% /grp1 /grp1
- call :tooltest h5diff_503.txt -v %grp_recurse1% %grp_recurse2% /grp1 /grp1
-
- rem group vs group (different name and structure)
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% /grp1/grp2 /grp1/grp2/grp3
- call :tooltest h5diff_504.txt -v %grp_recurse1% %grp_recurse2% /grp1/grp2 /grp1/grp2/grp3
-
- rem groups vs soft-link
- call :testing %h5diff%
- call :tooltest h5diff_505.txt -v %grp_recurse1% %grp_recurse2% /grp1 /slink_grp1
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1% %src_grp_recurse2% /grp1/grp2 /slink_grp2
- call :tooltest h5diff_506.txt -v --follow-symlinks %grp_recurse1% %grp_recurse2% /grp1/grp2 /slink_grp2
-
- rem groups vs ext-link
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% /grp1 /elink_grp1
- call :tooltest h5diff_507.txt -v %grp_recurse1% %grp_recurse2% /grp1 /elink_grp1
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1% %src_grp_recurse2% /grp1 /elink_grp1
- call :tooltest h5diff_508.txt -v --follow-symlinks %grp_recurse1% %grp_recurse2% /grp1 /elink_grp1
-
- rem soft-link vs ext-link
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% /slink_grp1 /elink_grp1
- call :tooltest h5diff_509.txt -v %grp_recurse1% %grp_recurse2% /slink_grp1 /elink_grp1
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1% %src_grp_recurse2% /slink_grp1 /elink_grp1
- call :tooltest h5diff_510.txt -v --follow-symlinks %grp_recurse1% %grp_recurse2% /slink_grp1 /elink_grp1
-
- rem circled ext links
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% /grp10 /grp11
- call :tooltest h5diff_511.txt -v %grp_recurse1% %grp_recurse2% /grp10 /grp11
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1% %src_grp_recurse2% /grp10 /grp11
- call :tooltest h5diff_512.txt -v --follow-symlinks %grp_recurse1% %grp_recurse2% /grp10 /grp11
-
- rem circled soft2ext-link vs soft2ext-link
- call :testing %h5diff% -v %src_grp_recurse1% %src_grp_recurse2% /slink_grp10 /slink_grp11
- call :tooltest h5diff_513.txt -v %grp_recurse1% %grp_recurse2% /slink_grp10 /slink_grp11
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1% %src_grp_recurse2% /slink_grp10 /slink_grp11
- call :tooltest h5diff_514.txt -v --follow-symlinks %grp_recurse1% %grp_recurse2% /slink_grp10 /slink_grp11
-
- rem ######################################################################
- rem # Test for group recursive diff via multi-linked external links
- rem # With follow-symlinks, file $GRP_RECURSE1_EXT and $GRP_RECURSE2_EXT1
- rem # should be same with the external links.
- rem ######################################################################
- rem file vs file
- call :testing %h5diff% -v %src_grp_recurse1_ext% %src_grp_recurse2_ext1%
- call :tooltest h5diff_515.txt -v %grp_recurse1_ext% %grp_recurse2_ext1%
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1_ext% %src_grp_recurse2_ext1%
- call :tooltest h5diff_516.txt -v --follow-symlinks %grp_recurse1_ext% %grp_recurse2_ext1%
-
- rem group vs group
- call :testing %h5diff% -v %src_grp_recurse1_ext% %src_grp_recurse2_ext1% /g1
- call :tooltest h5diff_517.txt -v %grp_recurse1_ext% %grp_recurse2_ext1% /g1
-
- call :testing %h5diff% -v --follow-symlinks %src_grp_recurse1_ext% %src_grp_recurse2_ext1% /g1
- call :tooltest h5diff_518.txt -v --follow-symlinks %grp_recurse1_ext% %grp_recurse2_ext1% /g1
-
-
- rem #######################################################################
- rem # Exclude objects (--exclude-path)
- rem #######################################################################
- rem #-------------------------------------------------
- rem # Same structure, same names and different value.
-
- rem Exclude the object with different value. Expect return - same
- call :testing %h5diff% -v --exclude-path /group1/dset3 %srcexclude1_1% %srcexclude1_2%
- call :tooltest h5diff_480.txt -v --exclude-path /group1/dset3 %exclude1_1% %exclude1_2%
-
- rem Verify different by not excluding. Expect return - diff
- call :testing %h5diff% -v %srcexclude1_1% %srcexclude1_2%
- call :tooltest h5diff_481.txt -v %exclude1_1% %exclude1_2%
-
- rem #----------------------------------------
- rem # Different structure, different names.
-
- rem Exclude all the different objects. Expect return - same
- call :testing %h5diff% -v --exclude-path "/group1" --exclude-path "/dset1" %srcexclude2_1% %srcexclude2_2%
- call :tooltest h5diff_482.txt -v --exclude-path "/group1" --exclude-path "/dset1" %exclude2_1% %exclude2_2%
-
- rem Exclude only some different objects. Expect return - diff
- call :testing %h5diff% -v --exclude-path "/group1" %srcexclude2_1% %srcexclude2_2%
- call :tooltest h5diff_483.txt -v --exclude-path "/group1" %exclude2_1% %exclude2_2%
-
- rem Exclude from group compare
- call :testing %h5diff% -v --exclude-path "/dset3" %srcexclude1_1% %srcexclude1_2% /group1
- call :tooltest h5diff_484.txt -v --exclude-path "/dset3" %exclude1_1% %exclude1_2% /group1
-
- rem #######################################################################
- rem # diff various multiple vlen and fixed strings in a compound type dataset
- rem #######################################################################
- call :testing %h5diff% -v %src_comp_vl_strs% %src_comp_vl_strs% /group /group_copy
- call :tooltest h5diff_530.txt -v %comp_vl_strs% %comp_vl_strs% /group /group_copy
-
- rem # #####################################################################
- rem # # Test container types (array,vlen) with multiple nested compound types
- rem # # Complex compound types in dataset and attribute
- rem # #####################################################################
- call :testing %h5diff% -v %src_COMPS_ARRAY_VLEN1% %src_COMPS_ARRAY_VLEN2%
- call :tooltest h5diff_540.txt -v %COMPS_ARRAY_VLEN1% %COMPS_ARRAY_VLEN2%
-
- rem #######################################################################
- rem # Test mutually exclusive options
- rem #######################################################################
-
- rem ------------------------------------------------------
- rem Test with -d , -p and --use-system-epsilon.
- call :testing %h5diff% -v -d 5 -p 0.05 --use-system-epsilon %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_640.txt -v -d 5 -p 0.05 --use-system-epsilon %file1% %file2% /g1/dset3 /g1/dset4
-
- call :testing %h5diff% -v -d 5 -p 0.05 %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_641.txt -v -d 5 -p 0.05 %file1% %file2% /g1/dset3 /g1/dset4
-
- call :testing %h5diff% -v -p 0.05 -d 5 %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_642.txt -v -p 0.05 -d 5 %file1% %file2% /g1/dset3 /g1/dset4
-
- call :testing %h5diff% -v -d 5 --use-system-epsilon %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_643.txt -v -d 5 --use-system-epsilon %file1% %file2% /g1/dset3 /g1/dset4
-
- call :testing %h5diff% -v --use-system-epsilon -d 5 %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_644.txt -v --use-system-epsilon -d 5 %file1% %file2% /g1/dset3 /g1/dset4
-
- call :testing %h5diff% -v -p 0.05 --use-system-epsilon %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_645.txt -v -p 0.05 --use-system-epsilon %file1% %file2% /g1/dset3 /g1/dset4
-
- call :testing %h5diff% -v --use-system-epsilon -p 0.05 %srcfile1% %srcfile2% /g1/dset3 /g1/dset4
- call :tooltest h5diff_646.txt -v --use-system-epsilon -p 0.05 %file1% %file2% /g1/dset3 /g1/dset4
-
- rem #######################################################################
- rem # END
- rem #######################################################################
-
- if %nerrors% equ 0 (
- echo.All %h5diff% tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5diffdll/h5diffdll.vcproj b/windows/tools/h5diffdll/h5diffdll.vcproj
deleted file mode 100644
index bd153bd..0000000
--- a/windows/tools/h5diffdll/h5diffdll.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5diffdll"
- ProjectGUID="{EC6B5EAD-D938-4211-A7B1-01C9D2C15160}"
- RootNamespace="h5diffdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5diffdll/Release/h5diffdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diffdll/Release/h5diffdll.pch"
- AssemblerListingLocation=".\../../../tools/h5diffdll/Release/"
- ObjectFile=".\../../../tools/h5diffdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5diffdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5diffdll/Release/h5diffdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5diffdll/Release/h5diffdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diffdll/Release/h5diffdll.pch"
- AssemblerListingLocation=".\../../../tools/h5diffdll/Release/"
- ObjectFile=".\../../../tools/h5diffdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5diffdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5diffdll/Release/h5diffdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5diffdll/Debug/h5diffdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diffdll/Debug/h5diffdll.pch"
- AssemblerListingLocation=".\../../../tools/h5diffdll/Debug/"
- ObjectFile=".\../../../tools/h5diffdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5diffdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5diffdll/Debug/h5diffdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5diffdll/Debug/h5diffdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5diffdll/Debug/h5diffdll.pch"
- AssemblerListingLocation=".\../../../tools/h5diffdll/Debug/"
- ObjectFile=".\../../../tools/h5diffdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5diffdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5diffdll/Debug/h5diffdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5diff\h5diff_common.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5diff\h5diff_main.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5dump/h5dump.vcproj b/windows/tools/h5dump/h5dump.vcproj
deleted file mode 100644
index d3e6d71..0000000
--- a/windows/tools/h5dump/h5dump.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5dump"
- ProjectGUID="{9A8352DF-AA1E-4FE0-9494-F9D6B4E10CA2}"
- RootNamespace="h5dump"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5dump/Debug/h5dump.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dump/Debug/h5dump.pch"
- AssemblerListingLocation=".\../../../tools/h5dump/Debug/"
- ObjectFile=".\../../../tools/h5dump/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5dump/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5dump/Debug/h5dump.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5dump/Debug/h5dump.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dump/Debug/h5dump.pch"
- AssemblerListingLocation=".\../../../tools/h5dump/Debug/"
- ObjectFile=".\../../../tools/h5dump/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5dump/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5dump/Debug/h5dump.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5dump/Release/h5dump.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dump/Release/h5dump.pch"
- AssemblerListingLocation=".\../../../tools/h5dump/Release/"
- ObjectFile=".\../../../tools/h5dump/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5dump/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5dump/Release/h5dump.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5dump/Release/h5dump.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dump/Release/h5dump.pch"
- AssemblerListingLocation=".\../../../tools/h5dump/Release/"
- ObjectFile=".\../../../tools/h5dump/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5dump/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5dump/Release/h5dump.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5dump\h5dump.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5dump/testh5dump.bat b/windows/tools/h5dump/testh5dump.bat
deleted file mode 100644
index 307765c..0000000
--- a/windows/tools/h5dump/testh5dump.bat
+++ /dev/null
@@ -1,703 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5dump tool
-rem
-rem Created: Scott Wegner, 8/23/07
-rem Modified: Scott Wegner, 5/12/08
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set h5pubconf=%CD%\..\..\src\h5pubconf.h
-
-rem Determine which filters are available
-rem On Windows, the function :detect_filter sets these for us
-call :detect_filter szip
-call :detect_filter deflate
-call :detect_filter shuffle
-call :detect_filter fletcher32
-call :detect_filter nbit
-call :detect_filter scaleoffset
-
-rem The tool name
-set dumper=h5dump%2
-rem The path of the tool library
-set dumper_bin=%CD%\..\%dumper%\%1\%dumper%
-set testdir=%CD%\..\testfiles
-
-rem The h5diff tool name
-set h5diff=..\h5diff%2\%1\h5diff%2
-rem The path of the h5diff tool binary
-set h5diff_bin=%CD%\%h5diff%
-
-rem The h5import tool name
-set h5import=..\h5import%2\%1\h5import%2
-rem The path of the h5import tool binary
-set h5import_bin=%CD%\%h5import%
-
-set nerrors=0
-set verbose=yes
-
-set srcdir=%CD%
-
-if not exist %testdir% mkdir %testdir%
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing %dumper%
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT here with "%" for tests that use a percent
- rem sign. --SJW 5/12/08
- set test_msg=!test_msg:PERCENT=%%!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. If a test fails then increment
-rem the `nerrors' global variable and (if $verbose is set) display the
-rem difference between the actual output and the expected output. The
-rem expected output is given as the first argument to this function and
-rem the actual output file is calculated by replacing the `.ddl' with
-rem `.out'. The actual output is not removed if $HDF5_NOCLEANUP has a
-rem non-zero value.
-rem
-:tooltest
- set expect=%CD%\..\testfiles\%1
- set actual=%CD%\..\testfiles\%~n1.out
- set actual_err=%CD%\..\testfiles\%~n1.err
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/23/07
- set params=%*
- rem If there is not 2nd parameter, that means we have no filename, which
- rem implies that we are on the "tnofilename" test. Make sure we remove the
- rem expected output from the params, and add a space. --SJW 8/27/07
- if "%2"=="" (
- set params=
- ) else (
- set params=!params:* =!
- )
-
- rem Run test.
-
- (
- rem We need to replace PERCENT here with "%" for tests that use percents
- rem Also remove quotes here, because Linux 'echo' command strips them.
- rem --SJW 8/24/07
- set params_echo=!params:PERCENT=%%!
- echo.#############################
- echo.Expected output for 'h5dump !params_echo:"=!'
- echo.#############################
- pushd %CD%\..\testfiles
- %dumper_bin% !params:PERCENT=%%!
- popd
- ) > %actual% 2> %actual_err%
- type %actual_err% >> %actual%
-
- if not exist %expect% (
- rem Create the expect file if it doesn't yet exist.
- call :testing CREATED %params%
- copy /y %actual% %expect% > nul
- ) else (
- fc /w %expect% %actual% > nul
- if !errorlevel! equ 0 (
- call :testing PASSED %params%
- ) else (
- call :testing *FAILED* %params%
- echo. Expected results ^(*.ddl^) differs from actual results ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc /w %expect% %actual%
- )
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_err%
- )
-
- exit /b
-
-
-rem same as TOOLTEST but does not print the header Expected output
-rem use for the binary tests that expect a full path in -o
-:tooltest1
-
- set expect=%srcdir%\..\testfiles\%1
- set actual=%CD%\..\testfiles\%~n1.out
- set actual_err=%CD%\..\testfiles\%~n1.err
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/23/07
- set params=%*
- rem If there is not 2nd parameter, that means we have no filename, which
- rem implies that we are on the "tnofilename" test. Make sure we remove the
- rem expected output from the params, and add a space. --SJW 8/27/07
- if "%2"=="" (
- set params=
- ) else (
- set params=!params:* =!
- )
-
- rem Run test.
- (
- pushd %CD%\..\testfiles
- %dumper_bin% !params:PERCENT=%%!
- popd
- ) > %actual% 2> %actual_err%
- type %actual_err% >> %actual%
-
- if not exist %expect% (
- rem Create the expect file if it doesn't yet exist.
- call :testing CREATED %params%
- copy /y %actual% %expect% > nul
- ) else (
- fc /w %expect% %actual% > nul
- if !errorlevel! equ 0 (
- call :testing PASSED %params%
- ) else (
- call :testing *FAILED* %params%
- echo. Expected results ^(*.ddl^) differs from actual results ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc /w %expect% %actual%
- )
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_err%
- )
-
- exit /b
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %*
- exit /b
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem
-:print_h5diff
- set test_msg=Running h5diff
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=%test_msg%
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Call the h5diff tool
-rem
-:difftest
- (
- pushd %CD%\..\testfiles
- %h5diff_bin% %* -q
- popd
- )
- if %errorlevel% neq 0 (
- call :print_h5diff *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :print_h5diff PASSED %*
- )
-
- exit /b
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Verifying".
-rem
-:print_h5import
- set test_msg=Running h5import
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=%test_msg%
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Call the h5import tool
-rem
-:importtest
- rem Remove the output hdf5 file if it exists
- set hdf5_file=%CD%\..\testfiles\%5
- if exist %hdf5_file% (
- del /f %hdf5_file%
- )
-
- (
- pushd %CD%\..\testfiles
- %h5import_bin% %*
- popd
- )
- if %errorlevel% neq 0 (
- call :print_h5import *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :print_h5import PASSED %*
- )
- exit /b
-
-
-
-rem This is a Windows-specific function that detects if the filter passed
-rem should be enabled for this test script. It searches H5pubconf.h for the
-rem string "#define H5_HAVE_FILTER_%1" and sets the variable "use_filter_%1"
-rem accordingly. On other platforms, this variable is set in the Makefile.
-rem If we find a better way to test this in the future, we should use it.
-rem --SJW 9/4/07
-:detect_filter
- findstr /b /i /c:"#define H5_HAVE_FILTER_%1" %h5pubconf% > nul
- if %errorlevel% equ 0 (
- set use_filter_%1=yes
- ) else (
- set use_filter_%1=no
- )
-
- exit /b
-
-
-rem ############################################################################
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-rem ############################################################################
-:main
-
- rem test for signed/unsigned datasets
- call :tooltest packedbits.ddl packedbits.h5
-
- rem test for displaying groups
- call :tooltest tgroup-1.ddl tgroup.h5
- rem test for displaying the selected groups
- call :tooltest tgroup-2.ddl --group=/g2 --group / -g /y tgroup.h5
-
- rem test for displaying simple space datasets
- call :tooltest tdset-1.ddl tdset.h5
- rem test for displaying selected datasets
- call :tooltest tdset-2.ddl -H -d dset1 -d /dset2 --dataset=dset3 tdset.h5
-
- rem test for displaying attributes
- call :tooltest tattr-1.ddl tattr.h5
- rem test for displaying the selected attributes of string type and scalar space
- call :tooltest tattr-2.ddl -a /attr1 --attribute /attr4 --attribute=/attr5 tattr.h5
- rem test for header and error messages
- call :tooltest tattr-3.ddl --header -a /attr2 --attribute=/attr tattr.h5
- rem test for displaying attributes in shared datatype (also in group and dataset)
- call :tooltest tnamed_dtype_attr.ddl tnamed_dtype_attr.h5
-
- rem test for displaying soft links and user-defined links
- call :tooltest tslink-1.ddl tslink.h5
- call :tooltest tudlink-1.ddl tudlink.h5
- rem test for displaying the selected link
- call :tooltest tslink-2.ddl -l slink2 tslink.h5
- call :tooltest tudlink-2.ddl -l udlink2 tudlink.h5
-
- rem tests for hard links
- call :tooltest thlink-1.ddl thlink.h5
- call :tooltest thlink-2.ddl -d /g1/dset2 --dataset /dset1 --dataset=/g1/g1.1/dset3 thlink.h5
- call :tooltest thlink-3.ddl -d /g1/g1.1/dset3 --dataset /g1/dset2 --dataset=/dset1 thlink.h5
- call :tooltest thlink-4.ddl -g /g1 thlink.h5
- call :tooltest thlink-5.ddl -d /dset1 -g /g2 -d /g1/dset2 thlink.h5
-
- rem tests for compound data types
- call :tooltest tcomp-1.ddl tcompound.h5
- rem test for named data types
- call :tooltest tcomp-2.ddl -t /type1 --datatype /type2 --datatype=/group1/type3 tcompound.h5
- rem test for unamed type
- call :tooltest tcomp-3.ddl -t /#6632 -g /group2 tcompound.h5
- rem test complicated compound datatype
- call :tooltest tcomp-4.ddl tcompound_complex.h5
-
- rem test for the nested compound type
- call :tooltest tnestcomp-1.ddl tnestedcomp.h5
-
- rem test for options
- call :tooltest tall-1.ddl tall.h5
- call :tooltest tall-2.ddl --header -g /g1/g1.1 -a attr2 tall.h5
- call :tooltest tall-3.ddl -d /g2/dset2.1 -l /g1/g1.2/g1.2.1/slink tall.h5
-
- rem test for loop detection
- call :tooltest tloop-1.ddl tloop.h5
-
- rem test for string
- call :tooltest tstr-1.ddl tstr.h5
- call :tooltest tstr-2.ddl tstr2.h5
-
- rem test for file created by Lib SAF team
- call :tooltest tsaf.ddl tsaf.h5
-
- rem test for file with variable length data
- call :tooltest tvldtypes1.ddl tvldtypes1.h5
- call :tooltest tvldtypes2.ddl tvldtypes2.h5
- call :tooltest tvldtypes3.ddl tvldtypes3.h5
- call :tooltest tvldtypes4.ddl tvldtypes4.h5
- call :tooltest tvldtypes5.ddl tvldtypes5.h5
-
- rem test for file with variable length string data
- call :tooltest tvlstr.ddl tvlstr.h5
-
- rem test for files with array data
- call :tooltest tarray1.ddl tarray1.h5
- call :tooltest tarray1_big.ddl -R tarray1_big.h5
- call :tooltest tarray2.ddl tarray2.h5
- call :tooltest tarray3.ddl tarray3.h5
- call :tooltest tarray4.ddl tarray4.h5
- call :tooltest tarray5.ddl tarray5.h5
- call :tooltest tarray6.ddl tarray6.h5
- call :tooltest tarray7.ddl tarray7.h5
- call :tooltest tarray8.ddl tarray8.h5
-
- rem test for files with empty data
- call :tooltest tempty.ddl tempty.h5
-
- rem test for files with groups that have comments
- call :tooltest tgrp_comments.ddl tgrp_comments.h5
-
- rem test the --filedriver flag
- call :tooltest tsplit_file.ddl --filedriver=split tsplit_file
- rem On Windows, we pass "PERCENT", and let other calls replace it with
- rem the "%". We cannot pass "%" directly because Windows interprets it as
- rem the name of the script. --SJW 8/24/07
- call :tooltest tfamily.ddl --filedriver=family tfamilyPERCENT05d.h5
- call :tooltest tmulti.ddl --filedriver=multi tmulti
-
- rem test for files with group names which reach > 1024 bytes in size
- call :tooltest tlarge_objname.ddl -w157 tlarge_objname.h5
-
- rem test '-A' to suppress data but print attr's
- call :tooltest tall-2A.ddl -A tall.h5
-
- rem test '-r' to print attributes in ASCII instead of decimal
- call :tooltest tall-2B.ddl -A -r tall.h5
-
- rem test Subsetting
- call :tooltest tall-4s.ddl --dataset=/g1/g1.1/dset1.1.1 --start=1,1 --stride=2,3 --count=3,2 --block=1,1 tall.h5
- call :tooltest tall-5s.ddl -d "/g1/g1.1/dset1.1.2[0;2;10;]" tall.h5
- call :tooltest tdset-3s.ddl -d "/dset1[1,1;;;]" tdset.h5
- rem block
- rem call :tooltest tdset2-1s.ddl -d "/dset1[;3,2;4,4;1,4]" tdset2.h5
-
- rem test printing characters in ASCII instead of decimal
- call :tooltest tchar1.ddl -r tchar.h5
-
- rem test failure handling
- rem Missing file name
- call :tooltest tnofilename.ddl
-
- rem rev. 2004
-
- rem tests for super block
- call :tooltest tboot1.ddl -H -B -d dset tfcontents1.h5
- call :tooltest tboot2.ddl -B tfcontents2.h5
-
- rem test -p with a non existing dataset
- call :tooltest tperror.ddl -p -d bogus tfcontents1.h5
-
- rem test for file contents
- call :tooltest tcontents.ddl -n tfcontents1.h5
-
- rem tests for storage layout
- rem compact
- call :tooltest tcompact.ddl -H -p -d compact tfilters.h5
- rem contiguous
- call :tooltest tcontiguos.ddl -H -p -d contiguous tfilters.h5
- rem chunked
- call :tooltest tchunked.ddl -H -p -d chunked tfilters.h5
- rem external
- call :tooltest texternal.ddl -H -p -d external tfilters.h5
-
- rem fill values
- call :tooltest tfill.ddl -p tfvalues.h5
-
- rem several datatype, with references , print path
- call :tooltest treference.ddl tattr2.h5
-
- rem escape/not escape non printable characters
- call :tooltest tstringe.ddl -e tstr3.h5
- call :tooltest tstring.ddl tstr3.h5
- rem char data as ASCII with non escape
- call :tooltest tstring2.ddl -r -d str4 tstr3.h5
-
- rem array indices print/not print
- call :tooltest tindicesyes.ddl taindices.h5
- call :tooltest tindicesno.ddl -y taindices.h5
-
- rem ######### array indices with subsetting
- rem 1D case
- call :tooltest tindicessub1.ddl -d 1d -s 1 -S 10 -c 2 -k 3 taindices.h5
-
- rem 2D case
- call :tooltest tindicessub2.ddl -d 2d -s 1,2 -S 3,3 -c 3,2 -k 2,2 taindices.h5
-
- rem 3D case
- call :tooltest tindicessub3.ddl -d 3d -s 0,1,2 -S 1,3,3 -c 2,2,2 -k 1,2,2 taindices.h5
-
- rem 4D case
- call :tooltest tindicessub4.ddl -d 4d -s 0,0,1,2 -c 2,2,3,2 -S 1,1,3,3 -k 1,1,2,2 taindices.h5
-
-
- rem tests for filters
- rem SZIP
- set option=-H -p -d szip tfilters.h5
- if not "%use_filter_szip%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tszip.ddl %option%
- )
- rem deflate
- set option=-H -p -d deflate tfilters.h5
- if not "%use_filter_deflate%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tdeflate.ddl %option%
- )
- rem shuffle
- set option=-H -p -d shuffle tfilters.h5
- if not "%use_filter_shuffle%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tshuffle.ddl %option%
- )
- rem fletcher32
- set option=-H -p -d fletcher32 tfilters.h5
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tfletcher32.ddl %option%
- )
- rem nbit
- set option=-H -p -d nbit tfilters.h5
- if not "%use_filter_nbit%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tnbit.ddl %option%
- )
- rem scaleoffset
- set option=-H -p -d scaleoffset tfilters.h5
- if not "%use_filter_scaleoffset%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tscaleoffset.ddl %option%
- )
- rem all
- set option=-H -p -d all tfilters.h5
- rem Windows doesn't have "or" for compound conditional, so we must check
- rem each one individually. --SJW 8/24/07
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %option%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %option%
- ) else if not "%use_filter_deflate%"=="yes" (
- call :skip %option%
- ) else if not "%use_filter_shuffle%"=="yes" (
- call :skip %option%
- ) else if not "%use_filter_nbit%"=="yes" (
- call :skip %option%
- ) else if not "%use_filter_scaleoffset%"=="yes" (
- call :skip %option%
- ) else (
- call :tooltest tallfilters.ddl %option%
- )
- rem user defined
- call :tooltest tuserfilter.ddl -H -p -d myfilter tfilters.h5
-
- rem test for displaying objects with very long names
- call :tooltest tlonglinks.ddl tlonglinks.h5
-
- rem dimensions over 4GB, print boundary
- call :tooltest tbigdims.ddl -d dset4gb -s 4294967284 -c 22 tbigdims.h5
-
- rem hyperslab read
- call :tooltest thyperslab.ddl thyperslab.h5
-
-
- rem
-
- rem test for displaying dataset and attribute of null space
- call :tooltest tnullspace.ddl tnullspace.h5
-
- rem test for long double (some systems do not have long double)
- rem call :tooltest tldouble.ddl tldouble.h5
-
- rem test for vms
- call :tooltest tvms.ddl tvms.h5
-
- rem test for binary output
- rem Don't use %testdir% here, because we are already in the correct
- rem directory, and using it only gets in the way of the output formatting.
- rem --SJW 8/24/07
- call :tooltest1 tbin1.ddl -d integer -o out1.bin -b LE tbinary.h5
-
- rem NATIVE default. the NATIVE test can be validated with h5import/h5diff
- call :tooltest1 tbin1.ddl -d integer -o out1.bin -b MEMORY tbinary.h5
- call :importtest out1.bin -c out3.h5import -o out1.h5
- call :difftest tbinary.h5 out1.h5 /integer /integer
-
- call :tooltest1 tbin2.ddl -b BE -d float -o out2.bin tbinary.h5
-
- rem the NATIVE test can be validated with h5import/h5diff
- call :tooltest1 tbin3.ddl -d integer -o out3.bin -b NATIVE tbinary.h5
- call :importtest out3.bin -c out3.h5import -o out3.h5
- call :difftest tbinary.h5 out3.h5 /integer /integer
-
- call :tooltest1 tbin4.ddl -d double -b FILE -o out4.bin tbinary.h5
-
- rem Clean up binary output files
- if not defined hdf5_nocleanup (
- for /l %%a in (1,1,4) do del /f %testdir%\out%%a.bin
- del /f %testdir%\out3.h5
- )
-
- rem test for dataset region references
- call :tooltest tdatareg.ddl tdatareg.h5
- call :tooltest tdataregR.ddl -R tdatareg.h5
- call :tooltest tattrreg.ddl tattrreg.h5
- call :tooltest tattrregR.ddl -R tattrreg.h5
-
- rem tests for group creation order
- rem "1" tracked, "2" name, root tracked
- call :tooltest tordergr1.ddl --group=1 --sort_by=creation_order --sort_order=ascending tordergr.h5
- call :tooltest tordergr2.ddl --group=1 --sort_by=creation_order --sort_order=descending tordergr.h5
- call :tooltest tordergr3.ddl -g 2 -q name -z ascending tordergr.h5
- call :tooltest tordergr4.ddl -g 2 -q name -z descending tordergr.h5
- call :tooltest tordergr5.ddl -q creation_order tordergr.h5
-
- rem tests for attribute order
- call :tooltest torderattr1.ddl -H --sort_by=name --sort_order=ascending torderattr.h5
- call :tooltest torderattr2.ddl -H --sort_by=name --sort_order=descending torderattr.h5
- call :tooltest torderattr3.ddl -H --sort_by=creation_order --sort_order=ascending torderattr.h5
- call :tooltest torderattr4.ddl -H --sort_by=creation_order --sort_order=descending torderattr.h5
-
- rem tests for floating point user defined printf format
- rem Note: Make sure to use PERCENT rather than "%", because Windows needs
- rem to handle it specially. --SJW 5/12/08
- call :tooltest tfpformat.ddl -m PERCENT.7f tfpformat.h5
-
- rem tests for traversal of external links
- call :tooltest textlinksrc.ddl textlinksrc.h5
- call :tooltest textlinkfar.ddl textlinkfar.h5
-
- rem test for dangling external links
- call :tooltest textlink.ddl textlink.h5
-
- rem test for dataset packed bits
- rem Set up xCMD to test or skip.
- rem Limits:
- rem Maximum number of packed bits is 8 (for now).
- rem Maximum integer size is 64 (for now).
- rem Maximun Offset is 63 (Maximum size - 1).
- rem Maximum Offset+Length is 64 (Maximum size).
- rem Tests:
- rem Normal operation on both signed and unsigned int datasets.
- rem Sanity check
- rem Their rawdata output should be the same.
- call :tooltest tpbitsSignedWhole.ddl -d /DS08BITS -M 0,8 packedbits.h5
- call :tooltest tpbitsUnsignedWhole.ddl -d /DU08BITS -M 0,8 packedbits.h5
- call :tooltest tpbitsSignedIntWhole.ddl -d /DS16BITS -M 0,16 packedbits.h5
- call :tooltest tpbitsUnsignedIntWhole.ddl -d /DU16BITS -M 0,16 packedbits.h5
- call :tooltest tpbitsSignedLongWhole.ddl -d /DS32BITS -M 0,32 packedbits.h5
- call :tooltest tpbitsUnsignedLongWhole.ddl -d /DU32BITS -M 0,32 packedbits.h5
- call :tooltest tpbitsSignedLongLongWhole.ddl -d /DS64BITS -M 0,64 packedbits.h5
- call :tooltest tpbitsUnsignedLongLongWhole.ddl -d /DU64BITS -M 0,64 packedbits.h5
- call :tooltest tpbitsSignedLongLongWhole63.ddl -d /DS64BITS -M 0,63 packedbits.h5
- call :tooltest tpbitsUnsignedLongLongWhole63.ddl -d /DU64BITS -M 0,63 packedbits.h5
- call :tooltest tpbitsSignedLongLongWhole1.ddl -d /DS64BITS -M 1,63 packedbits.h5
- call :tooltest tpbitsUnsignedLongLongWhole1.ddl -d /DU64BITS -M 1,63 packedbits.h5
- rem Half sections
- call :tooltest tpbitsSigned4.ddl -d /DS08BITS -M 0,4,4,4 packedbits.h5
- call :tooltest tpbitsUnsigned4.ddl -d /DU08BITS -M 0,4,4,4 packedbits.h5
- call :tooltest tpbitsSignedInt8.ddl -d /DS16BITS -M 0,8,8,8 packedbits.h5
- call :tooltest tpbitsUnsignedInt8.ddl -d /DU16BITS -M 0,8,8,8 packedbits.h5
- call :tooltest tpbitsSignedLong16.ddl -d /DS32BITS -M 0,16,16,16 packedbits.h5
- call :tooltest tpbitsUnsignedLong16.ddl -d /DU32BITS -M 0,16,16,16 packedbits.h5
- call :tooltest tpbitsSignedLongLong32.ddl -d /DS64BITS -M 0,32,32,32 packedbits.h5
- call :tooltest tpbitsUnsignedLongLong32.ddl -d /DU64BITS -M 0,32,32,32 packedbits.h5
- rem Quarter sections
- call :tooltest tpbitsSigned2.ddl -d /DS08BITS -M 0,2,2,2,4,2,6,2 packedbits.h5
- call :tooltest tpbitsUnsigned2.ddl -d /DU08BITS -M 0,2,2,2,4,2,6,2 packedbits.h5
- call :tooltest tpbitsSignedInt4.ddl -d /DS16BITS -M 0,4,4,4,8,4,12,4 packedbits.h5
- call :tooltest tpbitsUnsignedInt4.ddl -d /DU16BITS -M 0,4,4,4,8,4,12,4 packedbits.h5
- call :tooltest tpbitsSignedLong8.ddl -d /DS32BITS -M 0,8,8,8,16,8,24,8 packedbits.h5
- call :tooltest tpbitsUnsignedLong8.ddl -d /DU32BITS -M 0,8,8,8,16,8,24,8 packedbits.h5
- call :tooltest tpbitsSignedLongLong16.ddl -d /DS64BITS -M 0,16,16,16,32,16,48,16 packedbits.h5
- call :tooltest tpbitsUnsignedLongLong16.ddl -d /DU64BITS -M 0,16,16,16,32,16,48,16 packedbits.h5
- rem Begin and End
- call :tooltest tpbitsSigned.ddl -d /DS08BITS -M 0,2,2,6 packedbits.h5
- call :tooltest tpbitsUnsigned.ddl -d /DU08BITS -M 0,2,2,6 packedbits.h5
- call :tooltest tpbitsSignedInt.ddl -d /DS16BITS -M 0,2,10,6 packedbits.h5
- call :tooltest tpbitsUnsignedInt.ddl -d /DU16BITS -M 0,2,10,6 packedbits.h5
- call :tooltest tpbitsSignedLong.ddl -d /DS32BITS -M 0,2,26,6 packedbits.h5
- call :tooltest tpbitsUnsignedLong.ddl -d /DU32BITS -M 0,2,26,6 packedbits.h5
- call :tooltest tpbitsSignedLongLong.ddl -d /DS64BITS -M 0,2,58,6 packedbits.h5
- call :tooltest tpbitsUnsignedLongLong.ddl -d /DU64BITS -M 0,2,58,6 packedbits.h5
- rem Overlapped packed bits.
- call :tooltest tpbitsOverlapped.ddl -d /DS08BITS -M 0,1,1,1,2,1,0,3 packedbits.h5
- rem Maximum number of packed bits.
- call :tooltest tpbitsMax.ddl -d /DS08BITS -M 0,1,1,1,2,1,3,1,4,1,5,1,6,1,7,1 packedbits.h5
- rem Compound type.
- call :tooltest tpbitsCompound.ddl -d /dset1 -M 0,1,1,1 tcompound.h5
- rem Array type.
- call :tooltest tpbitsArray.ddl -d /Dataset1 -M 0,1,1,1 tarray1.h5
- rem Test Error handling.
- rem Too many packed bits requested. Max is 8 for now.
- call :tooltest tpbitsMaxExceeded.ddl -d /DS08BITS -M 0,1,0,1,1,1,2,1,3,1,4,1,5,1,6,1,7,1 packedbits.h5
- rem Offset too large. Max is 7 (8-1) for now.
- call :tooltest tpbitsOffsetExceeded.ddl -d /DS08BITS -M 64,1 packedbits.h5
- call :tooltest tpbitsCharOffsetExceeded.ddl -d /DS08BITS -M 8,1 packedbits.h5
- call :tooltest tpbitsIntOffsetExceeded.ddl -d /DS16BITS -M 16,1 packedbits.h5
- call :tooltest tpbitsLongOffsetExceeded.ddl -d /DS32BITS -M 32,1 packedbits.h5
- rem Bad offset, must not be negative.
- call :tooltest tpbitsOffsetNegative.ddl -d /DS08BITS -M -1,1 packedbits.h5
- rem Bad length, must not be positive.
- call :tooltest tpbitsLengthPositive.ddl -d /DS08BITS -M 4,0 packedbits.h5
- rem Offset+Length is too large. Max is 8 for now.
- call :tooltest tpbitsLengthExceeded.ddl -d /DS08BITS -M 37,28 packedbits.h5
- call :tooltest tpbitsCharLengthExceeded.ddl -d /DS08BITS -M 2,7 packedbits.h5
- call :tooltest tpbitsIntLengthExceeded.ddl -d /DS16BITS -M 10,7 packedbits.h5
- call :tooltest tpbitsLongLengthExceeded.ddl -d /DS32BITS -M 26,7 packedbits.h5
- rem Incomplete pair of packed bits request.
- call :tooltest tpbitsIncomplete.ddl -d /DS08BITS -M 0,2,2,1,0,2,2, packedbits.h5
-
- if %nerrors% equ 0 (
- echo.All %dumper% tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5dump/testh5dumpxml.bat b/windows/tools/h5dump/testh5dumpxml.bat
deleted file mode 100644
index 63356aa..0000000
--- a/windows/tools/h5dump/testh5dumpxml.bat
+++ /dev/null
@@ -1,224 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5dump tool
-rem
-rem Created: Scott Wegner, 8/27/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem set h5_lone_colon=%h5_lone_colon%
-
-rem The tool name
-set dumper=h5dump%2
-rem The path of the tool library
-set dumper_bin=%CD%\..\%dumper%\%1\%dumper%
-
-set nerrors=0
-set verbose=yes
-
-if not exist ..\testfiles mkdir ..\testfiles
-
-goto main
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing %dumper%
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=!test_msg!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. If a test fails then increment
-rem the `nerrors' global variable and (if $verbose is set) display the
-rem difference between the actual output and the expected output. The
-rem expected output is given as the first argument to this function and
-rem the actual output file is calculated by replacing the `.ddl' with
-rem `.out'. The actual output is not removed if HDF5_NOCLEANUP has a
-rem non-zero value.
-rem
-:tooltest
- set expect=%CD%\..\testfiles\%1
- set expect_eol=%CD%\..\testfiles\%~n1.eol
- set actual=%CD%\..\testfiles\%~n1.out
- set actual_err=%CD%\..\testfiles\%~n1.err
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/23/07
- set params=%*
- set params=%params:* =%
-
- rem Run test.
-
- (
- rem Remove quotes here, because Linux 'echo' command strips them.
- rem --SJW 8/24/07
- echo.#############################
- echo.Expected output for 'h5dump !params:"=!'
- echo.#############################
- pushd %CD%\..\testfiles
- %dumper_bin% !params!
- popd
- ) > %actual% 2> %actual_err%
- type %actual_err% >> %actual%
-
- if not exist %expect% (
- call :testing CREATED %params%
- copy %actual% %expect% > nul
- ) else (
- fc /w %expect% %actual% > nul
- if !errorlevel! equ 0 (
- call :testing PASSED %params%
- ) else (
- rem First, check if the error is caused by Unix-style EOL, because
- rem FC can fail incorrectly when comparing them. --SJW 5/30/08
- more < %expect% > %expect_eol%
- fc /w %expect_eol% %actual% > nul
- if !errorlevel! equ 0 (
- call :testing PASSED %params%
- ) else (
- call :testing *FAILED* %params%
- echo. Expected results ^(*.ddl^) differs from actual results ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc /w %expect% %actual%
- )
- )
- )
-
- rem Clean up output file
- if not defined HDF5_NOCLEANUP del /f %expect_eol% %actual% %actual_err%
-
- exit /b
-
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %*
- exit /b
-
-
-rem ############################################################################
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-rem ############################################################################
-:main
-
- rem test XML
- call :tooltest tall.h5.xml --xml tall.h5
- call :tooltest tattr.h5.xml --xml tattr.h5
- call :tooltest tbitfields.h5.xml --xml tbitfields.h5
- call :tooltest tcompound.h5.xml --xml tcompound.h5
- call :tooltest tcompound2.h5.xml --xml tcompound2.h5
- call :tooltest tdatareg.h5.xml --xml tdatareg.h5
- call :tooltest tdset.h5.xml --xml tdset.h5
- call :tooltest tdset2.h5.xml --xml tdset2.h5
- call :tooltest tenum.h5.xml --xml tenum.h5
- call :tooltest tgroup.h5.xml --xml tgroup.h5
- call :tooltest thlink.h5.xml --xml thlink.h5
- call :tooltest tloop.h5.xml --xml tloop.h5
- call :tooltest tloop2.h5.xml --xml tloop2.h5
- call :tooltest tmany.h5.xml --xml tmany.h5
- call :tooltest tnestedcomp.h5.xml --xml tnestedcomp.h5
- call :tooltest tcompound_complex.h5.xml --xml tcompound_complex.h5
- call :tooltest tobjref.h5.xml --xml tobjref.h5
- call :tooltest topaque.h5.xml --xml topaque.h5
- call :tooltest tslink.h5.xml --xml tslink.h5
- call :tooltest tudlink.h5.xml --xml tudlink.h5
- call :tooltest textlink.h5.xml --xml textlink.h5
- call :tooltest tstr.h5.xml --xml tstr.h5
- call :tooltest tstr2.h5.xml --xml tstr2.h5
- call :tooltest tref.h5.xml --xml tref.h5
- call :tooltest tname-amp.h5.xml --xml tname-amp.h5
- call :tooltest tname-apos.h5.xml --xml tname-apos.h5
- call :tooltest tname-gt.h5.xml --xml tname-gt.h5
- call :tooltest tname-lt.h5.xml --xml tname-lt.h5
- call :tooltest tname-quot.h5.xml --xml tname-quot.h5
- call :tooltest tname-sp.h5.xml --xml tname-sp.h5
- call :tooltest tstring.h5.xml --xml tstring.h5
- call :tooltest tstring-at.h5.xml --xml tstring-at.h5
- call :tooltest tref-escapes.h5.xml --xml tref-escapes.h5
- call :tooltest tref-escapes-at.h5.xml --xml tref-escapes-at.h5
- call :tooltest tnodata.h5.xml --xml tnodata.h5
- call :tooltest tarray1.h5.xml --xml tarray1.h5
- call :tooltest tarray2.h5.xml --xml tarray2.h5
- call :tooltest tarray3.h5.xml --xml tarray3.h5
- call :tooltest tarray6.h5.xml --xml tarray6.h5
- call :tooltest tarray7.h5.xml --xml tarray7.h5
- call :tooltest tvldtypes1.h5.xml --xml tvldtypes1.h5
- call :tooltest tvldtypes2.h5.xml --xml tvldtypes2.h5
- call :tooltest tvldtypes3.h5.xml --xml tvldtypes3.h5
- call :tooltest tvldtypes4.h5.xml --xml tvldtypes4.h5
- call :tooltest tvldtypes5.h5.xml --xml tvldtypes5.h5
- call :tooltest tvlstr.h5.xml --xml tvlstr.h5
- call :tooltest tsaf.h5.xml --xml tsaf.h5
- call :tooltest tempty.h5.xml --xml tempty.h5
- call :tooltest tnamed_dtype_attr.h5.xml --xml tnamed_dtype_attr.h5
- rem Test dataset and attribute of null space. Commented out:
- rem wait until the XML schema is updated for null space.
- rem call :tooltest tnullspace.h5.xml --xml tnulspace.h5
-
- rem other options for xml
-
- call :tooltest tempty-dtd.h5.xml --xml --use-dtd tempty.h5
- call :tooltest tempty-dtd-2.h5.xml --xml -u tempty.h5
-
- rem The lone colon here confuses some systems (Cray X1). Skip
- rem it if configure detects that this is a problem.
- if not "X$H5_LONE_COLON"=="Xno" (
- call :tooltest tempty-nons.h5.xml --xml -X ":" tempty.h5
- ) else (
- call :skip tempty-nons.h5.xml --xml -X ":" tempty.h5
- )
-
- call :tooltest tempty-nons-2.h5.xml --xml --xml-ns=":" tempty.h5
-
- rem Some of these combinations are syntactically correct but
- rem the URLs are dummies
- call :tooltest tempty-ns.h5.xml --xml -X "thing:" tempty.h5
- call :tooltest tempty-ns-2.h5.xml --xml --xml-ns="thing:" tempty.h5
- call :tooltest tempty-nons-uri.h5.xml --xml --xml-ns=":" --xml-dtd="http://somewhere.net" tempty.h5
- call :tooltest tempty-dtd-uri.h5.xml --xml --use-dtd --xml-dtd="http://somewhere.net" tempty.h5
-
- call :tooltest tall-2A.h5.xml --xml -A tall.h5
-
-
- rem tests for attribute order
- call :tooltest torderattr1.h5.xml --xml -H --sort_by=name --sort_order=ascending torderattr.h5
- call :tooltest torderattr2.h5.xml --xml -H --sort_by=name --sort_order=descending torderattr.h5
- call :tooltest torderattr3.h5.xml --xml -H --sort_by=creation_order --sort_order=ascending torderattr.h5
- call :tooltest torderattr4.h5.xml --xml -H --sort_by=creation_order --sort_order=descending torderattr.h5
-
-
-
- if %nerrors% equ 0 (
- echo.All %dumper% tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5dumpdll/h5dumpdll.vcproj b/windows/tools/h5dumpdll/h5dumpdll.vcproj
deleted file mode 100644
index bbe33d0..0000000
--- a/windows/tools/h5dumpdll/h5dumpdll.vcproj
+++ /dev/null
@@ -1,390 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5dumpdll"
- ProjectGUID="{7E207F6A-DC28-4DEB-8454-7977092131DC}"
- RootNamespace="h5dumpdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5dumpdll/Release/h5dumpdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dumpdll/Release/h5dumpdll.pch"
- AssemblerListingLocation=".\../../../tools/h5dumpdll/Release/"
- ObjectFile=".\../../../tools/h5dumpdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5dumpdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5dumpdll/Release/h5dumpdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5dumpdll/Release/h5dumpdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dumpdll/Release/h5dumpdll.pch"
- AssemblerListingLocation=".\../../../tools/h5dumpdll/Release/"
- ObjectFile=".\../../../tools/h5dumpdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5dumpdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5dumpdll/Release/h5dumpdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5dumpdll/Debug/h5dumpdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalOptions="/I /I&quot;..\..tools&quot;/Fp&quot;Debug/h5dumpdll.pch&quot; "
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dumpdll/Debug/h5dumpdll.pch"
- AssemblerListingLocation=".\../../../tools/h5dumpdll/Debug/"
- ObjectFile=".\../../../tools/h5dumpdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5dumpdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5dumpdll/Debug/h5dumpdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5dumpdll/Debug/h5dumpdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- AdditionalOptions="/I /I&quot;..\..tools&quot;/Fp&quot;Debug/h5dumpdll.pch&quot; "
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5dumpdll/Debug/h5dumpdll.pch"
- AssemblerListingLocation=".\../../../tools/h5dumpdll/Debug/"
- ObjectFile=".\../../../tools/h5dumpdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5dumpdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5dumpdll/Debug/h5dumpdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5dump\h5dump.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5import/h5import.vcproj b/windows/tools/h5import/h5import.vcproj
deleted file mode 100644
index 72cdb7b..0000000
--- a/windows/tools/h5import/h5import.vcproj
+++ /dev/null
@@ -1,390 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5import"
- ProjectGUID="{9E588AD8-14BD-4BA3-B4EA-16D1D882C700}"
- RootNamespace="h5import"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5import/Release/h5import.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5import/Release/h5import.pch"
- AssemblerListingLocation=".\../../../tools/h5import/Release/"
- ObjectFile=".\../../../tools/h5import/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5import/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5import/Release/h5import.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5import/Release/h5import.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- RuntimeLibrary="0"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5import/Release/h5import.pch"
- AssemblerListingLocation=".\../../../tools/h5import/Release/"
- ObjectFile=".\../../../tools/h5import/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5import/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5import/Release/h5import.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5import/Debug/h5import.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5import/Debug/h5import.pch"
- AssemblerListingLocation=".\../../../tools/h5import/Debug/"
- ObjectFile=".\../../../tools/h5import/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5import/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5import/Debug/h5import.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5import/Debug/h5import.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5import/Debug/h5import.pch"
- AssemblerListingLocation=".\../../../tools/h5import/Debug/"
- ObjectFile=".\../../../tools/h5import/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5import/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5import/Debug/h5import.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5import\h5import.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5import/h5importtestutil.bat b/windows/tools/h5import/h5importtestutil.bat
deleted file mode 100644
index 6ac8077..0000000
--- a/windows/tools/h5import/h5importtestutil.bat
+++ /dev/null
@@ -1,181 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem HDF Utilities Test script
-rem
-rem Created: Scott Wegner, 8/27/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem srcdir is used on Unix-- define as the current directory for Windows.
-set srcdir=%CD%
-
-rem The tool name
-set h5import=h5import%2
-rem The path of the tool binary
-set h5import_bin=%CD%\..\%h5import%\%1\%h5import%.exe
-
-rem The h5importtest tool name
-set h5importtest=..\testfiles\h5importtst\%1\h5importtst
-rem The path of the h5importtst tool binary
-set h5importtest_bin=%CD%\%h5importtest%.exe
-
-rem The h5dump tool name
-set h5dump=..\h5dump%2\%1\h5dump%2
-rem The path of the h5dump tool binary
-set h5dump_bin=%CD%\%h5dump%
-
-rem initialize errors variables
-set errors=0
-
-goto main
-
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT-ZERO here with "%0" for the tfamily test.
- rem --SJW 8/24/07
- set test_msg=!test_msg:PERCENT-ZERO=%%0!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-:tooltest
- set err=0
- %h5import_bin% %*
- %h5dump_bin% %5 > log2
-
- pushd tmp_testfiles
- %h5dump_bin% %5 > log1
- popd
-
- fc /w tmp_testfiles\log1 log2 > nul
- if %errorlevel% neq 0 set err=1
- del /f log2 tmp_testfiles\log1
- if "%err%"=="1" (
- set /a errors=!errors!+1
- call :testing *FAILED* %testing%
- ) else (
- call :testing: PASSED %testing%
- )
-
- exit /b
-
-
-:main
- echo.
- echo.==============================
- echo.H5IMPORT tests started
- echo.==============================
-
- if exist %h5import_bin% (
- if exist %h5importtest_bin% (
- rem echo.** Testing h5import ***
-
- del /f output.h5 log1 tx* b* *.dat 2> nul
-
- if not exist tmp_testfiles mkdir tmp_testfiles
- copy /y testfiles\*.h5 tmp_testfiles > nul
-
- %h5importtest_bin%
-
- rem On Linux, they call TESTING here, and output pass/fail from TOOLTEST.
- rem On Windows, echo gives a carriage return, so we store the TESTING params
- rem and call TESTING from TOOLTEST. --SJW 8/27/07
- set testing=ASCII I32 rank 3 - Output BE
- call :tooltest %srcdir%\testfiles\txtin16.txt -c %srcdir%\testfiles\txtin32.conf -o txtin32.h5
-
- set testing=ASCII I16 rank 3 - Output LE - CHUNKED - extended
- call :tooltest %srcdir%\testfiles\txtin16.txt -c %srcdir%\testfiles\txtin16.conf -o txtin16.h5
-
- set testing=ASCII I8 - rank 3 - Output I16 LE-Chunked+Extended+Compressed
- call :tooltest %srcdir%\testfiles\txtin16.txt -c %srcdir%\testfiles\txtin8.conf -o txtin8.h5
-
- set testing=ASCII UI32 - rank 3 - Output BE
- call :tooltest %srcdir%\testfiles\txtin32.txt -c %srcdir%\testfiles\txtuin32.conf -o txtuin32.h5
-
- set testing=ASCII UI16 - rank 2 - Output LE+Chunked+Compressed
- call :tooltest %srcdir%\testfiles\txtuin32.txt -c %srcdir%\testfiles\txtuin16.conf -o txtuin16.h5
-
- set testing=ASCII F32 - rank 3 - Output LE
- call :tooltest %srcdir%\testfiles\txtfp32.txt -c %srcdir%\testfiles\txtfp32.conf -o txtfp32.h5
-
- set testing=ASCII F64 - rank 3 - Output BE + CHUNKED+Extended+Compressed
- call :tooltest %srcdir%\testfiles\txtfp64.txt -c %srcdir%\testfiles\txtfp64.conf -o txtfp64.h5
-
- set testing=BINARY F64 - rank 3 - Output LE+CHUNKED+Extended+Compressed
- call :tooltest binfp64.bin -c %srcdir%\testfiles\binfp64.conf -o binfp64.h5
-
- set testing=BINARY I16 - rank 3 - Output order LE + CHUNKED + extended
- call :tooltest binin16.bin -c %srcdir%\testfiles\binin16.conf -o binin16.h5
-
- set testing=BINARY I8 - rank 3 - Output I16LE + Chunked+Extended+Compressed
- call :tooltest binin8.bin -c %srcdir%\testfiles\binin8.conf -o binin8.h5
-
- set testing=BINARY I32 - rank 3 - Output BE + CHUNKED
- call :tooltest binin32.bin -c %srcdir%\testfiles\binin32.conf -o binin32.h5
-
- set testing=BINARY UI16 - rank 3 - Output byte BE + CHUNKED
- call :tooltest binuin16.bin -c %srcdir%\testfiles\binuin16.conf -o binuin16.h5
-
- set testing=BINARY UI32 - rank 3 - Output LE + CHUNKED
- call :tooltest binuin32.bin -c %srcdir%\testfiles\binuin32.conf -o binuin32.h5
-
- set testing=STR
- call :tooltest %srcdir%\testfiles\txtstr.txt -c %srcdir%\testfiles\txtstr.conf -o txtstr.h5
-
- set testing=BINARY I8 CR LF EOF
- call :tooltest binin8w.bin -c %srcdir%\testfiles\binin8w.conf -o binin8w.h5
-
- set testing=ASCII F64 - rank 1 - INPUT-CLASS TEXTFPE
- call :tooltest %srcdir%\testfiles\textpfe64.txt -c %srcdir%\testfiles\textpfe.conf -o textpfe.h5
-
-
- del /f *.txt *.bin *.h5
- rmdir /s /q tmp_testfiles
-
- ) else (
- echo.** h5importtest not avaiable ***
- set /a errors=!errors!+1
- )
- ) else (
- echo.** h5import not avaiable ***
- set /a errors=!errors!+1
- )
-
- rem
- rem Check error results
- if %errors% equ 0 (
- echo.======================================
- echo. H5IMPORT Utilities tests have passed.
- echo.======================================
- ) else (
- echo.======================================
- echo. H5IMPORT Utilities tests encountered errors
- echo.======================================
- )
-
- popd
- endlocal & exit /b %errors%
-
diff --git a/windows/tools/h5importdll/h5importdll.vcproj b/windows/tools/h5importdll/h5importdll.vcproj
deleted file mode 100644
index 9960816..0000000
--- a/windows/tools/h5importdll/h5importdll.vcproj
+++ /dev/null
@@ -1,382 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5importdll"
- ProjectGUID="{2DCDB978-79B7-4A3A-B24A-D908A49B7D50}"
- RootNamespace="h5importdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5importdll/Release/h5importdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5importdll/Release/h5importdll.pch"
- AssemblerListingLocation=".\../../../tools/h5importdll/Release/"
- ObjectFile=".\../../../tools/h5importdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5importdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5importdll/Release/h5importdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5importdll/Release/h5importdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="2"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5importdll/Release/h5importdll.pch"
- AssemblerListingLocation=".\../../../tools/h5importdll/Release/"
- ObjectFile=".\../../../tools/h5importdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5importdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5importdll/Release/h5importdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5importdll/Debug/h5importdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5importdll/Debug/h5importdll.pch"
- AssemblerListingLocation=".\../../../tools/h5importdll/Debug/"
- ObjectFile=".\../../../tools/h5importdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5importdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5importdll/Debug/h5importdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5importdll/Debug/h5importdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5importdll/Debug/h5importdll.pch"
- AssemblerListingLocation=".\../../../tools/h5importdll/Debug/"
- ObjectFile=".\../../../tools/h5importdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5importdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5importdll/Debug/h5importdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5import\h5import.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5jam/h5jam.vcproj b/windows/tools/h5jam/h5jam.vcproj
deleted file mode 100644
index 37b1835..0000000
--- a/windows/tools/h5jam/h5jam.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5jam"
- ProjectGUID="{196F5935-2391-49A7-B6A2-410DF8149F0D}"
- RootNamespace="h5jam"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5jam/Release/h5jam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5jam/Release/h5jam.pch"
- AssemblerListingLocation=".\../../../tools/h5jam/Release/"
- ObjectFile=".\../../../tools/h5jam/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5jam/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5jam/Release/h5jam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5jam/Release/h5jam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5jam/Release/h5jam.pch"
- AssemblerListingLocation=".\../../../tools/h5jam/Release/"
- ObjectFile=".\../../../tools/h5jam/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5jam/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5jam/Release/h5jam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5jam/Debug/h5jam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5jam/Debug/h5jam.pch"
- AssemblerListingLocation=".\../../../tools/h5jam/Debug/"
- ObjectFile=".\../../../tools/h5jam/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5jam/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5jam/Debug/h5jam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5jam/Debug/h5jam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5jam/Debug/h5jam.pch"
- AssemblerListingLocation=".\../../../tools/h5jam/Debug/"
- ObjectFile=".\../../../tools/h5jam/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5jam/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5jam/Debug/h5jam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5jam\h5jam.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5jam/testh5jam.bat b/windows/tools/h5jam/testh5jam.bat
deleted file mode 100644
index aaefe23..0000000
--- a/windows/tools/h5jam/testh5jam.bat
+++ /dev/null
@@ -1,598 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5jam/h5unjam tools
-rem
-rem Created: Scott Wegner, 8/27/07
-rem Modified:
-rem
-
-rem We currently don't build DLL version of h5jam / h5unjam, but the test script
-rem is setup to handle it if we ever decide to. --SJW 8/27/07
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set h5pubconf=%CD%\..\..\src\h5pubconf.h
-
-rem Determine which filters are available
-rem On Windows, the function :detect_filter sets these for us
-call :detect_filter szip
-call :detect_filter deflate
-call :detect_filter shuffle
-call :detect_filter fletcher32
-
-rem The dumper to use
-set dumper=..\h5dump%2\%1\h5dump%2
-rem The path of the dumper binary
-set dumper_bin=%CD%\%dumper%
-
-rem Tool to test
-set jam=h5jam%2
-rem Tool to test
-set unjam=h5unjam%2
-rem The path of the jam binary
-set jam_bin=%CD%\..\%jam%\%1\%jam%
-rem The path of the unjam binary
-set unjam_bin=%CD%\..\%unjam%\%1\%unjam%
-
-rem The tellub to use
-set tellub=..\..\test\tellub%2\%1\tellub%2
-rem The path of the tellub binary
-set tellub_bin=%CD%\%tellub%
-
-rem The getub to use
-set getub=..\..\test\getub%2\%1\getub%2
-rem The path of the getub binary
-set getub_bin=%CD%\%getub%
-
-set nerrors=0
-set verbose=yes
-
-set testfiles=%CD%\testfiles
-
-goto main
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem Replace ARROW_RIGHT with the correct symbol. If it was passed directly,
- rem our output would be incorrectly redirected. --SJW 8/27/07
- set test_msg=!test_msg:ARROW_RIGHT=^>!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Compare".
-rem
-:compare
- set test_msg=Compare
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=!test_msg!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %*
- exit /b
-
-
-rem
-rem COMPARE_FILES a.h5 b.h5
-rem Compare two files, skipping the first line. This is used to
-rem compare the output of the dumper, skipping the file name which
-rem is different.
-rem The result is stored in 'compval'.
-rem
-:compare_files
- rem The easiest way to compare 2 files on Windows and skip the first line
- rem is to simply filter the first line differences from the output. If the
- rem first line is different, FC will also display the second line.
- rem --SJW 8/27/07
- fc %1 %2 | findstr /v /b /c:"Comparing files" | findstr /v /b /c:"*****" > cmp1
- findstr /v /b /c:" 1: " cmp1 | findstr /v /b /c:" 2: " > cmp2
- findstr /b /c:" " cmp2 > nul
- if %errorlevel% neq 1 (
- set cmpval=1
- ) else (
- set cmpval=0
- )
- del /f cmp1 cmp2
-
- exit /b
-
-
-rem CLEANUP files
-rem Clean up named files
-:cleanup
- if not defined hdf5_nocleanup (
- for %%a in (%*) do (
- del /f %%a 2> nul
- )
- )
- exit /b
-
-
-rem SETUP file tocopy
-rem Clone a standard input file in the test directory
-rem
-:setup
- copy /y %1 %2 > nul
- exit /b
-
-
-rem
-rem CHECKFILE orig.h5 compar.h5
-rem Check that the test file is the same as an original.
-rem The two files are dumped with the dumper, and the output
-rem compared with COMPARE_FILES.
-rem If the files are the same, the test reports " PASSED",
-rem otherwise, it reports "*FAILED*"
-:checkfile
- set expected=%~dpn2.out
- set expected_err=%~dpn2.err
- set actual=%~n1.out
- set actual_err=%~n1.err
- %dumper_bin% %1 >%expected% 2>%expected_err%
- type %expected_err% >> %expected%
-
- rem dump the test file
- %dumper_bin% %2 >%actual% 2>%actual_err%
- type %actual_err% >> %actual%
-
- rem compare the two files (ignore line 1)
- call :compare_files %actual% %expected%
- if "%cmpval%"=="0" (
- call :compare PASSED %2 to %1
- ) else (
- call :compare *FAILED* %2 to %1
- echo. Expected result ^(*.ddl^) differs from actual result ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc %expected% %actual%
- )
-
- rem Clean up output files
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_err%
- del /f %expected% %expected_err%
- )
- exit /b
-
-
-rem
-rem CHECK_UB file.h5 user_block_file origfile.h5
-rem
-rem Check the user block in 'file.h5' is the same as
-rem 'user_block' (allowing for padding).
-rem
-rem If the original file had a user block before the test
-rem then 'compare.h5' is passed. The user block must be extracted
-rem and the test file compared to:
-rem cat compare_ub user_block_file.
-rem
-rem This test uses './getub' to extract the user block from
-rem 'file.h5', which is compared to the file described above.
-rem
-rem The result is set in variable 'result1'.
-rem
-:check_ub_1
- set hfile=%1
- set ufile=%2
-
- rem check for third argument (the original file)
- set origfile=
- if not "%3"=="" (
- set origfile="%3"
- )
-
- rem find the length of the user block to check
- for /f "tokens=4" %%a in ('dir /-c %ufile% ^| findstr /v /b /c:" "') do (
- set s1=%%a
- )
- if "%s1%"=="0" (
- echo.File %ufile% is empty
- set result1=1
- )
-
- rem Get the size of the original user block, if any
- if defined origfile (
- rem 'tellub' calls H5Fget_user_block to get the size
- rem of the user block
- for /f %%a in ('%tellub_bin% %origfile%') do set s2=%%a
- if "!s2!"=="0" (
- set size=%s1%
- set cmpfile=%ufile%
- ) else (
- set cmpfile=tt2
- set /a size=!s2!+%s1%
- %getub_bin% -c !s2! %origfile% > !cmpfile!
- type %ufile% >> !cmpfile!
- )
- ) else (
- rem assume no user block
- set s2=0
- set size=%s1%
- set cmpfile=%ufile%
- )
-
- rem Extract 'size' bytes from the front of 'hfile'
- rem Compare to 'cmpfile', result is set in result1
- set tfile=tt1
- %getub_bin% -c %size% %hfile% > %tfile%
- fc /w %cmpfile% %tfile% > nul
- if %errorlevel% neq 0 (
- fc /w %cmpfile% %file%
- set result1=1
- ) else (
- set result1=0
- )
-
- rem clean up
- del /f %tfile%
- if not "%s2%"=="0" (
- del /f %cmpfile%
- )
- exit /b
-
-
-rem CHECK_NOUB file.h5
-rem
-rem Check that 'file.h5' has no user block.
-rem Setst result2 to 1 if there is a user block (fail), 0 if none (pass)
-:check_noub
- set hfile=%1
- rem call `ubsize` to get the size of the user block
- %tellub_bin% %hfile% > tmp.txt
- if %errorlevel% neq 0 (
- rem error
- set result2=1
- ) else (
- for /f %%a in (tmp.txt) do set ubsize=%%a
- if "!ubsize!"=="0" (
- rem pass
- set result2=0
- ) else (
- rem fail
- set result2=1
- )
- )
- del /f tmp.txt 2> nul
-
- exit /b
-
-
-rem JAMTEST user_block file.h5 [--clobber] [ofile.h5]
-rem
-rem Test the 'jam' tool:
-rem 1. figure out the input and output, and the comparision
-rem that will be done.
-rem 2. call 'jam' with the appropriate arguments
-rem 3. check the user block is correct in the output (Check_UB)
-rem If the user block is correct, print "PASSED", else "*FAILED*"
-:jamtest
- set ufile=%1
- set ifile=%2
- rem the file to test
- set compare_test=
- rem the comparison to test against
- set compare_orig=
- set cleanup=
-
- rem sort out the arguments for the test and the check
- set do_clobber=no
- if "%3"=="--clobber" (
- rem clobber overwrites and existing user block
- set do_clobber=yes
- set clobber=--clobber
- set compare_orig=
- if "%4"=="" (
- rem output goes to infile, compare ubfile to infile
- set ofile=
- set compare_test=%ifile%
- ) else (
- rem output goes to %4, compare ofile to ubfile
- set ofile=%4
- set compare_test=!ofile!
- )
- ) else (
- set clobber=
- rem add user block to existing ub, if any
- if "%3"=="" (
- rem output goes to infile, compare ubfile to infile
- set ofile=
- set compare_test=%ifile%
- copy /y %ifile% xxofile.h5 > nul
- set compare_orig=xxofile.h5
- set cleanup=%cleanup% !compare_orig!
- ) else (
- rem output goes to %4, compare ofile to ubfile
- set ofile=%3
- set compare_test=!ofile!
- set compare_orig=%ifile%
- )
- )
-
- rem call 'jam' with the appropriate arguments
- if defined ofile (
- %jam_bin% -u %ufile% -i %ifile% -o %ofile% %clobber%
- ) else (
- %jam_bin% -u %ufile% -i %ifile% %clobber%
- )
-
- call :check_ub_1 %compare_test% %ufile% %compare_orig%
-
- if "%result1%"=="0" (
- if defined ofile (
- call :testing PASSED %jam% -u %ufile% -i %ifile% -o %ofile% %clobber%
- ) else (
- call :testing PASSED %jam% -u %ufile% -i %ifile% %clobber%
- )
- ) else (
- if defined ofile (
- call :testing *FAILED* %jam% -u %ufile% -i %ifile% -o %ofile% %clobber%
- ) else (
- call :testing *FAILED* %jam% -u %ufile% -i %ifile% %clobber%
- )
- set /a nerrors=%nerrors%+1
- )
- call :cleanup %cleanup%
-
- exit /b
-
-
-rem UNJAMTEST file.h5 [- | --delete] ofile
-rem
-rem Test the 'unjam' tool
-rem
-rem ##fix the working directory here and in jamtest
-:unjamtest
- set infile=%1
- set ofile=%3
- if "%2%"=="-" (
- set uofile=uofile
- %unjam_bin% -i %infile% -o %ofile% > !uofile!
- ) else if "%2"=="--delete" (
- set uofile=none
- %unjam_bin% -i %infile% -o %ofile% --delete
- ) else (
- set uofile=%2
- %unjam_bin% -i %infile% -u !uofile! -o %ofile%
- )
-
- set result1=0
- set result2=0
- set cleanup=
- if not "%uofile%"=="none" (
- rem sets results1
- call :check_ub_1 %infile% %uofile%
- call :cleanup %uofile%
- )
-
- rem sets result2
- call :check_noub %ofile%
- if "%result1% and %result2%"=="0 and 0" (
- if "%2%"=="-" (
- rem We use "ARROW_RIGHT" here and replace it in :testing because
- rem Windows interprets it as a pipe. --SJW 8/27/07
- call :testing PASSED %unjam% -i %infile% -o %ofile% ARROW_RIGHT %uofile%
- ) else if "%2"=="--delete" (
- call :testing PASSED %unjam% -i %infile% -o %ofile% --delete
- ) else (
- call :testing PASSED %unjam% -i %infile% -u %uofile% -o %ofile%
- )
- ) else (
- if "%2%"=="-" (
- rem We use "ARROW_RIGHT" here and replace it in :testing because
- rem Windows interprets it as a pipe. --SJW 8/27/07
- call :testing *FAILED* %unjam% -i %infile% -o %ofile% ARROW_RIGHT %uofile%
- ) else if "%2"=="--delete" (
- call :testing *FAILED* %unjam% -i %infile% -o %ofile% --delete
- ) else (
- call :testing *FAILED* %unjam% -i %infile% -u %uofile% -o %ofile%
- )
- set /a nerrors=%nerrors%+1
- )
-
- exit /b
-
-
-rem This is a Windows-specific function that detects if the filter passed
-rem should be enabled for this test script. It searches H5pubconf.h for the
-rem string "#define H5_HAVE_FILTER_%1" and sets the variable "use_filter_%1"
-rem accordingly. On other platforms, this variable is set in the Makefile.
-rem If we find a better way to test this in the future, we should use it.
-rem --SJW 9/4/07
-:detect_filter
- findstr /b /i /c:"#define H5_HAVE_FILTER_%1" %h5pubconf% > nul
- if %errorlevel% equ 0 (
- set use_filter_%1=yes
- ) else (
- set use_filter_%1=no
- )
-
- exit /b
-
-
-rem ############################################################################
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-rem ############################################################################
-:main
- call :jamtest %testfiles%\u10.txt %testfiles%\tall.h5 ta2.h5
- call :checkfile %testfiles%\tall.h5 ta2.h5
- call :cleanup ta2.h5
- call :jamtest %testfiles%\u511.txt %testfiles%\tall.h5 ta3.h5
- call :checkfile %testfiles%\tall.h5 ta3.h5
- call :cleanup ta3.h5
- call :jamtest %testfiles%\u512.txt %testfiles%\tall.h5 ta4.h5
- call :checkfile %testfiles%\tall.h5 ta4.h5
- call :cleanup ta4.h5
- call :jamtest %testfiles%\u513.txt %testfiles%\tall.h5 ta5.h5
- call :checkfile %testfiles%\tall.h5 ta5.h5
- call :cleanup ta5.h5
-
- call :setup %testfiles%\tall.h5 ta.h5
- call :jamtest %testfiles%\u10.txt ta.h5
- call :checkfile %testfiles%\tall.h5 ta.h5
- call :setup %testfiles%\tall.h5 ta.h5
- call :jamtest %testfiles%\u511.txt ta.h5
- call :checkfile %testfiles%\tall.h5 ta.h5
- call :setup %testfiles%\tall.h5 ta.h5
- call :jamtest %testfiles%\u512.txt ta.h5
- call :checkfile %testfiles%\tall.h5 ta.h5
- call :setup %testfiles%\tall.h5 ta.h5
- call :jamtest %testfiles%\u513.txt ta.h5
- call :checkfile %testfiles%\tall.h5 ta.h5
- call :cleanup ta.h5
-
- call :jamtest %testfiles%\u10.txt %testfiles%\twithub.h5 tax2.h5
- call :checkfile %testfiles%\tall.h5 tax2.h5
- call :cleanup tax2.h5
- call :jamtest %testfiles%\u511.txt %testfiles%\twithub.h5 tax3.h5
- call :checkfile %testfiles%\tall.h5 tax3.h5
- call :cleanup tax3.h5
- call :jamtest %testfiles%\u512.txt %testfiles%\twithub.h5 tax4.h5
- call :checkfile %testfiles%\tall.h5 tax4.h5
- call :cleanup tax4.h5
- call :jamtest %testfiles%\u513.txt %testfiles%\twithub.h5 tax5.h5
- call :checkfile %testfiles%\tall.h5 tax5.h5
- call :cleanup tax5.h5
-
- call :jamtest %testfiles%\u10.txt %testfiles%\twithub513.h5 tax6.h5
- call :checkfile %testfiles%\tall.h5 tax6.h5
- call :cleanup tax6.h5
- call :jamtest %testfiles%\u511.txt %testfiles%\twithub513.h5 tax7.h5
- call :checkfile %testfiles%\tall.h5 tax7.h5
- call :cleanup tax7.h5
- call :jamtest %testfiles%\u512.txt %testfiles%\twithub513.h5 tax8.h5
- call :checkfile %testfiles%\tall.h5 tax8.h5
- call :cleanup tax8.h5
- call :jamtest %testfiles%\u513.txt %testfiles%\twithub513.h5 tax9.h5
- call :checkfile %testfiles%\tall.h5 tax9.h5
- call :cleanup tax9.h5
-
- call :jamtest %testfiles%\u10.txt %testfiles%\twithub.h5 --clobber taz2.h5
- call :checkfile %testfiles%\tall.h5 taz2.h5
- call :cleanup taz2.h5
- call :jamtest %testfiles%\u511.txt %testfiles%\twithub.h5 --clobber taz3.h5
- call :checkfile %testfiles%\tall.h5 taz3.h5
- call :cleanup taz3.h5
- call :jamtest %testfiles%\u512.txt %testfiles%\twithub.h5 --clobber taz4.h5
- call :checkfile %testfiles%\tall.h5 taz4.h5
- call :cleanup taz4.h5
- call :jamtest %testfiles%\u513.txt %testfiles%\twithub.h5 --clobber taz5.h5
- call :checkfile %testfiles%\tall.h5 taz5.h5
- call :cleanup taz5.h5
-
- call :jamtest %testfiles%\u10.txt %testfiles%\twithub513.h5 --clobber taz6.h5
- call :checkfile %testfiles%\tall.h5 taz6.h5
- call :cleanup taz6.h5
- call :jamtest %testfiles%\u511.txt %testfiles%\twithub513.h5 --clobber taz7.h5
- call :checkfile %testfiles%\tall.h5 taz7.h5
- call :cleanup taz7.h5
- call :jamtest %testfiles%\u512.txt %testfiles%\twithub513.h5 --clobber taz8.h5
- call :checkfile %testfiles%\tall.h5 taz8.h5
- call :cleanup taz8.h5
- call :jamtest %testfiles%\u513.txt %testfiles%\twithub513.h5 --clobber taz9.h5
- call :checkfile %testfiles%\tall.h5 taz9.h5
- call :cleanup taz9.h5
-
- call :setup %testfiles%\twithub.h5 tay2.h5
- call :jamtest %testfiles%\u10.txt tay2.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay2.h5
- call :cleanup tay2.h5
- call :setup %testfiles%\twithub.h5 tay3.h5
- call :jamtest %testfiles%\u511.txt tay3.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay3.h5
- call :cleanup tay3.h5
- call :setup %testfiles%\twithub.h5 tay4.h5
- call :jamtest %testfiles%\u512.txt tay4.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay4.h5
- call :cleanup tay4.h5
- call :setup %testfiles%\twithub.h5 tay5.h5
- call :jamtest %testfiles%\u513.txt tay5.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay5.h5
- call :cleanup tay5.h5
-
- call :setup %testfiles%\twithub513.h5 tay6.h5
- call :jamtest %testfiles%\u10.txt tay6.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay6.h5
- call :cleanup tay6.h5
- call :setup %testfiles%\twithub513.h5 tay7.h5
- call :jamtest %testfiles%\u511.txt tay7.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay7.h5
- call :cleanup tay7.h5
- call :setup %testfiles%\twithub513.h5 tay8.h5
- call :jamtest %testfiles%\u512.txt tay8.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay8.h5
- call :cleanup tay8.h5
- call :setup %testfiles%\twithub513.h5 tay9.h5
- call :jamtest %testfiles%\u513.txt tay9.h5 --clobber
- call :checkfile %testfiles%\tall.h5 tay9.h5
- call :cleanup tay9.h5
-
- call :setup %testfiles%\twithub.h5 tai1.h5
- call :unjamtest tai1.h5 o10.txt taa1.h5
- call :checkfile %testfiles%\tall.h5 taa1.h5
- call :cleanup taa1.h5 tai1.h5 o10.txt
- call :setup %testfiles%\twithub513.h5 tai2.h5
- call :unjamtest tai2.h5 o512.txt taa2.h5
- call :checkfile %testfiles%\tall.h5 taa2.h5
- call :cleanup taa2.h5 tai2.h5 o512.txt
-
- call :setup %testfiles%\twithub.h5 tai3.h5
- call :unjamtest tai3.h5 - taa3.h5
- call :checkfile %testfiles%\tall.h5 taa3.h5
- call :cleanup taa3.h5 tai3.h5
- call :setup %testfiles%\twithub513.h5 tai4.h5
- call :unjamtest tai4.h5 - taa4.h5
- call :checkfile %testfiles%\tall.h5 taa4.h5
- call :cleanup taa4.h5 tai4.h5
-
- call :setup %testfiles%\twithub.h5 taj2.h5
- call :unjamtest taj2.h5 --delete tac2.h5
- call :checkfile %testfiles%\tall.h5 tac2.h5
- call :cleanup tac2.h5 taj2.h5
- call :setup %testfiles%\twithub513.h5 taj3.h5
- call :unjamtest taj3.h5 --delete tac3.h5
- call :checkfile %testfiles%\tall.h5 tac3.h5
- call :cleanup tac3.h5 taj3.h5
-
- if %nerrors% equ 0 (
- echo.All %jam% tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5ls/h5ls.vcproj b/windows/tools/h5ls/h5ls.vcproj
deleted file mode 100644
index e1001ef..0000000
--- a/windows/tools/h5ls/h5ls.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5ls"
- ProjectGUID="{357A1E1A-D5EA-42FB-B4B1-EEB8A4B2BBF0}"
- RootNamespace="h5ls"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5ls/Release/h5ls.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5ls/Release/h5ls.pch"
- AssemblerListingLocation=".\../../../tools/h5ls/Release/"
- ObjectFile=".\../../../tools/h5ls/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5ls/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5ls/Release/h5ls.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5ls/Release/h5ls.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5ls/Release/h5ls.pch"
- AssemblerListingLocation=".\../../../tools/h5ls/Release/"
- ObjectFile=".\../../../tools/h5ls/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5ls/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5ls/Release/h5ls.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5ls/Debug/h5ls.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5ls/Debug/h5ls.pch"
- AssemblerListingLocation=".\../../../tools/h5ls/Debug/"
- ObjectFile=".\../../../tools/h5ls/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5ls/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5ls/Debug/h5ls.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5ls/Debug/h5ls.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5ls/Debug/h5ls.pch"
- AssemblerListingLocation=".\../../../tools/h5ls/Debug/"
- ObjectFile=".\../../../tools/h5ls/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5ls/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5ls/Debug/h5ls.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5ls\h5ls.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5ls/testh5ls.bat b/windows/tools/h5ls/testh5ls.bat
deleted file mode 100644
index f15274c..0000000
--- a/windows/tools/h5ls/testh5ls.bat
+++ /dev/null
@@ -1,263 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5ls tool
-rem
-rem Created: Scott Wegner, 8/28/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem The tool name
-set h5ls=h5ls%2
-rem The path of the tool binary
-set h5ls_bin=%CD%\..\%h5ls%\%1\%h5ls%
-
-rem Max. lines of output to display if test fails
-set nlines=20
-
-set nerrors=0
-set verbose=yes
-
-if not exist ..\testfiles mkdir ..\testfiles
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing %h5ls%
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT-ZERO here with "%0" for the tfamily test.
- rem --SJW 8/24/07
- set test_msg=!test_msg:PERCENT-ZERO=%%0!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. For now, if h5ls can complete
-rem with exit status 0, consider it pass. If a test fails then increment
-rem the `nerrors' global variable and (if $verbose is set) display up to %nlines%
-rem lines of the actual output from the tool test. The actual output is not
-rem removed if $HDF5_NOCLEANUP has a non-zero value.
-rem Arguemnts:
-rem %1 -- actual output filename to use
-rem %2 and on -- argument for the h5ls tool
-:tooltest
- set expect=%CD%\..\testfiles\%1
- set actual=%CD%\..\testfiles\%~n1.out
- set actual_err=%CD%\..\testfiles\%~n1.err
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/23/07
- set params=%*
- set params=%params:* =%
-
- rem Target the first variable in params, retvalexpect
- for %%a in (%params%) do (
- set retvalexpect=%%a
- set params=%params:* =%
- goto break1
- )
- :break1
-
- rem Run test.
- rem Stderr is included in stdout so that the diff can detect
- rem any unexpected output from that stream too
- (
- echo.#############################
- rem We strip out the parentesis here because echo on Linux does.
- rem --SJW 8/28/07
- echo. output for 'h5ls %params:"=%'
- echo.#############################
- pushd %CD%\..\testfiles
- %h5ls_bin% %params%
- popd
- ) >%actual% 2>%actual_err%
-
- set exitcode=%errorlevel%
- type %actual_err% >> %actual%
- if "%exitcode%" neq "%retvalexpect%" (
- call :testing *FAILED* %params%
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" (
- echo.test returned with exit code !exitcode!
- echo.test output: ^(up to %nlines% lines^)
- rem Count lines echo'ed, and break out after 20. --SJW 8/28/07
- set line=0
- for /f "tokens=* delims=" %%a in (%actual%) do (
- if !line! geq %nlines% goto break2
- echo.%%a
- set /a line=!line!+1
- )
- :break2
- echo.***end of test output***
- echo.
- )
- rem Don't special case non-existing expected output as Linux does, because
- rem we depend on it above to parse anyway. It should be an error if it
- rem doesn't exist. --SJW 8/28/07
- rem ) else if not exist %expect% (
- rem rem Create the expect file if it doesn't yet exist
- rem call :testing CREATED %params%
- rem copy %actual% %expect% > nul
- ) else (
- fc /w %expect% %actual% > nul
- if !errorlevel! equ 0 (
- call :testing PASSED %params%
- ) else (
- call :testing *FAILED* %params%
- echo. Expected result differs from actual result
- set nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc %expect% %actual%
- )
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_err%
- )
-
- exit /b
-
-
-rem ############################################################################
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-rem ############################################################################
-:main
-
- rem Toss in a bunch of tests. Not sure if they are the right kinds.
- rem test the help syntax
- call :tooltest help-1.ls 0 -w80 -h
- call :tooltest help-2.ls 0 -w80 -help
- call :tooltest help-3.ls 0 -w80 -?
-
- rem test simple command
- call :tooltest tall-1.ls 0 -w80 tall.h5
- call :tooltest tall-2.ls 0 -w80 -r -d tall.h5
- call :tooltest tgroup.ls 0 -w80 tgroup.h5
- call :tooltest tgroup-3.ls 0 -w80 tgroup.h5/g1
-
- rem test for displaying groups
- call :tooltest tgroup-1.ls 1 -w80 -r -g tgroup.h5
- call :tooltest tgroup-2.ls 0 -w80 -g tgroup.h5/g1
-
- rem test for displaying simple space datasets
- call :tooltest tdset-1.ls 0 -w80 -r -d tdset.h5
-
- rem test for displaying soft links
- call :tooltest tslink-1.ls 0 -w80 -r tslink.h5
-
- rem test for displaying more soft links with --follow-symlinks
- call :tooltest tsoftlinks-1.ls 0 --follow-symlinks tsoftlinks.h5
- call :tooltest tsoftlinks-2.ls 0 --follow-symlinks -r tsoftlinks.h5
- call :tooltest tsoftlinks-3.ls 0 --follow-symlinks tsoftlinks.h5/group1
- call :tooltest tsoftlinks-4.ls 0 --follow-symlinks -r tsoftlinks.h5/group1
- call :tooltest tsoftlinks-5.ls 0 --follow-symlinks tsoftlinks.h5/soft_dset1
-
- rem test for displaying external and user-defined links with
- rem --follow-symlinks
- call :tooltest textlink-1.ls 0 -w80 -r textlink.h5
- call :tooltest textlinksrc-1.ls 0 -w80 --follow-symlinks -r textlinksrc.h5
- call :tooltest textlinksrc-2.ls 0 -w80 --follow-symlinks -rv textlinksrc.h5/ext_link5
- call :tooltest textlinksrc-3.ls 0 -w80 --follow-symlinks -r textlinksrc.h5/ext_link1
- call :tooltest textlinksrc-4.ls 0 -w80 -r textlinksrc.h5
- call :tooltest textlinksrc-5.ls 0 -w80 -r textlinksrc.h5/ext_link1
- call :tooltest textlinksrc-6.ls 0 -w80 --follow-symlinks textlinksrc.h5
- call :tooltest textlinksrc-7.ls 0 -w80 --follow-symlinks textlinksrc.h5/ext_link1
- call :tooltest tudlink-1.ls 0 -w80 -r tudlink.h5
-
- rem test for displaying external links with -E
- rem the option -E will be depriciated but keep it for backward compatibility
- call :tooltest textlinksrc-1-old.ls 0 -w80 -Er textlinksrc.h5
- call :tooltest textlinksrc-2-old.ls 0 -w80 -Erv textlinksrc.h5/ext_link5
- call :tooltest textlinksrc-3-old.ls 0 -w80 -Er textlinksrc.h5/ext_link1
- call :tooltest textlinksrc-6-old.ls 0 -w80 -E textlinksrc.h5
- call :tooltest textlinksrc-7-old.ls 0 -w80 -E textlinksrc.h5/ext_link1
-
- rem tests for no-dangling-links
- rem if this option is given on dangling link, h5ls should return exit code 1
- rem when used alone , expect to print out help and return exit code 1
- call :tooltest textlinksrc-nodangle-1.ls 1 -w80 --no-dangling-links textlinksrc.h5
- rem external dangling link - expected exit code 1
- call :tooltest textlinksrc-nodangle-2.ls 1 -w80 --follow-symlinks --no-dangling-links textlinksrc.h5
- rem soft dangling link - expected exit code 1
- call :tooltest tsoftlinks-nodangle-1.ls 1 -w80 --follow-symlinks --no-dangling-links tsoftlinks.h5
- rem when used file with no dangling links - expected exit code 0
- call :tooltest thlinks-nodangle-1.ls 0 -w80 --follow-symlinks --no-dangling-links thlink.h5
-
- rem tests for hard links
- call :tooltest thlink-1.ls 0 -w80 thlink.h5
-
- rem tests for compound data types
- call :tooltest tcomp-1.ls 0 -w80 -r -d tcompound.h5
-
- rem test for the nested compound type
- call :tooltest tnestcomp-1.ls 0 -w80 -r -d tnestedcomp.h5
- call :tooltest tnestcomp-2.ls 0 -w80 -r -d -S tnestedcomp.h5
- call :tooltest tnestcomp-3.ls 0 -w80 -r -d -l tnestedcomp.h5
- call :tooltest tnestcomp-4.ls 0 -w80 -r -d -l -S tnestedcomp.h5
-
- rem test for loop detection
- call :tooltest tloop-1.ls 0 -w80 -r -d tloop.h5
-
- rem test for string
- call :tooltest tstr-1.ls 0 -w80 -r -d tstr.h5
-
- rem test test file created from lib SAF team
- call :tooltest tsaf.ls 0 -w80 -r -d tsaf.h5
-
- rem test for variable length data types
- call :tooltest tvldtypes1.ls 0 -w80 -r -d tvldtypes1.h5
-
- rem test for array data types
- call :tooltest tarray1.ls 0 -w80 -r -d tarray1.h5
-
- rem test for empty data
- call :tooltest tempty.ls 0 -w80 -d tempty.h5
-
- rem test for all dataset types written to attributes
- rem enable -S for avoiding printing NATIVE types
- call :tooltest tattr2.ls 0 -w80 -v -S tattr2.h5
-
- rem tests for error handling.
- rem test for non-existing file
- call :tooltest nosuchfile.ls 1 nosuchfile.h5
-
- rem test for variable length data types in verbose mode
- call :tooltest tvldtypes2le.ls 0 -v tvldtypes1.h5
-
- rem test for dataset region references data types in verbose mode
- call :tooltest tdataregle.ls 0 -v tdatareg.h5
-
- if %nerrors% equ 0 (
- echo.All h5ls tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5lsdll/h5lsdll.vcproj b/windows/tools/h5lsdll/h5lsdll.vcproj
deleted file mode 100644
index 7d1dc0e..0000000
--- a/windows/tools/h5lsdll/h5lsdll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5lsdll"
- ProjectGUID="{18FBE8C2-CD20-4D99-9E0B-63B408CE4850}"
- RootNamespace="h5lsdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5lsdll/Debug/h5lsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5lsdll/Debug/h5lsdll.pch"
- AssemblerListingLocation=".\../../../tools/h5lsdll/Debug/"
- ObjectFile=".\../../../tools/h5lsdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5lsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5lsdll/Debug/h5lsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5lsdll/Debug/h5lsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5lsdll/Debug/h5lsdll.pch"
- AssemblerListingLocation=".\../../../tools/h5lsdll/Debug/"
- ObjectFile=".\../../../tools/h5lsdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5lsdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5lsdll/Debug/h5lsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5lsdll/Release/h5lsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5lsdll/Release/h5lsdll.pch"
- AssemblerListingLocation=".\../../../tools/h5lsdll/Release/"
- ObjectFile=".\../../../tools/h5lsdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5lsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5lsdll/Release/h5lsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5lsdll/Release/h5lsdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5lsdll/Release/h5lsdll.pch"
- AssemblerListingLocation=".\../../../tools/h5lsdll/Release/"
- ObjectFile=".\../../../tools/h5lsdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5lsdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5lsdll/Release/h5lsdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5ls\h5ls.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5mkgrp/h5mkgrp.vcproj b/windows/tools/h5mkgrp/h5mkgrp.vcproj
deleted file mode 100644
index e6f8259..0000000
--- a/windows/tools/h5mkgrp/h5mkgrp.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5mkgrp"
- ProjectGUID="{ABBF8F3E-F1B5-45FA-8FD0-50B167511EDF}"
- RootNamespace="h5mkgrp"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5mkgrp/Release/h5mkgrp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5mkgrp/Release/h5mkgrp.pch"
- AssemblerListingLocation=".\../../../tools/h5mkgrp/Release/"
- ObjectFile=".\../../../tools/h5mkgrp/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5mkgrp/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5mkgrp/Release/h5mkgrp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5mkgrp/Release/h5mkgrp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5mkgrp/Release/h5mkgrp.pch"
- AssemblerListingLocation=".\../../../tools/h5mkgrp/Release/"
- ObjectFile=".\../../../tools/h5mkgrp/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5mkgrp/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5mkgrp/Release/h5mkgrp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5mkgrp/Debug/h5mkgrp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5mkgrp/Debug/h5mkgrp.pch"
- AssemblerListingLocation=".\../../../tools/h5mkgrp/Debug/"
- ObjectFile=".\../../../tools/h5mkgrp/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5mkgrp/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5mkgrp/Debug/h5mkgrp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5mkgrp/Debug/h5mkgrp.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5mkgrp/Debug/h5mkgrp.pch"
- AssemblerListingLocation=".\../../../tools/h5mkgrp/Debug/"
- ObjectFile=".\../../../tools/h5mkgrp/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5mkgrp/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5mkgrp/Debug/h5mkgrp.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\h5mkgrp.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5mkgrp/testh5mkgrp.bat b/windows/tools/h5mkgrp/testh5mkgrp.bat
deleted file mode 100644
index 15de2cd..0000000
--- a/windows/tools/h5mkgrp/testh5mkgrp.bat
+++ /dev/null
@@ -1,254 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5mkgrp tool
-rem
-rem Created: Scott Wegner, 8/29/07
-rem Modified:
-rem
-
-rem We currently only build static version of h5mkgrp, but this batch file is
-rem setup for dll versions, in case we decide to build them in the future.
-rem --SJW 8/29/07
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem The tool name
-set h5mkgrp=h5mkgrp%2
-rem The path of the tool binary
-set h5mkgrp_bin=%CD%\..\%h5mkgrp%\%1\%h5mkgrp%
-rem The h5ls tool name
-set h5ls=h5ls%2
-rem Arguments to the h5ls tool
-set h5ls_args=-vr
-rem The path of the h5ls tool binary
-set h5ls_bin=%CD%\..\%h5ls%\%1\%h5ls%
-
-set nerrors=0
-set verbose=yes
-
-set indir=%CD%\..\testfiles
-set outdir=%CD%\..\testfiles
-
-if not exist %outdir% mkdir %outdir%
-
-goto main
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT-ZERO here with "%0" for the tfamily test.
- rem --SJW 8/24/07
- set test_msg=!test_msg:PERCENT-ZERO=%%0!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Verifying".
-rem
-:verify_h5ls
- set test_msg=Verifying h5ls file structure
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT-ZERO here with "%0" for the tfamily test.
- rem --SJW 8/24/07
- set test_msg=!test_msg:PERCENT-ZERO=%%0!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. If h5mkgrp can complete
-rem with exit status 0, consider it pass. If a test fails then increment
-rem the `nerrors' global variable.
-rem Assumed arguments:
-rem %* arguments for h5mkgrp.
-:tooltest
- (
- echo.#############################
- echo. output for 'h5mkgrp %*'
- echo.#############################
- %h5mkgrp_bin% %*
- ) > output.out
-
- if %errorlevel% neq 0 (
- call :testing *FAILED* %*
- echo.failed result is:
- type output.out
- set nerrors=!nerrors!+1
- ) else (
- call :testing PASSED %*
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f output.out
- )
-
- exit /b
-
-
-rem Call the h5ls tool to verify the correct output data in the destination file
-rem
-:h5lstest
- set expect=%indir%\%~n1.ls
- set expect_parsed=%expect%.parsed
- set actual=%outdir%\%~n1.out
- set actual_parsed=%actual%.parsed
-
- rem Stderr is included in stdout so that the diff can detect
- rem any unexpected output from that stream too
- (
- echo.#############################
- echo.Expected output from h5ls %*
- echo.#############################
- %h5ls_bin% %h5ls_args% %*
- ) >%actual% 2>&1
- rem Windows doesn't have "sed" command, and parsing the files line-by-line
- rem to emulate Unix takes a very long time. Instead, we simply remove lines
- rem with "Modified". Do this for actual and expected otput. If there is a
- rem better alternative in the future, we should use it instead. --SJW 8/29/07
- for %%a in (expect actual) do (
- findstr /v /c:" Modified:" !%%a! > tmp.txt
- move /y tmp.txt !%%a_parsed! > nul
- )
-
- rem Don't special case non-existing expected output as Linux does, because
- rem we depend on it above to parse anyway. It should be an error if it
- rem doesn't exist. --SJW 8/29/07
- rem if not exist %expect% (
- rem call :verify_h5ls CREATED %*
- rem copy %actual% %expect%
- rem )
-
- fc /w %expect_parsed% %expect_parsed% > nul
- if %errorlevel% equ 0 (
- call :verify_h5ls PASSED %*
- ) else (
- call :verify_h5ls *FAILED* %*
- echo. Expected result ^(*.ls^) differs from actual result ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "%verbose%"=="yes" fc %epect% %actual%
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_parsed% %expect_parsed%
- )
-
- exit /b
-
-
-rem Single run of tool
-rem
-rem Assumed arguments:
-rem %1 is test file name
-rem %2 is h5mkgrp options
-rem %* are groups to create
-:runtest
-
- set fileout=%outdir%\%1
- shift
- set h5mkgrp_args=%1
- rem Filter out quotes
- set h5mkgrp_args=%h5mkgrp_args:"=%
- shift
-
- rem Remove any output file left over from previous test run
- del /f %fileout% 2> nul
-
- rem On Windows, the shift command doesn't actually affect %*, so we must
- rem manipulate a params variable. We need to be careful of how we iterate
- rem through them, because the " " parameter is tricky on Windows.
- rem --SJW 8/29/07
- set params=
- if not "%1"=="" (
- set p_num=1
- for %%a in (%*) do (
- if !p_num! geq 3 (
- set params=!params! %%a
- )
- set /a p_num=!p_num!+1
- )
- rem Remove leading space
- set params=!params:* =!
- )
-
- rem Run test
- call :tooltest %h5mkgrp_args% %fileout% %params%
-
- rem Verify that the file created above is correct
- call :h5lstest %fileout%
-
- rem Remove output file created, if the "no cleanup" environment variable is
- rem not defined.
- rem Why do we echo FILEOUT on Linux? --SJW 8/29/07
- rem echo.FILEOUT= %fileout%
- if not defined hdf5_nocleanup (
- del /f %fileout% 2> nul
- )
-
- exit /b
-
-
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-:main
- rem Check that help & version is displayed properly
- call :runtest h5mkgrp_help.h5 "-h"
- call :runtest h5mkgrp_version.h5 "-V"
-
- rem Create single group at root level
- call :runtest h5mkgrp_single.h5 " " single
- call :runtest h5mkgrp_single.h5 "-v" single
- call :runtest h5mkgrp_single.h5 "-p" single
- call :runtest h5mkgrp_single_latest.h5 "-l" latest
-
- rem Create several groups at root level
- call :runtest h5mkgrp_several.h5 " " one two
- call :runtest h5mkgrp_several.h5 "-v" one two
- call :runtest h5mkgrp_several.h5 "-p" one two
- call :runtest h5mkgrp_several_latest.h5 "-l" one two
-
- rem Create various nested groups
- call :runtest h5mkgrp_nested.h5 "-p" /one/two
- call :runtest h5mkgrp_nested_latest.h5 "-lp" /one/two
- call :runtest h5mkgrp_nested_mult.h5 "-p" /one/two /three/four
- call :runtest h5mkgrp_nested_mult_latest.h5 "-lp" /one/two /three/four
-
-
- if %nerrors% equ 0 (
- echo.All h5mkgrp tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5repack/h5repack.bat b/windows/tools/h5repack/h5repack.bat
deleted file mode 100644
index 7fb426d..0000000
--- a/windows/tools/h5repack/h5repack.bat
+++ /dev/null
@@ -1,802 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5repack tool
-rem
-rem Created: Scott Wegner, 8/28/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set h5pubconf=%CD%\..\..\src\h5pubconf.h
-
-rem On Windows, the function :detect_filter sets these for us
-call :detect_filter szip
-call :detect_filter deflate
-call :detect_filter shuffle
-call :detect_filter fletcher32
-call :detect_filter nbit
-call :detect_filter scaleoffset
-
-rem The tool name
-set h5repack=h5repack%2
-rem The path of the tool binary
-set h5repack_bin=%CD%\..\%h5repack%\%1\%h5repack%
-
-rem The h5diff tool name
-set h5diff=..\h5diff%2\%1\h5diff%2
-rem The path of the h5diff tool binary
-set h5diff_bin=%CD%\%h5diff%
-
-rem The h5dump tool name
-set h5dump=..\h5dump%2\%1\h5dump%2
-rem The path of the h5dump tool binary
-set h5dump_bin=%CD%\%h5dump%
-
-set h5detectszip=testh5repack_detect_szip%2
-set h5detectszip_bin=%CD%\..\testfiles\%h5detectszip%\%1\%h5detectszip%
-
-
-set info_file=testfiles\h5repack.info
-
-set file0=h5repack_fill.h5
-set file1=h5repack_objs.h5
-set file2=h5repack_attr.h5
-set file3=h5repack_hlink.h5
-set file4=h5repack_layout.h5
-set file5=h5repack_early.h5
-set file7=h5repack_szip.h5
-set file8=h5repack_deflate.h5
-set file9=h5repack_shuffle.h5
-set file10=h5repack_fletcher.h5
-set file11=h5repack_filters.h5
-set file12=h5repack_nbit.h5
-set file13=h5repack_soffset.h5
-rem A file with an older version of the layout message (copy of test/tlayouto.h5)
-set file14=h5repack_layouto.h5
-set file15=h5repack_named_dtypes.h5
-rem located in common testfiles folder
-set file16=tfamilyPERCENT05d.h5
-set file18=h5repack_layout2.h5
-
-
-set nerrors=0
-set verbose=yes
-
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT here with "%" for tests that use a percent
- rem sign. --SJW 5/12/08
- set test_msg=!test_msg:PERCENT=%%!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Verifying".
-rem
-:verify
- set test_msg=Verifying h5diff output
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT here with "%" for tests that use a percent
- rem sign. --SJW 5/12/08
- set test_msg=!test_msg:PERCENT=%%!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Print a message that a test has been skipped (because a required filter
-rem was unavailable)
-:skip
- call :testing -SKIP- %h5repack% %*
- exit /b
-
-
-rem Call the h5diff tool
-rem
-:difftest
- set params=%*
- %h5diff_bin% -q !params:PERCENT=%%!
- if !errorlevel! neq 0 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
-
- exit /b
-
-
-rem Call h5repack
-rem
-
-rem call TOOLTEST_MAIN and delete $output file
-:tooltest
-
- call :tooltest_main %*
- set outfile=%CD%\out.%1
- del /f %outfile%
-
- exit /b
-
-rem TOOLTEST main function, doesn't delete $output file
-:tooltest_main
-
- rem Run test.
- set infile=%CD%\testfiles\%1
- rem Linux uses a $path variable here, but it is unneccessary, and will
- rem corrupt our Windows PATH if we use it. --SJW 8/28/07
- rem set path=%CD%
- rem set outfile=%path%\out.%1
- set outfile=%CD%\out.%1
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/28/07
- if "%2"=="" (
- set params=
- ) else (
- set params=%*
- set params=!params:* =!
- )
- %h5repack_bin% %params% %infile% %outfile%
-
- if !errorlevel! neq 0 (
- call :testing *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :testing PASSED %*
- call :difftest %infile% %outfile%
- )
-
- exit /b
-
-rem ------------------------------------------
-rem Verifying layouts of a dataset
-:verify_layout_dset
-
- rem Run test.
- set outfile=%CD%\out.%1
- set layoutfile=%CD%\layout.%1
- set dset=%2
- set expectlayout=%3
-
- rem ---------------------------------
- rem check the layout from a dataset
- %h5dump_bin% -d %dset% -pH %outfile% > %layoutfile%
- findstr /c:"%expectlayout%" %layoutfile% > nul
- if !errorlevel! neq 0 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
-
- rem clean up tmp files
- del /f %outfile%
- del /f %layoutfile%
-
- exit /b
-
-rem----------------------------------------
-rem Verifying layouts from entire file
-:verify_layout_all
-
- rem Run test.
- set outfile=%CD%\out.%1
- set layoutfile=%CD%\layout.%1
- set expectlayout=%2
-
- rem ---------------------------------
- rem check the layout from a dataset
- rem check if the other layouts still exsit
- rem if CONTIGUOUS
- if "%expectlayout%"=="CONTIGUOUS" (
- %h5dump_bin% -pH %outfile% > %layoutfile%
- findstr /c:"COMPACT" %layoutfile% > nul
- if !errorlevel! neq 0 (
- findstr /c:"CHUNKED" %layoutfile% > nul
- if !errorlevel! equ 0 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
- ) else (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- )
- ) else (
- rem if COMPACT
- if "%expectlayout%"=="COMPACT" (
- %h5dump_bin% -pH %outfile% > %layoutfile%
- findstr /c:"CHUNKED" %layoutfile% > nul
- if !errorlevel! neq 0 (
- findstr /c:"CONTIGUOUS" %layoutfile% > nul
- if !errorlevel! equ 0 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
- ) else (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- )
- ) else (
- rem if CHUNKED
- if "%expectlayout%"=="CHUNKED" (
- %h5dump_bin% -pH %outfile% > %layoutfile%
- findstr/c:"CONTIGUOUS" %layoutfile% > nul
- if !errorlevel! neq 0 (
- findstr /c:"COMPACT" %layoutfile% > nul
- if !errorlevel! equ 0 (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :verify PASSED %*
- )
- ) else (
- call :verify *FAILED* %*
- set /a nerrors=!nerrors!+1
- )
- )
- )
- )
-
- rem clean up tmp files
- del /f %outfile%
- del /f %layoutfile%
-
- exit /b
-
-rem Call h5repack with old syntax
-rem
-:tooltest0
-
- rem Run test.
- set infile=%CD%\testfiles\%1
- rem Linux uses a $path variable here, but it is unneccessary, and will
- rem corrupt our Windows PATH if we use it. --SJW 8/28/07
- rem set path=%CD%
- rem set outfile=%path%\out.%1
- set outfile=%CD%\out.%1
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/28/07
- if "%2"=="" (
- set params=
- ) else (
- set params=%*
- set params=!params:* =!
- )
- %h5repack_bin% -i %infile% -o %outfile% %params%
-
- if !errorlevel! neq 0 (
- call :testing *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :testing PASSED %*
- call :difftest %infile% %outfile%
- )
- del /f %outfile%
-
- exit /b
-
-rem same as TOOLTEST, but it uses the common testfiles at $srcdir/../testfiles/
-rem used to test the family driver, where these files reside
-rem
-:tooltest1
-
- rem Run test.
- set infile=%CD%\..\testfiles\%1
- rem Linux uses a $path variable here, but it is unneccessary, and will
- rem corrupt our Windows PATH if we use it. --SJW 8/28/07
- rem set path=%CD%
- rem set outfile=%path%\out.%1
- set outfile=%CD%\out.%1
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/28/07
- if "%2"=="" (
- set params=
- ) else (
- set params=%*
- set params=!params:* =!
- )
- %h5repack_bin% %params% !infile:PERCENT=%%! !outfile:PERCENT=%%!
-
- if !errorlevel! neq 0 (
- call :testing *FAILED* %*
- set /a nerrors=!nerrors!+1
- ) else (
- call :testing PASSED %*
- call :difftest %infile% %outfile%
- )
- del /f !outfile:PERCENT=%%!
-
- exit /b
-
-
-rem This is a Windows-specific function that detects if the filter passed
-rem should be enabled for this test script. It searches H5pubconf.h for the
-rem string "#define H5_HAVE_FILTER_%1" and sets the variable "use_filter_%1"
-rem accordingly. On other platforms, this variable is set in the Makefile.
-rem If we find a better way to test this in the future, we should use it.
-rem --SJW 9/4/07
-:detect_filter
- findstr /b /i /c:"#define H5_HAVE_FILTER_%1" %h5pubconf% > nul
- if !errorlevel! equ 0 (
- set use_filter_%1=yes
- ) else (
- set use_filter_%1=no
- )
-
- exit /b
-
-
-rem
-rem The tests
-rem We use the files generated by h5repacktst
-rem Each run generates "<file>.out.h5" and the tool h5diff is used to
-rem compare the input and output files
-rem
-rem the tests are the same as the program h5repacktst, but run from the CLI
-rem
-:main
-
- rem See which filters are usable (and skip tests for filters we
- rem don't have). Do this by searching H5pubconf.h to see which
- rem filters are defined.
-
- rem detect whether the encoder is present.
- set use_filter_szip_encoder=no
- if "%use_filter_szip%"=="yes" (
- for /f %%a in ('%h5detectszip_bin%') do set use_filter_szip_encoder=%%a
- )
-
- rem copy files (these files have no filters)
- call :tooltest %file0%
- call :tooltest %file1%
- call :tooltest %file2%
- call :tooltest %file3%
- call :tooltest %file4%
- call :tooltest %file5%
-
-
- rem use %file4% to write some filters (this file has no filters)
-
- rem gzip with individual object
- set arg=%file4% -f dset1:GZIP=1 -l dset1:CHUNK=20x10
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem gzip for all
- set arg=%file4% -f GZIP=1
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem szip with individual object
- set arg=%file4% -f dset2:SZIP=8,EC -l dset2:CHUNK=20x10
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem szip for all
- set arg=%file4% -f SZIP=8,NN
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem shuffle with individual object
- set arg=%file4% -f dset2:SHUF -l dset2:CHUNK=20x10
- if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
-
- rem shuffle for all
- set arg=%file4% -f SHUF
- if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem fletcher32 with individual object
- set arg=%file4% -f dset2:FLET -l dset2:CHUNK=20x10
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem fletcher32 for all
- set arg=%file4% -f FLET
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem all filters
- set arg=%file4% -f dset2:SHUF -f dset2:FLET -f dset2:SZIP=8,NN -f dset2:GZIP=1 -l dset2:CHUNK=20x10
- rem On Windows we must check each filter individually, because we don't have
- rem -o flag like Linux. --SJW 8/28/07
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_fletcher32%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem ##########################################################
- rem the following tests assume the input files have filters
- rem ##########################################################
-
- rem szip copy
- set arg=%file7%
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem szip remove
- set arg=%file7% --filter=dset_szip:NONE
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem deflate copy
- set arg=%file8%
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem deflate remove
- set arg=%file8% -f dset_deflate:NONE
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem shuffle copy
- set arg=%file9%
- if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem shuffle remove
- set arg=%file9% -f dset_shuffle:NONE
- if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem fletcher32 copy
- set arg=%file10%
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem fletcher32 remove
- set arg=%file10% -f dset_fletcher32:NONE
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem nbit copy
- set arg=%file12%
- if not "%use_filter_nbit%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem nbit remove
- set arg=%file12% -f dset_nbit:NONE
- if not "%use_filter_nbit%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem nbit add
- set arg=%file12% -f dset_int31:NBIT
- if not "%use_filter_nbit%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem scaleoffset copy
- set arg=%file13%
- if not "%use_filter_scaleoffset%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem scaleoffset add
- set arg=%file13% -f dset_none:SOFF=31,IN
- if not "%use_filter_scaleoffset%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem scaleoffset remove
- set arg=%file13% -f dset_scaleoffset:NONE
- if not "%use_filter_scaleoffset%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem remove all filters
- set arg=%file11% -f NONE
- if not "%use_filter_fletcher32%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_nbit%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_scaleoffset%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem filter conversions
-
- set arg=%file8% -f dset_deflate:SZIP=8,NN
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- set arg=%file7% -f dset_szip:GZIP=1
- if not "%use_filter_szip_encoder%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_szip%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
-
- rem limit
- set arg=%file4% -f GZIP=1 -m 1024
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem file
- set arg=%file4% -e %info_file%
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
-
- rem ########################################################
- rem layout options (these files have no filters)
- rem ########################################################
-
- call :tooltest_main %file4% --layout=dset2:CHUNK=20x10
- call :verify_layout_dset %file4% dset2 CHUNKED
- call :tooltest_main %file4% -l CHUNK=20x10
- call :verify_layout_all %file4% CHUNKED
- call :tooltest_main %file4% -l dset2:CONTI
- call :verify_layout_dset %file4% dset2 CONTIGUOUS
- call :tooltest_main %file4% -l CONTI
- call :verify_layout_all %file4% CONTIGUOUS
- call :tooltest_main %file4% -l dset2:COMPA
- call :verify_layout_dset %file4% dset2 COMPACT
- call :tooltest_main %file4% -l COMPA
- call :verify_layout_all %file4% COMPACT
-
-
- rem ###############################################################
- rem layout conversions (file has no filters)
- rem ##############################################################
-
- set arg1=%file4% -l dset_compact:CONTI
- set arg2=%file4% -l dset_compact:CHUNK=2x5
- set arg3=%file4% -l dset_compact:COMPA
- set arg4=%file4% -l dset_contiguous:COMPA
- set arg5=%file4% -l dset_contiguous:CHUNK=3x6
- set arg6=%file4% -l dset_contiguous:CONTI
- set arg7=%file4% -l dset_chunk:COMPA
- set arg8=%file4% -l dset_chunk:CONTI
- set arg9=%file4% -l dset_chunk:CHUNK=18x13
- call :tooltest_main %arg1%
- call :verify_layout_dset %file4% dset_compact CONTIGUOUS
- call :tooltest_main %arg2%
- call :verify_layout_dset %file4% dset_compact CHUNKED
- call :tooltest_main %arg3%
- call :verify_layout_dset %file4% dset_compact COMPACT
- call :tooltest_main %arg4%
- call :verify_layout_dset %file4% dset_contiguous COMPACT
- call :tooltest_main %arg5%
- call :verify_layout_dset %file4% dset_contiguous CHUNKED
- call :tooltest_main %arg6%
- call :verify_layout_dset %file4% dset_contiguous CONTIGUOUS
- call :tooltest_main %arg7%
- call :verify_layout_dset %file4% dset_chunk COMPACT
- call :tooltest_main %arg8%
- call :verify_layout_dset %file4% dset_chunk CONTIGUOUS
- call :tooltest_main %arg9%
- call :verify_layout_dset %file4% dset_chunk CHUNKED
-
- rem test convert small size dataset ( < 1k) to compact layout without -m
- call :tooltest_main %file18% -l contig_small:COMPA
- call :verify_layout_dset %file18% contig_small COMPACT
-
- call :tooltest_main %file18% -l chunked_small_fixed:COMPA
- call :verify_layout_dset %file18% chunked_small_fixed COMPACT
-
- rem Native option
- rem Do not use FILE1, as the named dtype will be converted to native, and h5diff will
- rem report a difference.
- call :tooltest %file0% -n
- call :tooltest %file2% -n
-
-
- rem latest file format with long switches. use FILE4=h5repack_layout.h5 (no filters)
- set arg=%file4% --layout CHUNK=20x10 --filter GZIP=1 --minimum=10 --native --latest --compact=8 --indexed=6 --ssize=8[:dtype]
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest_main %arg%
- call :verify_layout_all %file4% CHUNKED
- )
-
- rem latest file format with short switches. use FILE4=h5repack_layout.h5 (no filters)
- set arg=%file4% -l CHUNK=20x10 -f GZIP=1 -m 10 -n -L -c 8 -d 6 -s 8[:dtype]
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest_main %arg%
- call :verify_layout_all %file4% CHUNKED
- )
-
- rem several global filters
-
- set arg=%file4% --filter GZIP=1 --filter SHUF
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else if not "%use_filter_shuffle%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest %arg%
- )
-
- rem syntax of -i infile -o outfile
- rem latest file format with short switches. use FILE4=h5repack_layout.h5 (no filters)
- set arg=%file4% -l CHUNK=20x10 -f GZIP=1 -m 10 -n -L -c 8 -d 6 -s 8[:dtype]
- if not "%use_filter_deflate%"=="yes" (
- call :skip %arg%
- ) else (
- call :tooltest0 %arg%
- )
-
- rem add a userblock to file
- set arg=%file1% -u testfiles\ublock.bin -b 2048
- call :tooltest %arg%
-
- rem add alignment
- set arg=%file1% -t 1 -a 1
- call :tooltest %arg%
-
- rem Check repacking file with old version of layout message (should get upgraded
- rem to new version and be readable, etc.)
- call :tooltest %file14%
-
- rem test for datum size > H5TOOLS_MALLOCSIZE
- set arg=%file1% -f GZIP=1
- call :tooltest %arg%
-
- rem Check repacking file with committed datatypes in odd configurations
- call :tooltest %file15%
-
- rem tests family driver (file is located in common testfiles folder, uses TOOLTEST1
- call :tooltest1 %file16%
-
-
- if %nerrors% equ 0 (
- echo.All %h5repack% tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5repack/h5repack.vcproj b/windows/tools/h5repack/h5repack.vcproj
deleted file mode 100644
index 5025431..0000000
--- a/windows/tools/h5repack/h5repack.vcproj
+++ /dev/null
@@ -1,444 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5repack"
- ProjectGUID="{411D221C-9FA1-417E-8A2B-DF746F4C7E07}"
- RootNamespace="h5repack"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repack/Release/h5repack.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repack/Release/h5repack.pch"
- AssemblerListingLocation=".\../../../tools/h5repack/Release/"
- ObjectFile=".\../../../tools/h5repack/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repack/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5repack/Release/h5repack.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repack/Release/h5repack.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repack/Release/h5repack.pch"
- AssemblerListingLocation=".\../../../tools/h5repack/Release/"
- ObjectFile=".\../../../tools/h5repack/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repack/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5repack/Release/h5repack.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repack/Debug/h5repack.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repack/Debug/h5repack.pch"
- AssemblerListingLocation=".\../../../tools/h5repack/Debug/"
- ObjectFile=".\../../../tools/h5repack/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repack/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories=""
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repack/Debug/h5repack.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repack/Debug/h5repack.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repack/Debug/h5repack.pch"
- AssemblerListingLocation=".\../../../tools/h5repack/Debug/"
- ObjectFile=".\../../../tools/h5repack/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repack/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- AdditionalLibraryDirectories=""
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repack/Debug/h5repack.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_copy.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_filters.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_main.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_opttable.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_parse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_refs.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_verify.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5repackdll/h5repackdll.vcproj b/windows/tools/h5repackdll/h5repackdll.vcproj
deleted file mode 100644
index cde7ab3..0000000
--- a/windows/tools/h5repackdll/h5repackdll.vcproj
+++ /dev/null
@@ -1,432 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5repackdll"
- ProjectGUID="{854F7E09-CEB5-44CD-B924-3FFAC7936323}"
- RootNamespace="h5repackdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repackdll/Debug/h5repackdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repackdll/Debug/h5repackdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repackdll/Debug/"
- ObjectFile=".\../../../tools/h5repackdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repackdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repackdll/Debug/h5repackdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repackdll/Debug/h5repackdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repackdll/Debug/h5repackdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repackdll/Debug/"
- ObjectFile=".\../../../tools/h5repackdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repackdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repackdll/Debug/h5repackdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repackdll/Release/h5repackdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repackdll/Release/h5repackdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repackdll/Release/"
- ObjectFile=".\../../../tools/h5repackdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repackdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5repackdll/Release/h5repackdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repackdll/Release/h5repackdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib,..\..\..\test"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repackdll/Release/h5repackdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repackdll/Release/"
- ObjectFile=".\../../../tools/h5repackdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repackdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5repackdll/Release/h5repackdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_copy.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_filters.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_main.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_opttable.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_parse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_refs.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack_verify.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\tools\h5repack\h5repack.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5repart/h5repart.vcproj b/windows/tools/h5repart/h5repart.vcproj
deleted file mode 100644
index 19fb2c0..0000000
--- a/windows/tools/h5repart/h5repart.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5repart"
- ProjectGUID="{F2E38A8C-F3A3-4DA4-B9C2-11C753FF51BF}"
- RootNamespace="h5repart"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repart/Release/h5repart.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repart/Release/h5repart.pch"
- AssemblerListingLocation=".\../../../tools/h5repart/Release/"
- ObjectFile=".\../../../tools/h5repart/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repart/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5repart/Release/h5repart.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repart/Release/h5repart.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repart/Release/h5repart.pch"
- AssemblerListingLocation=".\../../../tools/h5repart/Release/"
- ObjectFile=".\../../../tools/h5repart/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repart/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/h5repart/Release/h5repart.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repart/Debug/h5repart.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repart/Debug/h5repart.pch"
- AssemblerListingLocation=".\../../../tools/h5repart/Debug/"
- ObjectFile=".\../../../tools/h5repart/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repart/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repart/Debug/h5repart.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repart/Debug/h5repart.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repart/Debug/h5repart.pch"
- AssemblerListingLocation=".\../../../tools/h5repart/Debug/"
- ObjectFile=".\../../../tools/h5repart/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repart/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repart/Debug/h5repart.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\h5repart.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5repart/testh5repart.bat b/windows/tools/h5repart/testh5repart.bat
deleted file mode 100644
index 70ad229..0000000
--- a/windows/tools/h5repart/testh5repart.bat
+++ /dev/null
@@ -1,148 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5repart tool
-rem
-rem Created: Scott Wegner, 8/29/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-rem The tool name
-set repart=h5repart%2
-rem The path of the tool library
-set repart_bin=%CD%\..\%repart%\%1\%repart%
-
-rem The test name
-set reparted_fam=h5reparttst
-rem The path of the test binary
-set reparted_fam_bin=%CD%\..\testfiles\%reparted_fam%\%1\%reparted_fam%
-
-set nerrors=0
-set verbose=yes
-
-if not exist ..\testfiles mkdir ..\testfiles
-
-set actual_dir=%CD%\..\testfiles
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing %dumper%
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- rem We need to replace PERCENT-ZERO here with "%0" for the tfamily test.
- rem --SJW 9/4/07
- set test_msg=!test_msg:PERCENT-ZERO=%%0!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. If a test fails then increment
-rem the `nerrors' global variable.
-rem
-:tooltest
- rem Run tool test.
- (
- rem We need to replace PERCENT-ZERO here with "%0" for the tfamily test.
- rem --SJW 9/4/07
- set params=%*
- set params=!params:PERCENT-ZERO=%%0!
- pushd %CD%\..\testfiles
- %repart_bin% !params!
- popd
- )
-
- if %errorlevel% equ 0 (
- call :testing PASSED %repart% %*
- ) else (
- call :testing *FAILED* %repart% %*
- set /a nerrors=!nerrors!+1
- )
-
- exit /b
-
-
-:outputtest
- rem Run test program
- (
- pushd %actual_dir%
- %reparted_fam_bin% %*
- popd
- )
-
- if %errorlevel% equ 0 (
- call :testing PASSED %reparted_fam% %*
- ) else (
- call :testing *FAILED* %reparted_fam% %*
- set /a nerrors=!nerrors!+1
- )
-
- exit /b
-
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %*
- exit /b
-
-
-rem ############################################################################
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-rem ############################################################################
-:main
-
- rem On Windows, we pass "PERCENT-ZERO", and let other calls replace it with
- rem the "%0". We cannot pass "%0" directly because Windows interprets it as
- rem the name of the script. --SJW 9/4/07
-
- rem repartition family member size to 20,000 bytes.
- call :tooltest -m 20000 family_filePERCENT-ZERO5d.h5 %actual_dir%\fst_familyPERCENT-ZERO5d.h5
- rem repartition family member size to 5 KB.
- call :tooltest -m 5k family_filePERCENT-ZERO5d.h5 %actual_dir%\scd_familyPERCENT-ZERO5d.h5
- rem convert family file to sec2 file of 20,000 bytes
- call :tooltest -m 20000 -family_to_sec2 family_filePERCENT-ZERO5d.h5 %actual_dir%\family_to_sec2.h5
-
- rem test the output files repartitioned above.
- call :outputtest
- echo.
-
- if %nerrors% equ 0 (
- echo.All %repart% tests passed.
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- pushd %actual_dir%
- del /f fst_family*.h5 scd_family*.h5 family_to_sec2.h5
- popd
- )
-
- popd
- endlocal & exit /b %nerrors%
- \ No newline at end of file
diff --git a/windows/tools/h5repartdll/h5repartdll.vcproj b/windows/tools/h5repartdll/h5repartdll.vcproj
deleted file mode 100644
index 498b38f..0000000
--- a/windows/tools/h5repartdll/h5repartdll.vcproj
+++ /dev/null
@@ -1,388 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5repartdll"
- ProjectGUID="{89DA820B-7A3B-46FA-AE09-971A739BEEFD}"
- RootNamespace="h5repartdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repartdll/Debug/h5repartdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repartdll/Debug/h5repartdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repartdll/Debug/"
- ObjectFile=".\../../../tools/h5repartdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repartdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repartdll/Debug/h5repartdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repartdll/Debug/h5repartdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repartdll/Debug/h5repartdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repartdll/Debug/"
- ObjectFile=".\../../../tools/h5repartdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5repartdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5repartdll/Debug/h5repartdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5repartdll/Release/h5repartdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repartdll/Release/h5repartdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repartdll/Release/"
- ObjectFile=".\../../../tools/h5repartdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repartdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5repartdll/Release/h5repartdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5repartdll/Release/h5repartdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG;WIN32;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5repartdll/Release/h5repartdll.pch"
- AssemblerListingLocation=".\../../../tools/h5repartdll/Release/"
- ObjectFile=".\../../../tools/h5repartdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5repartdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5repartdll/Release/h5repartdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\h5repart.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5stat/h5stat.vcproj b/windows/tools/h5stat/h5stat.vcproj
deleted file mode 100644
index f9b5d12..0000000
--- a/windows/tools/h5stat/h5stat.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5stat"
- ProjectGUID="{405F6F0A-DBE6-4A4E-AAE2-D4D514BA0CF5}"
- RootNamespace="h5stat"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5stat/Debug/h5stat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5stat/Debug/h5stat.pch"
- AssemblerListingLocation=".\../../../tools/h5stat/Debug/"
- ObjectFile=".\../../../tools/h5stat/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5stat/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5stat/Debug/h5stat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5stat/Debug/h5stat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5stat/Debug/h5stat.pch"
- AssemblerListingLocation=".\../../../tools/h5stat/Debug/"
- ObjectFile=".\../../../tools/h5stat/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5stat/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5stat/Debug/h5stat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5stat/Release/h5stat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5stat/Release/h5stat.pch"
- AssemblerListingLocation=".\../../../tools/h5stat/Release/"
- ObjectFile=".\../../../tools/h5stat/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5stat/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5stat/Release/h5stat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5stat/Release/h5stat.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5stat/Release/h5stat.pch"
- AssemblerListingLocation=".\../../../tools/h5stat/Release/"
- ObjectFile=".\../../../tools/h5stat/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5stat/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5stat/Release/h5stat.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5stat\h5stat.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5stat/testh5stat.bat b/windows/tools/h5stat/testh5stat.bat
deleted file mode 100644
index 3969c7e..0000000
--- a/windows/tools/h5stat/testh5stat.bat
+++ /dev/null
@@ -1,183 +0,0 @@
-@echo off
-rem
-rem Copyright by The HDF Group.
-rem Copyright by the Board of Trustees of the University of Illinois.
-rem All rights reserved.
-rem
-rem This file is part of HDF5. The full HDF5 copyright notice, including
-rem terms governing use, modification, and redistribution, is contained in
-rem the files COPYING and Copyright.html. COPYING can be found at the root
-rem of the source code distribution tree; Copyright.html can be found at the
-rem root level of an installed copy of the electronic HDF5 document set and
-rem is linked from the top-level documents page. It can also be found at
-rem http://hdfgroup.org/HDF5/doc/Copyright.html. If you do not have
-rem access to either file, you may request a copy from help@hdfgroup.org.
-rem
-rem Tests for the h5stat tool
-rem
-rem Created: Scott Wegner, 8/28/07
-rem Modified:
-rem
-
-setlocal enabledelayedexpansion
-pushd %~dp0
-
-set EXIT_SUCCESS=0
-set EXIT_FAILURE=1
-
-set h5pubconf=%CD%\..\..\src\h5pubconf.h
-
-rem Determine which filters are available
-rem On Windows, the function :detect_filter sets these for us
-call :detect_filter szip
-call :detect_filter deflate
-call :detect_filter shuffle
-call :detect_filter fletcher32
-call :detect_filter nbit
-call :detect_filter scaleoffset
-
-rem The tool name
-set stat=h5stat%2
-rem The path of the tool binary
-set stat_bin=%CD%\..\%stat%\%1\%stat%
-
-set nerrors=0
-set verbose=yes
-
-if not exist .\testfiles mkdir .\testfiles
-
-goto main
-
-
-rem Print a line-line message left justified in a field of 70 characters
-rem beginning with the word "Testing".
-rem
-:testing
- set test_msg=Testing
- for %%a in (%*) do (
- if %%a neq PASSED (
- if %%a neq *FAILED* (
- set test_msg=!test_msg! %%~nxa
- ) )
- )
- set test_msg=!test_msg!
- echo.%test_msg:~0,69% %1
-
- exit /b
-
-
-rem Run a test and print PASS or *FAIL*. If a test fails then increment
-rem the `nerrors' global variable and (if %verbose% is set) display the
-rem difference between the actual output and the expected output. The
-rem expected output is given as the first argument to this function and
-rem the actual output file is calculated by replacing the `.ddl' with
-rem `.out'. The actual output is not removed if %HDF5_NOCLEANUP% has a
-rem non-zero value.
-rem
-:tooltest
- set expect=%CD%\testfiles\%1
- set actual=%CD%\testfiles\%~n1.out
- set actual_err=%CD%\testfiles\%~n1.err
-
- rem We define %params% here because Windows `shift` command doesn't affect
- rem the %* variable. --SJW 8/28/07
- set params=%*
- set params=%params:* =%
-
- rem Run test.
- (
- echo.#############################
- rem Filter out quotes because they do on Linux. --SJW 8/28/07
- echo.Expected output for 'h5stat %params:"=%'
- echo.#############################
- pushd %CD%\testfiles
- %stat_bin% %params%
- popd
- ) > %actual% 2> %actual_err%
- type %actual_err% >> %actual%
-
-
- if not exist %expect% (
- rem Create the expect file if it doesn't yet exist
- call :testing CREATED %stat% %params%
- copy /y %actual% %expect%
- ) else (
- fc /w %expect% %actual% > nul
- if !errorlevel! equ 0 (
- call :testing PASSED %stat% %params%
- ) else (
- call :testing *FAILED* %stat% %params%
- echo. Expected results ^(*.ddl^) differs from actual result ^(*.out^)
- set /a nerrors=!nerrors!+1
- if "yes"=="%verbose%" fc %expect% %actual%
- )
- )
-
- rem Clean up output file
- if not defined hdf5_nocleanup (
- del /f %actual% %actual_err%
- )
-
- exit /b
-
-
-rem This is a Windows-specific function that detects if the filter passed
-rem should be enabled for this test script. It searches H5pubconf.h for the
-rem string "#define H5_HAVE_FILTER_%1" and sets the variable "use_filter_%1"
-rem accordingly. On other platforms, this variable is set in the Makefile.
-rem If we find a better way to test this in the future, we should use it.
-rem --SJW 9/4/07
-:detect_filter
- findstr /b /i /c:"#define H5_HAVE_FILTER_%1" %h5pubconf% > nul
- if %errorlevel% equ 0 (
- set use_filter_%1=yes
- ) else (
- set use_filter_%1=no
- )
-
- exit /b
-
-
-rem Print a "SKIP" message
-:skip
- call :testing -SKIP- %*
- exit /b
-
-
-rem ############################################################################
-rem ############################################################################
-rem # T H E T E S T S ###
-rem ############################################################################
-rem ############################################################################
-:main
-
- rem Test for help flag
- call :tooltest h5stat_help1.ddl -h
- call :tooltest h5stat_help2.ddl --help
-
- rem Test file with groups, compressed datasets, user-applied fileters, etc.
- rem h5stat_filters.h5 is a copy of ../../testfiles/tfilters.h5 as of release 1.8.0-alpha4
- call :tooltest h5stat_filters.ddl h5stat_filters.h5
- call :tooltest h5stat_filters-file.ddl -f h5stat_filters.h5
- call :tooltest h5stat_filters-F.ddl -F h5stat_filters.h5
- call :tooltest h5stat_filters-d.ddl -d h5stat_filters.h5
- call :tooltest h5stat_filters-g.ddl -g h5stat_filters.h5
- call :tooltest h5stat_filters-dT.ddl -dT h5stat_filters.h5
- call :tooltest h5stat_filters-UD.ddl -D h5stat_filters.h5
- call :tooltest h5stat_filters-UT.ddl -T h5stat_filters.h5
- rem h5stat_tsohm.h5 is a copy of ../../../test/tsohm.h5 generated by tsohm.c
- rem as of release 1.8.0-alpha4
- call :tooltest h5stat_tsohm.ddl h5stat_tsohm.h5
- rem h5stat_newgrat.h5 is generated by h5stat_gentest.c
- call :tooltest h5stat_newgrat.ddl h5stat_newgrat.h5
- call :tooltest h5stat_newgrat-UG.ddl -G h5stat_newgrat.h5
- call :tooltest h5stat_newgrat-UA.ddl -A h5stat_newgrat.h5
- echo.
-
- if %nerrors% equ 0 (
- echo.All %stat% tests passed.
- )
-
- popd
- endlocal & exit /b %nerrors%
-
diff --git a/windows/tools/h5statdll/h5statdll.vcproj b/windows/tools/h5statdll/h5statdll.vcproj
deleted file mode 100644
index 265de0e..0000000
--- a/windows/tools/h5statdll/h5statdll.vcproj
+++ /dev/null
@@ -1,392 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5statdll"
- ProjectGUID="{165195D1-B742-4030-8B12-3FE94B829D45}"
- RootNamespace="h5statdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5statdll/Debug/h5statdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5statdll/Debug/h5statdll.pch"
- AssemblerListingLocation=".\../../../tools/h5statdll/Debug/"
- ObjectFile=".\../../../tools/h5statdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5statdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5statdll/Debug/h5statdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5statdll/Debug/h5statdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5statdll/Debug/h5statdll.pch"
- AssemblerListingLocation=".\../../../tools/h5statdll/Debug/"
- ObjectFile=".\../../../tools/h5statdll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5statdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5statdll/Debug/h5statdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5statdll/Release/h5statdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5statdll/Release/h5statdll.pch"
- AssemblerListingLocation=".\../../../tools/h5statdll/Release/"
- ObjectFile=".\../../../tools/h5statdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5statdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5statdll/Release/h5statdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5statdll/Release/h5statdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5statdll/Release/h5statdll.pch"
- AssemblerListingLocation=".\../../../tools/h5statdll/Release/"
- ObjectFile=".\../../../tools/h5statdll/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5statdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5statdll/Release/h5statdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5stat\h5stat.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/h5unjam/h5unjam.vcproj b/windows/tools/h5unjam/h5unjam.vcproj
deleted file mode 100644
index c547869..0000000
--- a/windows/tools/h5unjam/h5unjam.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5unjam"
- ProjectGUID="{52E83C17-2B68-44B5-881D-4F6338FB14C7}"
- RootNamespace="h5unjam"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5unjam/Release/h5unjam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5unjam/Release/h5unjam.pch"
- AssemblerListingLocation=".\../../../tools/h5unjam/Release/"
- ObjectFile=".\../../../tools/h5unjam/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5unjam/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5unjam/Release/h5unjam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5unjam/Release/h5unjam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5unjam/Release/h5unjam.pch"
- AssemblerListingLocation=".\../../../tools/h5unjam/Release/"
- ObjectFile=".\../../../tools/h5unjam/Release/"
- ProgramDataBaseFileName=".\../../../tools/h5unjam/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/h5unjam/Release/h5unjam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/h5unjam/Debug/h5unjam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5unjam/Debug/h5unjam.pch"
- AssemblerListingLocation=".\../../../tools/h5unjam/Debug/"
- ObjectFile=".\../../../tools/h5unjam/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5unjam/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5unjam/Debug/h5unjam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/h5unjam/Debug/h5unjam.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/h5unjam/Debug/h5unjam.pch"
- AssemblerListingLocation=".\../../../tools/h5unjam/Debug/"
- ObjectFile=".\../../../tools/h5unjam/Debug/"
- ProgramDataBaseFileName=".\../../../tools/h5unjam/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/h5unjam/Debug/h5unjam.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\h5jam\h5unjam.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/talign/talign.vcproj b/windows/tools/talign/talign.vcproj
deleted file mode 100644
index 48d7cce..0000000
--- a/windows/tools/talign/talign.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="talign"
- ProjectGUID="{E6A9BFE8-84DE-46C0-A372-72087598018E}"
- RootNamespace="talign"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/talign/Debug/talign.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/talign/Debug/talign.pch"
- AssemblerListingLocation=".\../../../tools/talign/Debug/"
- ObjectFile=".\../../../tools/talign/Debug/"
- ProgramDataBaseFileName=".\../../../tools/talign/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/talign/Debug/talign.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/talign/Debug/talign.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/talign/Debug/talign.pch"
- AssemblerListingLocation=".\../../../tools/talign/Debug/"
- ObjectFile=".\../../../tools/talign/Debug/"
- ProgramDataBaseFileName=".\../../../tools/talign/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/talign/Debug/talign.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/talign/Release/talign.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/talign/Release/talign.pch"
- AssemblerListingLocation=".\../../../tools/talign/Release/"
- ObjectFile=".\../../../tools/talign/Release/"
- ProgramDataBaseFileName=".\../../../tools/talign/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/talign/Release/talign.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/talign/Release/talign.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/talign/Release/talign.pch"
- AssemblerListingLocation=".\../../../tools/talign/Release/"
- ObjectFile=".\../../../tools/talign/Release/"
- ProgramDataBaseFileName=".\../../../tools/talign/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../tools/talign/Release/talign.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\talign.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/taligndll/taligndll.vcproj b/windows/tools/taligndll/taligndll.vcproj
deleted file mode 100644
index 479457d..0000000
--- a/windows/tools/taligndll/taligndll.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="taligndll"
- ProjectGUID="{3BBA31F8-2679-4655-975D-52FDA5ABD5C4}"
- RootNamespace="taligndll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/taligndll/Release/taligndll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/taligndll/Release/taligndll.pch"
- AssemblerListingLocation=".\../../../tools/taligndll/Release/"
- ObjectFile=".\../../../tools/taligndll/Release/"
- ProgramDataBaseFileName=".\../../../tools/taligndll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/taligndll/Release/taligndll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/taligndll/Release/taligndll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/taligndll/Release/taligndll.pch"
- AssemblerListingLocation=".\../../../tools/taligndll/Release/"
- ObjectFile=".\../../../tools/taligndll/Release/"
- ProgramDataBaseFileName=".\../../../tools/taligndll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../tools/taligndll/Release/taligndll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../tools/taligndll/Debug/taligndll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/taligndll/Debug/taligndll.pch"
- AssemblerListingLocation=".\../../../tools/taligndll/Debug/"
- ObjectFile=".\../../../tools/taligndll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/taligndll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/taligndll/Debug/taligndll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../tools/taligndll/Debug/taligndll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\tools\misc,..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../tools/taligndll/Debug/taligndll.pch"
- AssemblerListingLocation=".\../../../tools/taligndll/Debug/"
- ObjectFile=".\../../../tools/taligndll/Debug/"
- ProgramDataBaseFileName=".\../../../tools/taligndll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../tools/taligndll/Debug/taligndll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\tools\misc\talign.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/binread/binread.vcproj b/windows/tools/testfiles/binread/binread.vcproj
deleted file mode 100644
index 428e949..0000000
--- a/windows/tools/testfiles/binread/binread.vcproj
+++ /dev/null
@@ -1,382 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="binread"
- ProjectGUID="{BE9A4A65-F25B-4DCF-8B55-06B3D0C685CB}"
- RootNamespace="binread"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/binread/Release/binread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/binread/Release/binread.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/binread/Release/"
- ObjectFile=".\../../../../tools/testfiles/binread/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/binread/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/binread/Release/binread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/binread/Release/binread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/binread/Release/binread.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/binread/Release/"
- ObjectFile=".\../../../../tools/testfiles/binread/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/binread/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/binread/Release/binread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/binread/Debug/binread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/binread/Debug/binread.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/binread/Debug/"
- ObjectFile=".\../../../../tools/testfiles/binread/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/binread/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/binread/Debug/binread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/binread/Debug/binread.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/binread/Debug/binread.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/binread/Debug/"
- ObjectFile=".\../../../../tools/testfiles/binread/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/binread/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/binread/Debug/binread.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\h5dump\binread.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5difftst/h5difftst.vcproj b/windows/tools/testfiles/h5difftst/h5difftst.vcproj
deleted file mode 100644
index 6b0bc49..0000000
--- a/windows/tools/testfiles/h5difftst/h5difftst.vcproj
+++ /dev/null
@@ -1,416 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5difftst"
- ProjectGUID="{1F80CDF7-B1CB-4303-B282-A21EDC2BDCB4}"
- RootNamespace="h5difftst"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5difftst/Release/h5difftst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5difftst/Release/h5difftst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5difftst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5difftst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5difftst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5difftst/Release/h5difftst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5difftst/Release/h5difftst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5difftst/Release/h5difftst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5difftst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5difftst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5difftst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5difftst/Release/h5difftst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5difftst/Debug/h5difftst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5difftst/Debug/h5difftst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5difftst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5difftst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5difftst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5difftst/Debug/h5difftst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5difftst/Debug/h5difftst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5difftst/Debug/h5difftst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5difftst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5difftst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5difftst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5difftst/Debug/h5difftst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\tools\h5diff\h5diffgentest.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\..\tools\lib\h5tools.h"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5diff\testh5diff.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5dumptst/h5dumptst.vcproj b/windows/tools/testfiles/h5dumptst/h5dumptst.vcproj
deleted file mode 100644
index 6fc9d0a..0000000
--- a/windows/tools/testfiles/h5dumptst/h5dumptst.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5dumptst"
- ProjectGUID="{0A33B4FE-A2C9-4B7F-ACEC-D251308182ED}"
- RootNamespace="h5dumptst"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5dumptst/Debug/h5dumptst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5dumptst/Debug/h5dumptst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5dumptst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5dumptst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5dumptst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5dumptst/Debug/h5dumptst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5dumptst/Debug/h5dumptst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5dumptst/Debug/h5dumptst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5dumptst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5dumptst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5dumptst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5dumptst/Debug/h5dumptst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5dumptst/Release/h5dumptst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5dumptst/Release/h5dumptst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5dumptst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5dumptst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5dumptst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5dumptst/Release/h5dumptst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5dumptst/Release/h5dumptst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5dumptst/Release/h5dumptst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5dumptst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5dumptst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5dumptst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5dumptst/Release/h5dumptst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\h5dump\h5dumpgentest.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5importtst/h5importtst.vcproj b/windows/tools/testfiles/h5importtst/h5importtst.vcproj
deleted file mode 100644
index 81bc060..0000000
--- a/windows/tools/testfiles/h5importtst/h5importtst.vcproj
+++ /dev/null
@@ -1,390 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5importtst"
- ProjectGUID="{AF696934-5004-4C1D-90C3-B434E92AFB89}"
- RootNamespace="h5importtst"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5importtst/Debug/h5importtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5importtst/Debug/h5importtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5importtst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5importtst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5importtst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5importtst/Debug/h5importtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5importtst/Debug/h5importtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5importtst/Debug/h5importtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5importtst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5importtst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5importtst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5importtst/Debug/h5importtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5importtst/Release/h5importtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5importtst/Release/h5importtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5importtst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5importtst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5importtst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5importtst/Release/h5importtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5importtst/Release/h5importtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5importtst/Release/h5importtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5importtst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5importtst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5importtst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5importtst/Release/h5importtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\h5import\h5importtest.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5jamtst/h5jamtst.vcproj b/windows/tools/testfiles/h5jamtst/h5jamtst.vcproj
deleted file mode 100644
index 44284e1..0000000
--- a/windows/tools/testfiles/h5jamtst/h5jamtst.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5jamtst"
- ProjectGUID="{E8896FEE-8601-4AFC-91EA-6F9698574174}"
- RootNamespace="h5jamtst"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5jamtst/Debug/h5jamtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5jamtst/Debug/h5jamtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5jamtst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5jamtst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5jamtst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5jamtst/Debug/h5jamtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5jamtst/Debug/h5jamtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5jamtst/Debug/h5jamtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5jamtst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5jamtst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5jamtst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5jamtst/Debug/h5jamtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5jamtst/Release/h5jamtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5jamtst/Release/h5jamtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5jamtst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5jamtst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5jamtst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5jamtst/Release/h5jamtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5jamtst/Release/h5jamtst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5jamtst/Release/h5jamtst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5jamtst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5jamtst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5jamtst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5jamtst/Release/h5jamtst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\h5jam\h5jamgentest.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5repacktst/h5repacktst.vcproj b/windows/tools/testfiles/h5repacktst/h5repacktst.vcproj
deleted file mode 100644
index 8f65ad5..0000000
--- a/windows/tools/testfiles/h5repacktst/h5repacktst.vcproj
+++ /dev/null
@@ -1,440 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5repacktst"
- ProjectGUID="{AC4C582B-B27D-4E57-A59F-6FF0E833C6E5}"
- RootNamespace="h5repacktst"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5repacktst/Debug/h5repacktst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repacktst/Debug/h5repacktst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repacktst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5repacktst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repacktst/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repacktst/Debug/h5repacktst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5repacktst/Debug/h5repacktst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repacktst/Debug/h5repacktst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repacktst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5repacktst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repacktst/Debug/"
- BrowseInformation="1"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repacktst/Debug/h5repacktst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5repacktst/Release/h5repacktst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repacktst/Release/h5repacktst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repacktst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5repacktst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repacktst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repacktst/Release/h5repacktst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5repacktst/Release/h5repacktst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repacktst/Release/h5repacktst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repacktst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5repacktst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repacktst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib WS2_32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repacktst/Release/h5repacktst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="Source Files"
- Filter="cpp;c;cxx;rc;def;r;odl;idl;hpj;bat;f90;for;f;fpp"
- >
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack_copy.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack_filters.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack_opttable.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack_parse.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack_refs.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack_verify.c"
- >
- </File>
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repacktst.c"
- >
- </File>
- </Filter>
- <Filter
- Name="Header Files"
- Filter="h;hpp;hxx;hm;inl;fi;fd"
- >
- <File
- RelativePath="..\..\..\..\tools\h5repack\h5repack.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5repart_gentest/h5repart_gentest.vcproj b/windows/tools/testfiles/h5repart_gentest/h5repart_gentest.vcproj
deleted file mode 100644
index dbcf62d..0000000
--- a/windows/tools/testfiles/h5repart_gentest/h5repart_gentest.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5repart_gentest"
- ProjectGUID="{EBF7C380-5F58-462D-993D-75B53F83FA81}"
- RootNamespace="h5repart_gentest"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5repart_gentest/Debug/h5repart_gentest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repart_gentest/Debug/h5repart_gentest.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repart_gentest/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5repart_gentest/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repart_gentest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repart_gentest/Debug/h5repart_gentest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5repart_gentest/Debug/h5repart_gentest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repart_gentest/Debug/h5repart_gentest.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repart_gentest/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5repart_gentest/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repart_gentest/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repart_gentest/Debug/h5repart_gentest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5repart_gentest/Release/h5repart_gentest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repart_gentest/Release/h5repart_gentest.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repart_gentest/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5repart_gentest/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repart_gentest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repart_gentest/Release/h5repart_gentest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5repart_gentest/Release/h5repart_gentest.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5repart_gentest/Release/h5repart_gentest.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5repart_gentest/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5repart_gentest/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5repart_gentest/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5repart_gentest/Release/h5repart_gentest.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\misc\h5repart_gentest.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/h5reparttst/h5reparttst.vcproj b/windows/tools/testfiles/h5reparttst/h5reparttst.vcproj
deleted file mode 100644
index a01dac7..0000000
--- a/windows/tools/testfiles/h5reparttst/h5reparttst.vcproj
+++ /dev/null
@@ -1,394 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="h5reparttst"
- ProjectGUID="{53022A9D-F5B2-407C-9A29-3AC71B3E6DDC}"
- RootNamespace="h5reparttst"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5reparttst/Debug/h5reparttst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5reparttst/Debug/h5reparttst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5reparttst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5reparttst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5reparttst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5reparttst/Debug/h5reparttst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory=".\../../../../tools/testfiles/h5reparttst/Debug"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5reparttst/Debug/h5reparttst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5reparttst/Debug/h5reparttst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5reparttst/Debug/"
- ObjectFile=".\../../../../tools/testfiles/h5reparttst/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5reparttst/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5reparttst/Debug/h5reparttst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory=".\../../../../tools/testfiles/h5reparttst/Release"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/h5reparttst/Release/h5reparttst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5reparttst/Release/h5reparttst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5reparttst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5reparttst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5reparttst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5reparttst/Release/h5reparttst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory=".\../../../../tools/testfiles/h5reparttst/Release"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/h5reparttst/Release/h5reparttst.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/h5reparttst/Release/h5reparttst.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/h5reparttst/Release/"
- ObjectFile=".\../../../../tools/testfiles/h5reparttst/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/h5reparttst/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/h5reparttst/Release/h5reparttst.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\misc\repart_test.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/testh5repack_detect_szip/testh5repack_detect_szip.vcproj b/windows/tools/testfiles/testh5repack_detect_szip/testh5repack_detect_szip.vcproj
deleted file mode 100644
index dc6c4ba..0000000
--- a/windows/tools/testfiles/testh5repack_detect_szip/testh5repack_detect_szip.vcproj
+++ /dev/null
@@ -1,396 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="testh5repack_detect_szip"
- ProjectGUID="{5EA5BDAD-3AE9-4BCA-AC1A-93B3B8499C30}"
- RootNamespace="testh5repack_detect_szip"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/testh5repack_detect_szip.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/testh5repack_detect_szip.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/testh5repack_detect_szip.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/testh5repack_detect_szip.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/testh5repack_detect_szip.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Release/testh5repack_detect_szip.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/testh5repack_detect_szip.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/testh5repack_detect_szip.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/testh5repack_detect_szip.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/testh5repack_detect_szip.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/testh5repack_detect_szip.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- AdditionalDependencies="odbc32.lib odbccp32.lib $(HDF5_EXT_ZLIB) $(HDF5_EXT_SZIP)"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- IgnoreDefaultLibraryNames=""
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szip/Debug/testh5repack_detect_szip.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\h5repack\testh5repack_detect_szip.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/testfiles/testh5repack_detect_szipdll/testh5repack_detect_szipdll.vcproj b/windows/tools/testfiles/testh5repack_detect_szipdll/testh5repack_detect_szipdll.vcproj
deleted file mode 100644
index 00c0808..0000000
--- a/windows/tools/testfiles/testh5repack_detect_szipdll/testh5repack_detect_szipdll.vcproj
+++ /dev/null
@@ -1,390 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="testh5repack_detect_szipdll"
- ProjectGUID="{E75602FF-F4E6-4F45-AD0D-EA49C0C66DEF}"
- RootNamespace="testh5repack_detect_szipdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/testh5repack_detect_szipdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/testh5repack_detect_szipdll.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/testh5repack_detect_szipdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/testh5repack_detect_szipdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_CONSOLE"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/testh5repack_detect_szipdll.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;NDEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Release/testh5repack_detect_szipdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/testh5repack_detect_szipdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/testh5repack_detect_szipdll.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/testh5repack_detect_szipdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="1"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\..\tools\testfiles\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="1"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- CharacterSet="2"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- TypeLibraryName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/testh5repack_detect_szipdll.tlb"
- HeaderFileName=""
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\..\src,..\..\..\..\test,..\..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_CONSOLE"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/testh5repack_detect_szipdll.pch"
- AssemblerListingLocation=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/"
- ObjectFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/"
- ProgramDataBaseFileName=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;_DEBUG"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLinkerTool"
- OutputFile="$(OutDir)\$(ProjectName).exe"
- LinkIncremental="0"
- SuppressStartupBanner="true"
- GenerateDebugInformation="true"
- ProgramDatabaseFile=".\../../../../tools/testfiles/testh5repack_detect_szipdll/Debug/testh5repack_detect_szipdll.pdb"
- SubSystem="1"
- RandomizedBaseAddress="1"
- DataExecutionPrevention="0"
- TargetMachine="17"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCManifestTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCAppVerifierTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <File
- RelativePath="..\..\..\..\tools\h5repack\testh5repack_detect_szip.c"
- >
- </File>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/toolslib/toolslib.vcproj b/windows/tools/toolslib/toolslib.vcproj
deleted file mode 100644
index e459fbe..0000000
--- a/windows/tools/toolslib/toolslib.vcproj
+++ /dev/null
@@ -1,399 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="toolslib"
- ProjectGUID="{473ABB63-E5C6-4D8E-9380-5DC76E1EAB4A}"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslib\Debug/toolslib.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslib\Debug/"
- ObjectFile=".\..\..\..\tools\toolslib\Debug/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslib\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS"
- RuntimeLibrary="1"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslib\Debug/toolslib.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslib\Debug/"
- ObjectFile=".\..\..\..\tools\toolslib\Debug/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslib\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName)d.lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslib\Release/toolslib.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslib\Release/"
- ObjectFile=".\..\..\..\tools\toolslib\Release/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslib\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS"
- StringPooling="true"
- RuntimeLibrary="0"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslib\Release/toolslib.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslib\Release/"
- ObjectFile=".\..\..\..\tools\toolslib\Release/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslib\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\$(ProjectName).lib"
- SuppressStartupBanner="true"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="source"
- Filter="*.c"
- >
- <File
- RelativePath="..\..\..\tools\lib\h5diff.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_array.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_attr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_dset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_util.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_filters.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_ref.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_str.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_type.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_utils.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5trav.c"
- >
- </File>
- </Filter>
- <Filter
- Name="headers"
- Filter="*.h"
- >
- <File
- RelativePath="..\..\..\tools\lib\h5diff.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_ref.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_str.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_utils.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5trav.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>
diff --git a/windows/tools/toolslibdll/toolslibdll.vcproj b/windows/tools/toolslibdll/toolslibdll.vcproj
deleted file mode 100644
index 0d163ab..0000000
--- a/windows/tools/toolslibdll/toolslibdll.vcproj
+++ /dev/null
@@ -1,398 +0,0 @@
-<?xml version="1.0" encoding="Windows-1252"?>
-<VisualStudioProject
- ProjectType="Visual C++"
- Version="9.00"
- Name="toolslibdll"
- ProjectGUID="{832DD776-BC7F-40B5-90D0-E6448014CA5B}"
- RootNamespace="toolslibdll"
- TargetFrameworkVersion="131072"
- >
- <Platforms>
- <Platform
- Name="Win32"
- />
- <Platform
- Name="x64"
- />
- </Platforms>
- <ToolFiles>
- </ToolFiles>
- <Configurations>
- <Configuration
- Name="Debug|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslibdll\Debug/toolslibdll.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslibdll\Debug/"
- ObjectFile=".\..\..\..\tools\toolslibdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslibdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\toolslibddll.lib"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Debug|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="0"
- AdditionalIncludeDirectories="..\..\..\src"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;_DEBUG;_WINDOWS;_HDF5USEDLL_"
- BasicRuntimeChecks="3"
- RuntimeLibrary="3"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslibdll\Debug/toolslibdll.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslibdll\Debug/"
- ObjectFile=".\..\..\..\tools\toolslibdll\Debug/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslibdll\Debug/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- DebugInformationFormat="3"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\toolslibddll.lib"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|Win32"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslibdll\Release/toolslibdll.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslibdll\Release/"
- ObjectFile=".\..\..\..\tools\toolslibdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslibdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\toolslibdll.lib"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- <Configuration
- Name="Release|x64"
- OutputDirectory="..\..\..\tools\$(ProjectName)\$(ConfigurationName)"
- IntermediateDirectory="$(OutDir)"
- ConfigurationType="4"
- InheritedPropertySheets="$(VCInstallDir)VCProjectDefaults\UpgradeFromVC71.vsprops;..\..\proj\property_sheets\remove-posix-warnings.vsprops"
- UseOfMFC="0"
- ATLMinimizesCRunTimeLibraryUsage="false"
- >
- <Tool
- Name="VCPreBuildEventTool"
- />
- <Tool
- Name="VCCustomBuildTool"
- />
- <Tool
- Name="VCXMLDataGeneratorTool"
- />
- <Tool
- Name="VCWebServiceProxyGeneratorTool"
- />
- <Tool
- Name="VCMIDLTool"
- TargetEnvironment="3"
- />
- <Tool
- Name="VCCLCompilerTool"
- Optimization="2"
- InlineFunctionExpansion="1"
- AdditionalIncludeDirectories="..\..\..\src,..\..\..\tools\lib"
- PreprocessorDefinitions="BIND_TO_CURRENT_VCLIBS_VERSION=1;WIN32;NDEBUG;_WINDOWS;_HDF5USEDLL_"
- StringPooling="true"
- RuntimeLibrary="2"
- EnableFunctionLevelLinking="true"
- UsePrecompiledHeader="0"
- PrecompiledHeaderFile=".\..\..\..\tools\toolslibdll\Release/toolslibdll.pch"
- AssemblerListingLocation=".\..\..\..\tools\toolslibdll\Release/"
- ObjectFile=".\..\..\..\tools\toolslibdll\Release/"
- ProgramDataBaseFileName=".\..\..\..\tools\toolslibdll\Release/"
- WarningLevel="3"
- SuppressStartupBanner="true"
- CompileAs="0"
- />
- <Tool
- Name="VCManagedResourceCompilerTool"
- />
- <Tool
- Name="VCResourceCompilerTool"
- Culture="1033"
- />
- <Tool
- Name="VCPreLinkEventTool"
- />
- <Tool
- Name="VCLibrarianTool"
- OutputFile="$(OutDir)\toolslibdll.lib"
- />
- <Tool
- Name="VCALinkTool"
- />
- <Tool
- Name="VCXDCMakeTool"
- />
- <Tool
- Name="VCBscMakeTool"
- />
- <Tool
- Name="VCFxCopTool"
- />
- <Tool
- Name="VCPostBuildEventTool"
- />
- </Configuration>
- </Configurations>
- <References>
- </References>
- <Files>
- <Filter
- Name="source"
- Filter="*.c"
- >
- <File
- RelativePath="..\..\..\tools\lib\h5diff.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_array.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_attr.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_dset.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5diff_util.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_filters.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_ref.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_str.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_type.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_utils.c"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5trav.c"
- >
- </File>
- </Filter>
- <Filter
- Name="headers"
- Filter="*.h"
- >
- <File
- RelativePath="..\..\..\tools\lib\h5diff.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_ref.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_str.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5tools_utils.h"
- >
- </File>
- <File
- RelativePath="..\..\..\tools\lib\h5trav.h"
- >
- </File>
- </Filter>
- </Files>
- <Globals>
- </Globals>
-</VisualStudioProject>