From 92a21ab279ff6798ab9d3b28b792a91e0d123e6c Mon Sep 17 00:00:00 2001 From: Raymond Lu Date: Tue, 18 May 2010 13:30:12 -0500 Subject: [svn-r18848] Corrected the -M and some dataset names with uppercase letters for packed bits tests. On VMS, the uppercase letters have to be quoted on command line. Tested on OpenVMS. --- vms/tools/h5dump/check_h5dump.com | 26 +++++++++++++------------- 1 file changed, 13 insertions(+), 13 deletions(-) diff --git a/vms/tools/h5dump/check_h5dump.com b/vms/tools/h5dump/check_h5dump.com index 862e4f4..7d08a45 100644 --- a/vms/tools/h5dump/check_h5dump.com +++ b/vms/tools/h5dump/check_h5dump.com @@ -144,7 +144,7 @@ $ CALL TOOLTEST tchar1.ddl "-r tchar.h5" $ $ ! Test failure handling $ ! Missing file name -$ CALL TOOLTEST "tnofilename.ddl" +$ CALL TOOLTEST "tnofilename-with-packed-bits.ddl" $ $ ! rev. 2004 $ @@ -227,29 +227,29 @@ $ ! Maximun Offset is 7 (Maximum size - 1). $ ! Maximum Offset+Length is 8 (Maximum size). $ ! Test Normal operation on both signed and unsigned int datasets. $ ! Their rawdata output should be the same. -$ CALL TOOLTEST tpbitsSigned.ddl "-d /DS08BITS -M 0,2,2,6 packedbits.h5" -$ CALL TOOLTEST tpbitsUnsigned.ddl "-d /DU08BITS -M 0,2,2,6 packedbits.h5" +$ CALL TOOLTEST tpbitsSigned.ddl "-d /"""DS08BITS""" -"""M""" 0,2,2,6 packedbits.h5" +$ CALL TOOLTEST tpbitsUnsigned.ddl "-d /"""DU08BITS""" -"""M""" 0,2,2,6 packedbits.h5" $ ! Overlapped packed bits. -$ CALL TOOLTEST tpbitsOverlapped.ddl "-d /DS08BITS -M 0,1,1,1,2,1,0,3 packedbits.h5" +$ CALL TOOLTEST tpbitsOverlapped.ddl "-d /"""DS08BITS""" -"""M""" 0,1,1,1,2,1,0,3 packedbits.h5" $ ! Maximum number of packed bits. -$ CALL TOOLTEST tpbitsMax.ddl "-d /DS08BITS -M 0,1,1,1,2,1,3,1,4,1,5,1,6,1,7,1 packedbits.h5" +$ CALL TOOLTEST tpbitsMax.ddl "-d /"""DS08BITS""" -"""M""" 0,1,1,1,2,1,3,1,4,1,5,1,6,1,7,1 packedbits.h5" $ ! Compound type. -$ CALL TOOLTEST tpbitsCompound.ddl "-d /dset1 -M 0,1,1,1 tcompound.h5" +$ CALL TOOLTEST tpbitsCompound.ddl "-d /dset1 -"""M""" 0,1,1,1 tcompound.h5" $ ! Array type. -$ CALL TOOLTEST tpbitsArray.ddl "-d /Dataset1 -M 0,1,1,1 tarray1.h5" +$ CALL TOOLTEST tpbitsArray.ddl "-d /"""D"""ataset1 -"""M""" 0,1,1,1 tarray1.h5" $ ! Test Error handling. $ ! Too many packed bits requested. Max is 8 for now. -$ CALL TOOLTEST tpbitsMaxExceeded.ddl "-d /DS08BITS -M 0,1,0,1,1,1,2,1,3,1,4,1,5,1,6,1,7,1 packedbits.h5" +$ CALL TOOLTEST tpbitsMaxExceeded.ddl "-d /"""DS08BITS""" -"""M""" 0,1,0,1,1,1,2,1,3,1,4,1,5,1,6,1,7,1 packedbits.h5" $ ! Offset too large. Max is 7 (8-1) for now. -$ CALL TOOLTEST tpbitsOffsetExceeded.ddl "-d /DS08BITS -M 8,1 packedbits.h5" +$ CALL TOOLTEST tpbitsOffsetExceeded.ddl "-d /"""DS08BITS""" -"""M""" 8,1 packedbits.h5" $ ! Bad offset, must not be negative. -$ CALL TOOLTEST tpbitsOffsetNegative.ddl "-d /DS08BITS -M -1,1 packedbits.h5" +$ CALL TOOLTEST tpbitsOffsetNegative.ddl "-d /"""DS08BITS""" -"""M""" -1,1 packedbits.h5" $ ! Bad length, must not be positive. -$ CALL TOOLTEST tpbitsLengthPositive.ddl "-d /DS08BITS -M 4,0 packedbits.h5" +$ CALL TOOLTEST tpbitsLengthPositive.ddl "-d /"""DS08BITS""" -"""M""" 4,0 packedbits.h5" $ ! Offset+Length is too large. Max is 8 for now. -$ CALL TOOLTEST tpbitsLengthExceeded.ddl "-d /DS08BITS -M 2,7 packedbits.h5" +$ CALL TOOLTEST tpbitsLengthExceeded.ddl "-d /"""DS08BITS""" -"""M""" 2,7 packedbits.h5" $ ! Incomplete pair of packed bits request. -$ CALL TOOLTEST tpbitsIncomplete.ddl "-d /DS08BITS -M 0,2,2,1,0,2,2, packedbits.h5" +$ CALL TOOLTEST tpbitsIncomplete.ddl "-d /"""DS08BITS""" -"""M""" 0,2,2,1,0,2,2, packedbits.h5" $ $ ! $TOOLTEST: SUBROUTINE -- cgit v0.12