summaryrefslogtreecommitdiffstats
path: root/tests/langbench/fib.pl
blob: 603a98938fd5cdc3af67b52054adc36225d9833a (plain)
1
2
3
4
5
6
7
8
9
10
11
sub fib
{
	my($n) = @_[0];

	return $n if $n < 2;
	return &fib($n - 1) + &fib($n - 2);
}

for ($i = 0; $i <= 30; ++$i) {
	printf "n=%d => %d\n", $i, &fib($i);
}