summaryrefslogtreecommitdiffstats
path: root/src/uscxml/transform
diff options
context:
space:
mode:
authorjuehv <heuschkel@tk.tu-darmstadt.de>2016-11-23 12:58:39 (GMT)
committerjuehv <heuschkel@tk.tu-darmstadt.de>2016-11-23 12:58:39 (GMT)
commit7804fa03f76326a3bf988dcee20b7052db601ebf (patch)
treebb1579cc32fd1554dba27feb80654f9dea0d5b48 /src/uscxml/transform
parent98fccd6ee7c019e32225f0122c041847944efd1e (diff)
downloaduscxml-7804fa03f76326a3bf988dcee20b7052db601ebf.zip
uscxml-7804fa03f76326a3bf988dcee20b7052db601ebf.tar.gz
uscxml-7804fa03f76326a3bf988dcee20b7052db601ebf.tar.bz2
rename filter function accourding to coding style
Diffstat (limited to 'src/uscxml/transform')
-rw-r--r--src/uscxml/transform/ChartToVHDL.cpp8
-rw-r--r--src/uscxml/transform/ChartToVHDL.h2
2 files changed, 5 insertions, 5 deletions
diff --git a/src/uscxml/transform/ChartToVHDL.cpp b/src/uscxml/transform/ChartToVHDL.cpp
index 052d78f..3100ec3 100644
--- a/src/uscxml/transform/ChartToVHDL.cpp
+++ b/src/uscxml/transform/ChartToVHDL.cpp
@@ -138,7 +138,7 @@ void ChartToVHDL::findEvents() {
}
-bool ChartToVHDL::filterSupportedExecContent(DOMElement *execContentElement) {
+bool ChartToVHDL::isSupportedExecContent(DOMElement *execContentElement) {
return (TAGNAME(execContentElement) == XML_PREFIX(_scxml).str() + "raise" ||
TAGNAME(execContentElement) == XML_PREFIX(_scxml).str() + "send");
}
@@ -534,7 +534,7 @@ void ChartToVHDL::writeEventController(std::ostream &stream) {
// check if there is SUPPORTED executable content
bool foundSupportedExecContent = false;
for (auto exContentElem : _execContent) {
- if (filterSupportedExecContent(exContentElem)) {
+ if (isSupportedExecContent(exContentElem)) {
foundSupportedExecContent = true;
break;
}
@@ -608,7 +608,7 @@ void ChartToVHDL::writeEventController(std::ostream &stream) {
for (auto ecIter = _execContent.begin(); ecIter != _execContent.end(); ecIter++, i++) {
DOMElement *exContentElem = *ecIter;
- if (filterSupportedExecContent(exContentElem)) {
+ if (isSupportedExecContent(exContentElem)) {
stream << seperator << "if start_" << toStr(i) << "_sig = '1' then"
<< std::endl;
@@ -624,7 +624,7 @@ void ChartToVHDL::writeEventController(std::ostream &stream) {
//for (auto exContentElem : _execContent) {
for (auto ecIter = _execContent.begin(); ecIter != _execContent.end(); ecIter++, i++) {
DOMElement *exContentElem = *ecIter;
- if (filterSupportedExecContent(exContentElem)) {
+ if (isSupportedExecContent(exContentElem)) {
stream << " done_" << toStr(i) << "_sig <= '0';" << std::endl;
}
}
diff --git a/src/uscxml/transform/ChartToVHDL.h b/src/uscxml/transform/ChartToVHDL.h
index 4b047da..b6c7853 100644
--- a/src/uscxml/transform/ChartToVHDL.h
+++ b/src/uscxml/transform/ChartToVHDL.h
@@ -227,7 +227,7 @@ protected:
private:
std::string getLineForExecContent(const XERCESC_NS::DOMNode *elem);
- bool filterSupportedExecContent(XERCESC_NS::DOMElement *execContentElement);
+ bool isSupportedExecContent(XERCESC_NS::DOMElement *execContentElement);
};
}