summaryrefslogtreecommitdiffstats
path: root/test
diff options
context:
space:
mode:
authorJens Heuschkel <heuschkel@tk.tu-darmstadt.de>2016-11-23 10:25:52 (GMT)
committerJens Heuschkel <heuschkel@tk.tu-darmstadt.de>2016-11-23 10:25:52 (GMT)
commit7ee36998657a2f2202949cba6dfee5173fdcb64c (patch)
treeed85b12fe892f6c7cc6a39673c88420da8e55446 /test
parent5dbac430453dac1ec1fb76250797df7222f37f40 (diff)
downloaduscxml-7ee36998657a2f2202949cba6dfee5173fdcb64c.zip
uscxml-7ee36998657a2f2202949cba6dfee5173fdcb64c.tar.gz
uscxml-7ee36998657a2f2202949cba6dfee5173fdcb64c.tar.bz2
update manual test scripft to vhdl08
Diffstat (limited to 'test')
-rwxr-xr-xtest/vhdl_manual/manual_test_ghdl.sh19
1 files changed, 14 insertions, 5 deletions
diff --git a/test/vhdl_manual/manual_test_ghdl.sh b/test/vhdl_manual/manual_test_ghdl.sh
index 68038c4..90ba90b 100755
--- a/test/vhdl_manual/manual_test_ghdl.sh
+++ b/test/vhdl_manual/manual_test_ghdl.sh
@@ -3,6 +3,12 @@
# https://sourceforge.net/p/umhdl/wiki/Installation%20-%20Linux/
# https://linux.die.net/man/1/ghdl
#
+# needs a up-to-date verson of ghdl (at least 0.32)
+# https://github.com/tgingold/ghdl
+# ./configure --with-llvm-config=/usr/bin/llvm-config --prefix=/opt/ghdl
+# sudo mkdir /opt/ghdl
+# make
+# sudo make install
ME=`basename $0`
DIR="$( cd "$( dirname "$0" )" && pwd )/"
@@ -16,7 +22,7 @@ VHDL_OUT=${SIM_DIR}vhd/
SIM_LIB_DIR=${SIM_DIR}scxml/
VHDL_TB_NAME=tb
-SIMULATION_CMD="${INSTALL_DIR}vsim work.tb -do debug.do"
+GHDL=/opt/ghdl/bin/ghdl
# get arguments
TEST_NUMBER="test144.scxml"
@@ -43,8 +49,9 @@ fi
# compile stuff
cd ${SIM_DIR}
-ghdl --clean
-ghdl -a -Wa,--32 ${VHDL_OUT}dut.vhd
+${GHDL} --clean
+${GHDL} -a --std=08 ${VHDL_OUT}dut.vhd
+#${GHDL} -a -Wa,--32 ${VHDL_OUT}dut.vhd
if [ $? -eq 0 ] ; then
echo "syntax check ok."
@@ -53,7 +60,8 @@ else
exit -1
fi
-ghdl -e -Wa,--32 -Wl,-m32 ${VHDL_TB_NAME}
+${GHDL} -e --std=08 ${VHDL_TB_NAME}
+#${GHDL} -e -Wa,--32 -Wl,-m32 ${VHDL_TB_NAME}
if [ $? -eq 0 ] ; then
echo "compilation done."
@@ -63,4 +71,5 @@ else
fi
# start simulator
-ghdl -r tb --stop-time=10ms --vcd=tb.vcd
+${GHDL} -r tb --vcd=tb.vcd
+#${GHDL} -r tb --stop-time=10ms --vcd=tb.vcd