summaryrefslogtreecommitdiffstats
path: root/test
diff options
context:
space:
mode:
authorjuehv <jens@jensheuschkel.de>2016-03-02 15:39:40 (GMT)
committerjuehv <jens@jensheuschkel.de>2016-03-02 15:39:40 (GMT)
commite5e5dbef238fce2154f9faa573cdfec2e3125f36 (patch)
tree80881b8d851ad46624f2ac7f3dfbfa7ba457fbab /test
parent560692a1e30f736113f13f02c47b9a15c18b4966 (diff)
downloaduscxml-e5e5dbef238fce2154f9faa573cdfec2e3125f36.zip
uscxml-e5e5dbef238fce2154f9faa573cdfec2e3125f36.tar.gz
uscxml-e5e5dbef238fce2154f9faa573cdfec2e3125f36.tar.bz2
update debug.do
Diffstat (limited to 'test')
-rw-r--r--test/vhdltest/debug.do4
1 files changed, 4 insertions, 0 deletions
diff --git a/test/vhdltest/debug.do b/test/vhdltest/debug.do
index d6f77b6..ca62e9c 100644
--- a/test/vhdltest/debug.do
+++ b/test/vhdltest/debug.do
@@ -35,6 +35,10 @@ add wave -noupdate -divider -height 20 Transition_Set
add wave -position insertpoint \
sim:/tb/dut/in_optimal_transition_set_*_sig
+add wave -noupdate -divider -height 20 Event
+add wave -position insertpoint \
+sim:/tb/dut/*event*
+
add wave -noupdate -divider -height 20 ALL
add wave -position insertpoint \
sim:/tb/dut/*