summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorDimitri van Heesch <doxygen@gmail.com>2019-11-03 11:32:59 (GMT)
committerDimitri van Heesch <doxygen@gmail.com>2019-11-03 11:32:59 (GMT)
commit1b068420dfe71dfed32e5f629563e7e648d11792 (patch)
treed04aa83e9b5fc507c4b87b9158fd71f3b24d0784
parent802083c01ce91fcc4ece226f3b6e8ccbdcacf882 (diff)
downloadDoxygen-1b068420dfe71dfed32e5f629563e7e648d11792.zip
Doxygen-1b068420dfe71dfed32e5f629563e7e648d11792.tar.gz
Doxygen-1b068420dfe71dfed32e5f629563e7e648d11792.tar.bz2
Update generated VHDL code to JavaCC 7.0.5
-rw-r--r--vhdlparser/CharStream.cc22
-rw-r--r--vhdlparser/CharStream.h104
-rw-r--r--vhdlparser/ErrorHandler.h22
-rw-r--r--vhdlparser/JavaCC.h47
-rw-r--r--vhdlparser/ParseException.cc50
-rw-r--r--vhdlparser/ParseException.h22
-rw-r--r--vhdlparser/Token.cc37
-rw-r--r--vhdlparser/Token.h18
-rw-r--r--vhdlparser/TokenManager.h15
-rw-r--r--vhdlparser/TokenMgrError.cc28
-rw-r--r--vhdlparser/TokenMgrError.h20
-rw-r--r--vhdlparser/VhdlParser.cc5030
-rw-r--r--vhdlparser/VhdlParser.h1013
-rw-r--r--vhdlparser/VhdlParserConstants.h384
-rw-r--r--vhdlparser/VhdlParserTokenManager.cc477
-rw-r--r--vhdlparser/VhdlParserTokenManager.h75
16 files changed, 2514 insertions, 4850 deletions
diff --git a/vhdlparser/CharStream.cc b/vhdlparser/CharStream.cc
index 65179f5..05003ef 100644
--- a/vhdlparser/CharStream.cc
+++ b/vhdlparser/CharStream.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. CharStream.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. CharStream.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "CharStream.h"
@@ -12,8 +12,8 @@ void ArrayCopy(T* src, int src_offset, T* dest, int dest_offset, int len) {
class StringReaderStream : public ReaderStream {
public:
- StringReaderStream(const JAVACC_STRING_TYPE& str) : str_(str), cur_(0), max_(str.size()) {}
- virtual size_t read(JAVACC_CHAR_TYPE *bufptr, int offset, size_t len) {
+ StringReaderStream(const JJString& str) : str_(str), cur_(0), max_(str.size()) {}
+ virtual size_t read(JJChar *bufptr, int offset, size_t len) {
size_t count = str_.copy(bufptr + offset, len > max_ ? max_ : len, cur_);
cur_ += count;
max_ -= count;
@@ -25,7 +25,7 @@ class StringReaderStream : public ReaderStream {
}
private:
- const JAVACC_STRING_TYPE str_;
+ const JJString str_;
size_t cur_;
size_t max_;
};
@@ -33,7 +33,7 @@ class StringReaderStream : public ReaderStream {
namespace vhdl {
namespace parser {
-void CharStream::ReInit(const JAVACC_STRING_TYPE& str, int startline,
+void CharStream::ReInit(const JJString& str, int startline,
int startcolumn, int buffersize) {
StringReaderStream *stream = new StringReaderStream(str);
ReInit(stream, startline, startcolumn, buffersize);
@@ -46,12 +46,12 @@ void CharStream::ReInit(ReaderStream *input_stream, int startline,
delete inputStream;
}
- if (buffer != NULL) {
+ if (buffer) {
DeleteBuffers();
}
available = bufsize = buffersize;
- buffer = new JAVACC_CHAR_TYPE[buffersize];
+ buffer = new JJChar[buffersize];
bufline = new int[buffersize];
bufcolumn = new int[buffersize];
@@ -111,7 +111,7 @@ void CharStream::adjustBeginLineColumn(int newLine, int newCol) {
}
void CharStream::ExpandBuff(bool wrapAround) {
- JAVACC_CHAR_TYPE *newbuffer = new JAVACC_CHAR_TYPE[bufsize + 2048];
+ JJChar *newbuffer = new JJChar[bufsize + 2048];
int *newbufline = new int[bufsize + 2048];
int *newbufcolumn = new int[bufsize + 2048];
@@ -160,7 +160,7 @@ void CharStream::FillBuff() {
}
}
- int i = inputStream->read(buffer, maxNextCharInd, available - maxNextCharInd);
+ size_t i = inputStream->read(buffer, maxNextCharInd, available - maxNextCharInd);
if (i > 0) {
maxNextCharInd += i;
} else {
@@ -172,7 +172,7 @@ void CharStream::FillBuff() {
}
}
-void CharStream::UpdateLineColumn(JAVACC_CHAR_TYPE c) {
+void CharStream::UpdateLineColumn(JJChar c) {
column++;
if (prevCharIsLF) {
prevCharIsLF = false;
@@ -209,4 +209,4 @@ void CharStream::UpdateLineColumn(JAVACC_CHAR_TYPE c) {
}
}
-/* JavaCC - OriginalChecksum=ade3c1b57a731a003629de593814ffa6 (do not edit this line) */
+/* JavaCC - OriginalChecksum=0ba78d6c493d812eba61de6fa794fd15 (do not edit this line) */
diff --git a/vhdlparser/CharStream.h b/vhdlparser/CharStream.h
index 2543ad1..a955d8e 100644
--- a/vhdlparser/CharStream.h
+++ b/vhdlparser/CharStream.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. CharStream.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. CharStream.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef CHARSTREAM_H
-#define CHARSTREAM_H
+#ifndef JAVACC_CHARSTREAM_H_
+#define JAVACC_CHARSTREAM_H_
+
#include "JavaCC.h"
#ifndef INITIAL_BUFFER_SIZE
@@ -29,9 +30,10 @@ namespace parser {
class CharStream {
public:
- void setTabSize(int i) { tabSize = i; }
- int getTabSize(int i) { return tabSize; }
- private:
+ void setTabSize(int i) { tabSize = i; }
+ int getTabSize(int i) { return tabSize; }
+
+private:
int getBufcolumn(int pos) {
if (trackLineColumn && pos>=0) {
return bufcolumn[pos];
@@ -46,13 +48,14 @@ public:
return -1;
}
}
- public:
- virtual int getColumn() { return getBufcolumn(bufpos); }
- virtual int getLine() { return getBufline(bufpos); }
- virtual int getEndColumn() { return getBufcolumn(bufpos); }
- virtual int getEndLine() { return getBufline(bufpos); }
- virtual int getBeginColumn() { return getBufcolumn(tokenBegin); }
- virtual int getBeginLine() { return getBufline(tokenBegin); }
+
+public:
+ virtual int getColumn() { return getBufcolumn(bufpos); }
+ virtual int getLine() { return getBufline(bufpos); }
+ virtual int getEndColumn() { return getBufcolumn(bufpos); }
+ virtual int getEndLine() { return getBufline(bufpos); }
+ virtual int getBeginColumn() { return getBufcolumn(tokenBegin); }
+ virtual int getBeginLine() { return getBufline(tokenBegin); }
virtual bool getTrackLineColumn() { return trackLineColumn; }
virtual void setTrackLineColumn(bool val) { trackLineColumn = val; }
@@ -76,9 +79,9 @@ public:
* All characters must remain in the buffer between two successive calls
* to this method to implement backup correctly.
*/
- virtual inline JAVACC_CHAR_TYPE BeginToken() {
+ virtual inline JJChar BeginToken() {
tokenBegin = -1;
- JAVACC_CHAR_TYPE c = readChar();
+ JJChar c = readChar();
tokenBegin = bufpos;
return c;
}
@@ -89,7 +92,7 @@ public:
* of selecting the input is the responsibility of the class
* implementing this class.
*/
- virtual inline JAVACC_CHAR_TYPE readChar() {
+ virtual inline JJChar readChar() {
if (inBuf > 0) {
--inBuf;
++bufpos;
@@ -104,7 +107,7 @@ public:
FillBuff();
}
- JAVACC_CHAR_TYPE c = buffer[bufpos];
+ JJChar c = buffer[bufpos];
if (trackLineColumn) {
UpdateLineColumn(c);
@@ -123,11 +126,11 @@ public:
* anything that they want to. For example, for efficiency, one might decide
* to just return NULL, which is a valid implementation.
*/
- virtual JAVACC_STRING_TYPE GetImage() {
+ virtual JJString GetImage() {
if (bufpos >= tokenBegin)
- return JAVACC_STRING_TYPE(buffer + tokenBegin, bufpos - tokenBegin + 1);
+ return JJString(buffer + tokenBegin, bufpos - tokenBegin + 1);
else
- return JAVACC_STRING_TYPE(buffer + tokenBegin, bufsize - tokenBegin).append(buffer, bufpos + 1);
+ return JJString(buffer + tokenBegin, bufsize - tokenBegin).append(buffer, bufpos + 1);
}
/**
@@ -136,11 +139,11 @@ public:
* for use in actions in the case of MORE. A simple and inefficient
* implementation of this is as follows :
*/
- virtual JAVACC_STRING_TYPE GetSuffix(int len) {
+ virtual JJString GetSuffix(int len) {
if ((bufpos + 1) >= len) {
- return JAVACC_STRING_TYPE(buffer + bufpos - len + 1, len);
+ return JJString(buffer + bufpos - len + 1, len);
}
- return JAVACC_STRING_TYPE(buffer + bufsize - (len - bufpos - 1), len - bufpos - 1).append(buffer, bufpos + 1);
+ return JJString(buffer + bufsize - (len - bufpos - 1), len - bufpos - 1).append(buffer, bufpos + 1);
}
/**
@@ -160,62 +163,62 @@ public:
return inBuf == 0 && bufpos + 1 >= maxNextCharInd && inputStream->endOfInput();
}
- CharStream(const JAVACC_CHAR_TYPE *buf, int sz, int startline,
+ CharStream(const JJChar *buf, int sz, int startline,
int startcolumn, int buffersize) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
- ReInit(JAVACC_STRING_TYPE(buf, sz), startline, startcolumn, buffersize);
+ inputStream(nullptr), deleteStream(false) {
+ ReInit(JJString(buf, sz), startline, startcolumn, buffersize);
}
- CharStream(const JAVACC_CHAR_TYPE *buf, int sz, int startline, int startcolumn) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ CharStream(const JJChar *buf, int sz, int startline, int startcolumn) :
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
- ReInit(JAVACC_STRING_TYPE(buf, sz), startline, startcolumn, INITIAL_BUFFER_SIZE);
+ inputStream(nullptr), deleteStream(false) {
+ ReInit(JJString(buf, sz), startline, startcolumn, INITIAL_BUFFER_SIZE);
}
- CharStream(const JAVACC_STRING_TYPE& str, int startline,
+ CharStream(const JJString& str, int startline,
int startcolumn, int buffersize) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(str, startline, startcolumn, buffersize);
}
- CharStream(const JAVACC_STRING_TYPE& str, int startline, int startcolumn) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ CharStream(const JJString& str, int startline, int startcolumn) :
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(str, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream, int startline,
int startcolumn, int buffersize) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
- ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
+ inputStream(nullptr), deleteStream(false) {
+ ReInit(input_stream, startline, startcolumn, buffersize);
}
CharStream(ReaderStream *input_stream, int startline, int startcolumn) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream) :
- bufline(NULL), bufcolumn(NULL), buffer(NULL), bufpos(0), bufsize(0),
+ bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(NULL), deleteStream(false) {
+ inputStream(nullptr), deleteStream(false) {
ReInit(input_stream, 1, 1, INITIAL_BUFFER_SIZE);
}
@@ -229,22 +232,22 @@ public:
ReInit(input_stream, 1, 1, INITIAL_BUFFER_SIZE);
}
- virtual void ReInit(const JAVACC_STRING_TYPE& str, int startline,
+ virtual void ReInit(const JJString& str, int startline,
int startcolumn, int buffersize);
- virtual void ReInit(const JAVACC_STRING_TYPE& str, int startline,
+ virtual void ReInit(const JJString& str, int startline,
int startcolumn) {
ReInit(str, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
virtual void adjustBeginLineColumn(int newLine, int newCol);
- protected:
- virtual void UpdateLineColumn(JAVACC_CHAR_TYPE c);
+protected:
+ virtual void UpdateLineColumn(JJChar c);
int* bufline;
int* bufcolumn;
- JAVACC_CHAR_TYPE* buffer;
+ JJChar* buffer;
int bufpos;
int bufsize;
int tokenBegin;
@@ -263,5 +266,6 @@ public:
}
}
+
#endif
-/* JavaCC - OriginalChecksum=3f0e693d1617236429891c8c95713d73 (do not edit this line) */
+/* JavaCC - OriginalChecksum=c5b4b2e72393f865547f405cc9def169 (do not edit this line) */
diff --git a/vhdlparser/ErrorHandler.h b/vhdlparser/ErrorHandler.h
index 9f7dc07..d5535e8 100644
--- a/vhdlparser/ErrorHandler.h
+++ b/vhdlparser/ErrorHandler.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. ErrorHandler.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. ErrorHandler.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,BUILD_PARSER=true,BUILD_TOKEN_MANAGER=true */
-#ifndef ERRORHANDLER_H
-#define ERRORHANDLER_H
+#ifndef JAVACC_ERRORHANDLER_H
+#define JAVACC_ERRORHANDLER_H
+
#include <stdio.h>
#include <string>
#include "JavaCC.h"
@@ -10,7 +11,7 @@
namespace vhdl {
namespace parser {
-JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
+JJSimpleString addUnicodeEscapes(const JJString& str);
class VhdlParser;
class ErrorHandler {
@@ -24,7 +25,7 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
// expectedKind - token kind that the parser was trying to consume.
// expectedToken - the image of the token - tokenImages[expectedKind].
// actual - the actual token that the parser got instead.
- virtual void handleUnexpectedToken(int expectedKind, JAVACC_STRING_TYPE expectedToken, Token *actual, VhdlParser *parser) {
+ virtual void handleUnexpectedToken(int expectedKind, const JJString& expectedToken, Token *actual, VhdlParser *parser) {
error_count++;
fprintf(stderr, "Expecting %s at: %d:%d but got %s\n", addUnicodeEscapes(expectedToken).c_str(), actual->beginLine, actual->beginColumn, addUnicodeEscapes(actual->image).c_str());
}
@@ -32,14 +33,14 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
// last - the last token successfully parsed.
// unexpected - the token at which the error occurs.
// production - the production in which this error occurrs.
- virtual void handleParseError(Token *last, Token *unexpected, JAVACC_SIMPLE_STRING production, VhdlParser *parser) {
+ virtual void handleParseError(Token *last, Token *unexpected, const JJSimpleString& production, VhdlParser *parser) {
error_count++;
fprintf(stderr, "Encountered: %s at: %d:%d while parsing: %s\n", addUnicodeEscapes(unexpected->image).c_str(), unexpected->beginLine, unexpected->beginColumn, production.c_str());
}
virtual int getErrorCount() {
return error_count;
}
- virtual void handleOtherError(JAVACC_STRING_TYPE message, VhdlParser *parser) {
+ virtual void handleOtherError(const JJString& message, VhdlParser *parser) {
fprintf(stderr, "Error: %s\n", (char*)message.c_str());
}
virtual ~ErrorHandler() {}
@@ -62,11 +63,11 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
// errorAfter : prefix that was seen before this error occurred
// curchar : the offending character
//
- virtual void lexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, VhdlParserTokenManager* token_manager) {
+ virtual void lexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, VhdlParserTokenManager* token_manager) {
// by default, we just print an error message and return.
fprintf(stderr, "Lexical error at: %d:%d. Encountered: %c after: %s.\n", errorLine, errorColumn, curChar, (EOFSeen? "EOF" : (const char*)errorAfter.c_str()));
}
- virtual void lexicalError(JAVACC_STRING_TYPE errorMessage, VhdlParserTokenManager* token_manager) {
+ virtual void lexicalError(const JJString& errorMessage, VhdlParserTokenManager* token_manager) {
fprintf(stderr, "%s\n", (char*)errorMessage.c_str());
}
virtual ~TokenManagerErrorHandler() {}
@@ -76,4 +77,5 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
}
#endif
-/* JavaCC - OriginalChecksum=c18f1105ba178be8e21cc9f279f94496 (do not edit this line) */
+
+/* JavaCC - OriginalChecksum=5361b31ac6530c6c23511012deb15394 (do not edit this line) */
diff --git a/vhdlparser/JavaCC.h b/vhdlparser/JavaCC.h
index 224b2b5..2d34634 100644
--- a/vhdlparser/JavaCC.h
+++ b/vhdlparser/JavaCC.h
@@ -1,31 +1,33 @@
-/* Generated By:JavaCC: Do not edit this line. JavaCC.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. JavaCC.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef JAVACC_H_
-#define JAVACC_H_
-#include <stdio.h>
+#ifndef JAVACC_JAVACC_H_
+#define JAVACC_JAVACC_H_
+
#include <string>
-#include <memory.h>
-#include <assert.h>
-#include "vhdlstring.h"
+#include <memory>
+#include <cassert>
+#include <functional>
#ifndef JAVACC_CHAR_TYPE
#define JAVACC_CHAR_TYPE char
#endif
#ifndef JAVACC_STRING_TYPE
-#define JAVACC_STRING_TYPE VhdlString
+#define JAVACC_STRING_TYPE std::basic_string<JAVACC_CHAR_TYPE>
#endif
-#define JAVACC_SIMPLE_STRING VhdlString
+#define JAVACC_SIMPLE_STRING std::basic_string<char>
-typedef JAVACC_STRING_TYPE StringBuffer;
-typedef JAVACC_STRING_TYPE String;
+typedef JAVACC_CHAR_TYPE JJChar;
+typedef JAVACC_STRING_TYPE JJString;
+typedef JAVACC_STRING_TYPE JJStringBuffer;
+typedef JAVACC_SIMPLE_STRING JJSimpleString;
// Abstraction on stream classes to read a block of data into a buffer.
class ReaderStream {
public:
// Read block of data into a buffer and return the actual number read.
- virtual size_t read(JAVACC_CHAR_TYPE * /*bufptr*/, int /*offset*/, size_t /*len*/) { return 0; }
+ virtual size_t read(JAVACC_CHAR_TYPE *buffer, int offset, size_t len) { return 0; }
virtual bool endOfInput() { return true; }
virtual ~ReaderStream() {}
};
@@ -33,11 +35,26 @@ public:
const JAVACC_CHAR_TYPE EMPTY[] = { 0 };
#ifndef MAX
-#define MAX(a,b) ((a)>(b)?(a):(b))
+#define MAX(a,b) (a>=b?a:b)
#endif
#ifndef MIN
-#define MIN(a,b) ((a)<(b)?(a):(b))
+#define MIN(a,b) (a<=b?a:b)
#endif
+template<typename T>
+struct JJEnter
+{
+ JJEnter(T f_) : f{f_} {f();}
+ ~JJEnter(){}
+ T f;
+};
+template<typename T>
+struct JJExit
+{
+ JJExit(T f_) : f{f_} {}
+ ~JJExit(){f();}
+ T f;
+};
+
#endif
-/* JavaCC - OriginalChecksum=eb066370c81bb58bb68713327da9719f (do not edit this line) */
+/* JavaCC - OriginalChecksum=b719dd5e5f87efc5315d14df0b701850 (do not edit this line) */
diff --git a/vhdlparser/ParseException.cc b/vhdlparser/ParseException.cc
index 6ef9738..9f256d9 100644
--- a/vhdlparser/ParseException.cc
+++ b/vhdlparser/ParseException.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. ParseException.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. ParseException.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "ParseException.h"
@@ -23,7 +23,7 @@ namespace parser {
*/
ParseException::ParseException(Token currentTokenVal,
int** expectedTokenSequencesVal,
- JAVACC_STRING_TYPE* tokenImageVal
+ JJString* tokenImageVal
)
{
initialise(currentTokenVal, expectedTokenSequencesVal, tokenImageVal);
@@ -46,7 +46,7 @@ namespace parser {
}
/** Constructor with message. */
- ParseException::ParseException(JAVACC_STRING_TYPE message) {
+ ParseException::ParseException(const JJString& message) {
}
@@ -69,7 +69,7 @@ namespace parser {
* parser within which the parse error occurred. This array is
* defined in the generated ...Constants class.
*/
- JAVACC_STRING_TYPE* tokenImage;
+ JJString* tokenImage;
/**
* It uses "currentToken" and "expectedTokenSequences" to generate a parse
@@ -78,12 +78,12 @@ namespace parser {
* from the parser) the correct error message
* gets displayed.
*/
- JAVACC_STRING_TYPE ParseException::initialise(Token currentToken,
+ JJString ParseException::initialise(Token currentToken,
int** expectedTokenSequences,
- JAVACC_STRING_TYPE* tokenImage) {
+ JJString* tokenImage) {
#if 0
- //JAVACC_STRING_TYPE eol = System.getProperty("line.separator", "\n");
- expected = new JAVACC_STRING_TYPE();
+ //JJString eol = System.getProperty("line.separator", "\n");
+ expected = new JJString();
int maxSize = 0;
for (int i = 0; i < expectedTokenSequences.length; i++) {
if (maxSize < expectedTokenSequences[i].length) {
@@ -93,39 +93,39 @@ namespace parser {
expected.append(tokenImage[expectedTokenSequences[i][j]]).append(' ');
}
if (expectedTokenSequences[i][expectedTokenSequences[i].length - 1] != 0) {
- expected.append((JAVACC_CHAR_TYPE*)"...");
+ expected.append((JJChar*)"...");
}
expected.append(eol).append(" ");
}
- JAVACC_STRING_TYPE retval = (JAVACC_CHAR_TYPE*)"Encountered \"";
+ JJString retval = (JJChar*)"Encountered \"";
Token tok = currentToken.next;
for (int i = 0; i < maxSize; i++) {
- if (i != 0) retval += (JAVACC_CHAR_TYPE*)" ";
+ if (i != 0) retval += (JJChar*)" ";
if (tok.kind == 0) {
retval += tokenImage[0];
break;
}
- retval += (JAVACC_CHAR_TYPE*)" " + tokenImage[tok.kind];
- retval += (JAVACC_CHAR_TYPE*)" \"";
+ retval += (JJChar*)" " + tokenImage[tok.kind];
+ retval += (JJChar*)" \"";
retval += add_escapes(tok.image);
- retval += (JAVACC_CHAR_TYPE*)" \"";
+ retval += (JJChar*)" \"";
tok = tok.next;
}
- retval += (JAVACC_CHAR_TYPE*)"\" at line " + currentToken.next.beginLine + (JAVACC_CHAR_TYPE*)", column " + currentToken.next.beginColumn;
- retval += (JAVACC_CHAR_TYPE*)"." + eol;
+ retval += (JJChar*)"\" at line " + currentToken.next.beginLine + (JJChar*)", column " + currentToken.next.beginColumn;
+ retval += (JJChar*)"." + eol;
if (expectedTokenSequences.length == 1) {
- retval += (JAVACC_CHAR_TYPE*)"Was expecting:" + eol + (JAVACC_CHAR_TYPE*)" ";
+ retval += (JJChar*)"Was expecting:" + eol + (JJChar*)" ";
} else {
- retval += (JAVACC_CHAR_TYPE*)"Was expecting one of:" + eol + (JAVACC_CHAR_TYPE*)" ";
+ retval += (JJChar*)"Was expecting one of:" + eol + (JJChar*)" ";
}
retval += expected.toString();
return retval;
#endif
- return (JAVACC_CHAR_TYPE*)"Parse exception";
+ return (JJChar*)"Parse exception";
}
/**
- * The end of line JAVACC_STRING_TYPE for this machine.
+ * The end of line JJString for this machine.
*/
#define eol "\n"
@@ -134,10 +134,10 @@ namespace parser {
* when these raw version cannot be used as part of an ASCII
* string literal.
*/
- JAVACC_STRING_TYPE ParseException::add_escapes(JAVACC_STRING_TYPE str) {
+ JJString ParseException::add_escapes(const JJString& str) {
/*
- JAVACC_STRING_TYPE *retval = new JAVACC_STRING_TYPE();
- JAVACC_CHAR_TYPE ch;
+ JJString *retval = new JJString();
+ JJChar ch;
for (int i = 0; i < str.length(); i++) {
switch (str.charAt(i))
{
@@ -167,7 +167,7 @@ namespace parser {
continue;
default:
if ((ch = str.charAt(i)) < 0x20 || ch > 0x7e) {
- JAVACC_STRING_TYPE s = "0000" + Integer.toString(ch, 16);
+ JJString s = "0000" + Integer.toString(ch, 16);
retval.append("\\u" + s.substring(s.length() - 4, s.length()));
} else {
retval.append(ch);
@@ -181,4 +181,4 @@ namespace parser {
}
}
-/* JavaCC - OriginalChecksum=9f6af8fd72f5fe3e4210cf02acbd8387 (do not edit this line) */
+/* JavaCC - OriginalChecksum=7a72405661a136830ccf7f3cab0fffdc (do not edit this line) */
diff --git a/vhdlparser/ParseException.h b/vhdlparser/ParseException.h
index c36613f..c4afc27 100644
--- a/vhdlparser/ParseException.h
+++ b/vhdlparser/ParseException.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. ParseException.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. ParseException.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef _PARSE_EXCEPTION_H
-#define _PARSE_EXCEPTION_H
+#ifndef JAVACC_PARSE_EXCEPTION_H
+#define JAVACC_PARSE_EXCEPTION_H
+
#include "JavaCC.h"
#include "Token.h"
@@ -28,7 +29,7 @@ class ParseException {
*/
ParseException(Token currentTokenVal,
int** expectedTokenSequencesVal,
- JAVACC_STRING_TYPE* tokenImageVal
+ JJString* tokenImageVal
);
/**
@@ -44,7 +45,7 @@ class ParseException {
ParseException();
/** Constructor with message. */
- ParseException(JAVACC_STRING_TYPE message);
+ ParseException(const JJString& message);
/**
@@ -66,7 +67,7 @@ class ParseException {
* parser within which the parse error occurred. This array is
* defined in the generated ...Constants class.
*/
- JAVACC_STRING_TYPE* tokenImage;
+ JJString* tokenImage;
/**
* It uses "currentToken" and "expectedTokenSequences" to generate a parse
@@ -75,9 +76,9 @@ class ParseException {
* from the parser) the correct error message
* gets displayed.
*/
- private: JAVACC_STRING_TYPE initialise(Token currentToken,
+ private: JJString initialise(Token currentToken,
int** expectedTokenSequences,
- JAVACC_STRING_TYPE* tokenImage);
+ JJString* tokenImage);
/**
* The end of line string for this machine.
@@ -89,11 +90,12 @@ class ParseException {
* when these raw version cannot be used as part of an ASCII
* string literal.
*/
- JAVACC_STRING_TYPE add_escapes(JAVACC_STRING_TYPE str);
+ JJString add_escapes(const JJString& str);
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=bd87c2fc11a4306bd2c2482fd1025b7c (do not edit this line) */
+/* JavaCC - OriginalChecksum=6705523c0ea4e83f3c38da57253c0aea (do not edit this line) */
diff --git a/vhdlparser/Token.cc b/vhdlparser/Token.cc
index 735091d..d47dc68 100644
--- a/vhdlparser/Token.cc
+++ b/vhdlparser/Token.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. Token.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. Token.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,TOKEN_INCLUDES=,TOKEN_EXTENDS= */
#include "Token.h"
@@ -18,42 +18,46 @@ namespace parser {
* override this method as appropriate.
*/
void * Token::getValue() {
- return NULL;
+ return nullptr;
}
/**
* No-argument constructor
*/
- Token::Token() {
- this->next = NULL;
- this->specialToken = NULL;
+ Token::Token() :
+ beginLine(0), beginColumn(0), endLine(0), endColumn(0)
+ {
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
/**
* Constructs a new token for the specified Image.
*/
- Token::Token(int kind)
+ Token::Token(int kind) :
+ beginLine(0), beginColumn(0), endLine(0), endColumn(0)
{
this->kind = kind;
- this->next = NULL;
- this->specialToken = NULL;
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
/**
* Constructs a new token for the specified Image and Kind.
*/
- Token::Token(int kind, JAVACC_STRING_TYPE image)
+ Token::Token(int kind, const JJString& image)
+ : beginLine(0), beginColumn(0), endLine(0), endColumn(0)
{
this->kind = kind;
this->image = image;
- this->next = NULL;
- this->specialToken = NULL;
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
/**
* Returns the image.
*/
- JAVACC_STRING_TYPE Token::toString()
+ const JJString& Token::toString()
{
return image;
}
@@ -70,7 +74,7 @@ namespace parser {
* to the following switch statement. Then you can cast matchedToken
* variable to the appropriate type and use sit in your lexical actions.
*/
- Token *Token::newToken(int ofKind, JAVACC_STRING_TYPE image)
+ Token *Token::newToken(int ofKind, const JJString& image)
{
switch(ofKind)
{
@@ -80,13 +84,16 @@ namespace parser {
Token *Token::newToken(int ofKind)
{
- return newToken(ofKind, JAVACC_STRING_TYPE((JAVACC_CHAR_TYPE*)""));
+ return newToken(ofKind, JJString());
}
Token::~Token() {
if (specialToken) delete specialToken;
+ this->kind = 0;
+ this->next = nullptr;
+ this->specialToken = nullptr;
}
}
}
-/* JavaCC - OriginalChecksum=dcb0f64486aa6455ae5af05d6bb539ec (do not edit this line) */
+/* JavaCC - OriginalChecksum=01131f3b3906a670aa8fee6224233701 (do not edit this line) */
diff --git a/vhdlparser/Token.h b/vhdlparser/Token.h
index 040899e..3575005 100644
--- a/vhdlparser/Token.h
+++ b/vhdlparser/Token.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. Token.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. Token.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,TOKEN_INCLUDES=,TOKEN_EXTENDS= */
-#ifndef TOKEN_H
-#define TOKEN_H
+#ifndef JAVACC_TOKEN_H
+#define JAVACC_TOKEN_H
+
#include "JavaCC.h"
@@ -35,7 +36,7 @@ class Token
/**
* The string image of the token.
*/
- JAVACC_STRING_TYPE image;
+ JJString image;
/**
* A reference to the next regular (non-special) token from the input
@@ -84,14 +85,14 @@ class Token
/**
* Constructs a new token for the specified Image and Kind.
*/
- Token(int kind, JAVACC_STRING_TYPE image);
+ Token(int kind, const JJString& image);
virtual ~Token();
/**
* Returns the image.
*/
- JAVACC_STRING_TYPE toString();
+ const JJString& toString();
/**
@@ -106,12 +107,13 @@ class Token
* to the following switch statement. Then you can cast matchedToken
* variable to the appropriate type and use sit in your lexical actions.
*/
- static Token *newToken(int ofKind, JAVACC_STRING_TYPE image);
+ static Token *newToken(int ofKind, const JJString& image);
static Token *newToken(int ofKind);
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=4748c3d6443aa3445d3c95ab54f14c2a (do not edit this line) */
+/* JavaCC - OriginalChecksum=8c237423e3d9695c3bfcf539bcf92375 (do not edit this line) */
diff --git a/vhdlparser/TokenManager.h b/vhdlparser/TokenManager.h
index 0c2caa6..6b158ae 100644
--- a/vhdlparser/TokenManager.h
+++ b/vhdlparser/TokenManager.h
@@ -1,13 +1,12 @@
-/* Generated By:JavaCC: Do not edit this line. TokenManager.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. TokenManager.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef TOKENMANAGER_H
-#define TOKENMANAGER_H
+#ifndef JAVACC_TOKENMANAGER_H
+#define JAVACC_TOKENMANAGER_H
+
#include <iostream>
#include "JavaCC.h"
#include "Token.h"
-using namespace std;
-
namespace vhdl {
namespace parser {
/**
@@ -23,13 +22,15 @@ public:
*/
virtual ~TokenManager() { }
virtual Token *getNextToken() = 0;
+ virtual void setParser(void* parser) {};
virtual void lexicalError() {
- cerr << "Lexical error encountered." << endl;
+ std::cerr << "Lexical error encountered." << std::endl;
}
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=918e2eba53e028d6c4142283ce3f498f (do not edit this line) */
+/* JavaCC - OriginalChecksum=c5e389e7ee25f48da34e517ae429ac2a (do not edit this line) */
diff --git a/vhdlparser/TokenMgrError.cc b/vhdlparser/TokenMgrError.cc
index 74908f0..3560805 100644
--- a/vhdlparser/TokenMgrError.cc
+++ b/vhdlparser/TokenMgrError.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. TokenMgrError.cc Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. TokenMgrError.cc Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "TokenMgrError.h"
@@ -14,17 +14,17 @@ namespace parser {
* errorLine : line number when the error occurred
* errorColumn : column number when the error occurred
* errorAfter : prefix that was seen before this error occurred
- * curJAVACC_CHAR_TYPE : the offending character
+ * curJJChar : the offending character
* Note: You can customize the lexical error message by modifying this method.
*/
- JAVACC_STRING_TYPE TokenMgrError::LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar) {
+ JJString TokenMgrError::LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar) {
#if 0
- JAVACC_STRING_TYPE s;
- stringstream<JAVACC_STRING_TYPE> ss;
+ JJString s;
+ stringstream<JJString> ss;
ss << "Lexical error at line " << errorLine << " column " << errorColumn
<< ". Encountered: " << curChar << "(" << (int)curChar
<< ") after : \"" << errorAfter.c_str() << "\"";
- return (JAVACC_STRING_TYPE)ss.rdbuf()->str();
+ return (JJString)ss.rdbuf()->str();
#endif
return EMPTY;
}
@@ -38,7 +38,7 @@ namespace parser {
*
* from this method for such cases in the release version of your parser.
*/
- JAVACC_STRING_TYPE TokenMgrError::getMessage() {
+ JJString TokenMgrError::getMessage() {
return message;
}
@@ -51,12 +51,12 @@ namespace parser {
}
/** Constructor with message and reason. */
- TokenMgrError::TokenMgrError(JAVACC_STRING_TYPE message, int reason) {
+ TokenMgrError::TokenMgrError(const JJString& message, int reason) {
errorCode = reason;
}
/** Full Constructor. */
- TokenMgrError::TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, int reason) {
+ TokenMgrError::TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, int reason) {
message = LexicalError(EOFSeen, lexState, errorLine, errorColumn, errorAfter, curChar);
errorCode = reason;
}
@@ -64,7 +64,7 @@ namespace parser {
// i < 16 - guaranteed
char hexChar(int i) {
if (i < 10) {
- return i + '0';
+ return i - '0';
}
return 'a' + (i - 10);
}
@@ -73,10 +73,10 @@ char hexChar(int i) {
* Replaces unprintable characters by their escaped (or unicode escaped)
* equivalents in the given string
*/
-JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str) {
- JAVACC_SIMPLE_STRING retval;
+JJSimpleString addUnicodeEscapes(const JJString& str) {
+ JJSimpleString retval;
for (size_t i = 0; i < str.size(); i++) {
- JAVACC_CHAR_TYPE ch = str[i];
+ JJChar ch = str[i];
switch (ch)
{
case '\b':
@@ -115,4 +115,4 @@ JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str) {
}
}
-/* JavaCC - OriginalChecksum=72f292bc267bd0602e63291bf864f942 (do not edit this line) */
+/* JavaCC - OriginalChecksum=ed66d80b94c73435ce2644e44f7b4d49 (do not edit this line) */
diff --git a/vhdlparser/TokenMgrError.h b/vhdlparser/TokenMgrError.h
index abb305d..90c7530 100644
--- a/vhdlparser/TokenMgrError.h
+++ b/vhdlparser/TokenMgrError.h
@@ -1,7 +1,8 @@
-/* Generated By:JavaCC: Do not edit this line. TokenMgrError.h Version 6.2 */
+/* Generated By:JavaCC: Do not edit this line. TokenMgrError.h Version 7.0 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef _TOKENMGRERROR_H
-#define _TOKENMGRERROR_H
+#ifndef JAVACC_TOKENMGRERROR_H
+#define JAVACC_TOKENMGRERROR_H
+
#include "JavaCC.h"
@@ -54,10 +55,10 @@ public:
* curchar : the offending character
* Note: You can customize the lexical error message by modifying this method.
*/
- JAVACC_STRING_TYPE LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar);
+ JJString LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar);
private:
- JAVACC_STRING_TYPE message;
+ JJString message;
/**
* You can also modify the body of this method to customize your error messages.
@@ -69,7 +70,7 @@ private:
* from this method for such cases in the release version of your parser.
*/
public:
- JAVACC_STRING_TYPE getMessage() ;
+ JJString getMessage() ;
/*
* Constructors of various flavors follow.
@@ -79,13 +80,14 @@ public:
TokenMgrError() ;
/** Constructor with message and reason. */
- TokenMgrError(JAVACC_STRING_TYPE message, int reason) ;
+ TokenMgrError(const JJString& message, int reason) ;
/** Full Constructor. */
- TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, int reason) ;
+ TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, int reason) ;
};
}
}
+
#endif
-/* JavaCC - OriginalChecksum=c22a1b25630ec91deb47dcba22b6b39d (do not edit this line) */
+/* JavaCC - OriginalChecksum=4ab4cdcdef6a3feec22fd9c00ba86e07 (do not edit this line) */
diff --git a/vhdlparser/VhdlParser.cc b/vhdlparser/VhdlParser.cc
index 2abe6c6..2ed30e2 100644
--- a/vhdlparser/VhdlParser.cc
+++ b/vhdlparser/VhdlParser.cc
@@ -23,37 +23,31 @@ namespace parser {
QCString VhdlParser::abstract_literal() {Token *tok;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DECIMAL_LITERAL:{if (!hasError) {
-
+ case DECIMAL_LITERAL:{
+ if (!hasError) {
tok = jj_consume_token(DECIMAL_LITERAL);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case INTEGER:{if (!hasError) {
-
+ case INTEGER:{
+ if (!hasError) {
tok = jj_consume_token(INTEGER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case BASED_LITERAL:{if (!hasError) {
-
+ case BASED_LITERAL:{
+ if (!hasError) {
tok = jj_consume_token(BASED_LITERAL);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -65,15 +59,13 @@ assert(false);
}
-QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;if (!hasError) {
-
+QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;
+ if (!hasError) {
tok = jj_consume_token(ACCESS_T);
}
if (!hasError) {
-
str1 = subtype_indication();
}
-
str=tok->image.c_str(); return str+str1;
assert(false);
}
@@ -81,37 +73,31 @@ assert(false);
QCString VhdlParser::actual_designator() {QCString str;Token *t=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
t = jj_consume_token(OPEN_T);
}
if (!hasError) {
-
return t->image.c_str();
}
-
break;
}
default:
jj_la1[1] = jj_gen;
- if (jj_2_1(2147483647)) {if (!hasError) {
-
+ if (jj_2_1(2147483647)) {
+ if (!hasError) {
str = expression();
}
if (!hasError) {
-
return str;
}
-
- } else if (jj_2_2(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_2(2147483647)) {
+ if (!hasError) {
str = name();
}
if (!hasError) {
-
return str;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -121,63 +107,53 @@ assert(false);
}
-QCString VhdlParser::actual_parameter_part() {QCString s;if (!hasError) {
-
+QCString VhdlParser::actual_parameter_part() {QCString s;
+ if (!hasError) {
s = association_list();
}
-
return s;
assert(false);
}
QCString VhdlParser::actual_part() {QCString s,s1;
- if (jj_2_3(2147483647)) {if (!hasError) {
-
+ if (jj_2_3(2147483647)) {
+ if (!hasError) {
s = actual_designator();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BOX_T:{if (!hasError) {
-
+ case BOX_T:{
+ if (!hasError) {
jj_consume_token(BOX_T);
}
if (!hasError) {
-
return "<>";
}
-
break;
}
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = actual_designator();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1+")";return s;
}
-
break;
}
default:
@@ -192,37 +168,31 @@ assert(false);
QCString VhdlParser::adding_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PLUS_T:{if (!hasError) {
-
+ case PLUS_T:{
+ if (!hasError) {
jj_consume_token(PLUS_T);
}
if (!hasError) {
-
return "+";
}
-
break;
}
- case MINUS_T:{if (!hasError) {
-
+ case MINUS_T:{
+ if (!hasError) {
jj_consume_token(MINUS_T);
}
if (!hasError) {
-
return "-";
}
-
break;
}
- case AMPERSAND_T:{if (!hasError) {
-
+ case AMPERSAND_T:{
+ if (!hasError) {
jj_consume_token(AMPERSAND_T);
}
if (!hasError) {
-
return "&";
}
-
break;
}
default:
@@ -234,16 +204,14 @@ assert(false);
}
-QCString VhdlParser::aggregate() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::aggregate() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s = element_association();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -253,60 +221,49 @@ QCString VhdlParser::aggregate() {QCString s,s1,s2;if (!hasError) {
default:
jj_la1[4] = jj_gen;
goto end_label_1;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = element_association();
}
if (!hasError) {
-
s+=","+s1;
}
-
}
end_label_1: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return "("+s+")";
assert(false);
}
-QCString VhdlParser::alias_declaration() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::alias_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(ALIAS_T);
}
if (!hasError) {
-
s2 = alias_designator();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COLON_T:{if (!hasError) {
-
+ case COLON_T:{
+ if (!hasError) {
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
s+=s1;
}
-
break;
}
default:
@@ -315,33 +272,26 @@ s+=s1;
}
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s+=" is ";
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=s1;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LBRACKET_T:{if (!hasError) {
-
+ case LBRACKET_T:{
+ if (!hasError) {
s1 = signature();
}
if (!hasError) {
-
s+=s1;
}
-
break;
}
default:
@@ -350,10 +300,8 @@ s+=s1;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
addVhdlType(s2.data(),getLine(ALIAS_T),Entry::VARIABLE_SEC,VhdlDocGen::ALIAS,0,s.data(),Public);
return s2+" "+s+";";
@@ -364,37 +312,31 @@ assert(false);
QCString VhdlParser::alias_designator() {Token *tok=0;QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
tok = jj_consume_token(CHARACTER_LITERAL);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -407,26 +349,22 @@ assert(false);
void VhdlParser::allocator() {
- if (jj_2_4(3)) {if (!hasError) {
-
+ if (jj_2_4(3)) {
+ if (!hasError) {
jj_consume_token(NEW_T);
}
if (!hasError) {
-
qualified_expression();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case NEW_T:{if (!hasError) {
-
+ case NEW_T:{
+ if (!hasError) {
jj_consume_token(NEW_T);
}
if (!hasError) {
-
subtype_indication();
}
-
break;
}
default:
@@ -438,28 +376,23 @@ void VhdlParser::allocator() {
}
-void VhdlParser::architecture_body() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::architecture_body() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(ARCHITECTURE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
QCString t=s1+"::"+s;
genLabels.resize(0);
pushLabel(genLabels,s1);
@@ -467,36 +400,29 @@ QCString t=s1+"::"+s;
addVhdlType(t,getLine(ARCHITECTURE_T),Entry::CLASS_SEC,VhdlDocGen::ARCHITECTURE,0,0,Private);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
architecture_declarative_part();
}
-
} catch ( ...) {
error_skipto(BEGIN_T);
}
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
architecture_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARCHITECTURE_T:{if (!hasError) {
-
+ case ARCHITECTURE_T:{
+ if (!hasError) {
jj_consume_token(ARCHITECTURE_T);
}
-
break;
}
default:
@@ -505,16 +431,14 @@ error_skipto(BEGIN_T);
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -523,16 +447,14 @@ error_skipto(BEGIN_T);
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
lastEntity=0;lastCompound=0; genLabels.resize(0);
}
-void VhdlParser::architecture_declarative_part() {if (!hasError) {
-
+void VhdlParser::architecture_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -559,20 +481,18 @@ void VhdlParser::architecture_declarative_part() {if (!hasError) {
default:
jj_la1[11] = jj_gen;
goto end_label_2;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
block_declarative_item();
}
-
}
end_label_2: ;
}
-
}
-void VhdlParser::architecture_statement_part() {if (!hasError) {
-
+void VhdlParser::architecture_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -592,39 +512,33 @@ void VhdlParser::architecture_statement_part() {if (!hasError) {
default:
jj_la1[12] = jj_gen;
goto end_label_3;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
concurrent_statement();
}
-
}
end_label_3: ;
}
-
}
QCString VhdlParser::array_type_definition() {QCString s;
- if (jj_2_5(2147483647)) {if (!hasError) {
-
+ if (jj_2_5(2147483647)) {
+ if (!hasError) {
s = unconstraint_array_definition();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARRAY_T:{if (!hasError) {
-
+ case ARRAY_T:{
+ if (!hasError) {
s = constraint_array_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -637,26 +551,22 @@ assert(false);
}
-QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
-
+QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;
+ if (!hasError) {
jj_consume_token(ASSERT_T);
}
if (!hasError) {
-
s = condition();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REPORT_T:{if (!hasError) {
-
+ case REPORT_T:{
+ if (!hasError) {
t = jj_consume_token(REPORT_T);
}
if (!hasError) {
-
s1 = expression();
}
-
break;
}
default:
@@ -665,17 +575,14 @@ QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!h
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SEVERITY_T:{if (!hasError) {
-
+ case SEVERITY_T:{
+ if (!hasError) {
t1 = jj_consume_token(SEVERITY_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
@@ -683,7 +590,6 @@ QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!h
;
}
}
-
s.prepend("assert ");
if(t) s1.prepend(" report ");
if(t1) s2.prepend(" report ");
@@ -692,19 +598,17 @@ assert(false);
}
-QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;if (!hasError) {
-
+QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = label();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -713,51 +617,43 @@ QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;if (!has
}
}
if (!hasError) {
-
s1 = assertion();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s+=":";
return s+s1+";";
assert(false);
}
-QCString VhdlParser::association_element() {QCString s,s1;if (!hasError) {
-
- if (jj_2_6(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::association_element() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_6(2147483647)) {
+ if (!hasError) {
s = formal_part();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = actual_part();
}
-
return s+" => "+s1;
assert(false);
}
-QCString VhdlParser::association_list() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::association_list() {QCString s,s1;
+ if (!hasError) {
s = association_element();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -767,49 +663,40 @@ QCString VhdlParser::association_list() {QCString s,s1;if (!hasError) {
default:
jj_la1[17] = jj_gen;
goto end_label_4;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = association_element();
}
if (!hasError) {
-
s+=","+s1;
}
-
}
end_label_4: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::attribute_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::attribute_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(ATTRIBUTE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = type_mark();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
addVhdlType(s.data(),getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,s1.data(),Public);
return " attribute "+s+":"+s1+";";
assert(false);
@@ -819,26 +706,22 @@ assert(false);
QCString VhdlParser::attribute_designator() {QCString s;Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case RANGE_T:{if (!hasError) {
-
+ case RANGE_T:{
+ if (!hasError) {
tok = jj_consume_token(RANGE_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -850,42 +733,34 @@ assert(false);
}
-QCString VhdlParser::attribute_name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::attribute_name() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+="'"+s1;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+"("+s1+")";
}
-
break;
}
default:
@@ -893,41 +768,33 @@ s+"("+s1+")";
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::attribute_specification() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::attribute_specification() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(ATTRIBUTE_T);
}
if (!hasError) {
-
s = attribute_designator();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = entity_specification();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s2 = expression();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString t= s1+" is "+s2;
addVhdlType(s.data(),getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,t.data(),Public);
return " attribute "+s+" of "+s1+ " is "+s2+";";
@@ -935,78 +802,70 @@ assert(false);
}
-QCString VhdlParser::base() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::base() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(INTEGER);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::base_specifier() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::base_specifier() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::base_unit_declaration() {QCString s;if (!hasError) {
-
+QCString VhdlParser::base_unit_declaration() {QCString s;
+ if (!hasError) {
s = identifier();
}
-
return s;
assert(false);
}
-QCString VhdlParser::based_integer() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::based_integer() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::based_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::based_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASED_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::basic_identifier() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::basic_identifier() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::binding_indication() {if (!hasError) {
-
+void VhdlParser::binding_indication() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
jj_consume_token(USE_T);
}
if (!hasError) {
-
entity_aspect();
}
-
break;
}
default:
@@ -1015,13 +874,11 @@ void VhdlParser::binding_indication() {if (!hasError) {
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
generic_map_aspect();
}
-
break;
}
default:
@@ -1030,13 +887,11 @@ void VhdlParser::binding_indication() {if (!hasError) {
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_map_aspect();
}
-
break;
}
default:
@@ -1044,40 +899,35 @@ void VhdlParser::binding_indication() {if (!hasError) {
;
}
}
-
}
-QCString VhdlParser::bit_string_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::bit_string_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BIT_STRING_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-QCString VhdlParser::bit_value() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::bit_value() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::block_configuration() {if (!hasError) {
-
+void VhdlParser::block_configuration() {
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
block_specification();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case USE_T:{
@@ -1087,16 +937,14 @@ void VhdlParser::block_configuration() {if (!hasError) {
default:
jj_la1[23] = jj_gen;
goto end_label_5;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
use_clause();
}
-
}
end_label_5: ;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FOR_T:{
@@ -1106,27 +954,22 @@ void VhdlParser::block_configuration() {if (!hasError) {
default:
jj_la1[24] = jj_gen;
goto end_label_6;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
configuration_item();
}
-
}
end_label_6: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(FOR_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
@@ -1135,121 +978,105 @@ void VhdlParser::block_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
signal_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
component_declaration();
}
-
break;
}
default:
jj_la1[25] = jj_gen;
- if (jj_2_7(2147483647)) {if (!hasError) {
-
+ if (jj_2_7(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
configuration_specification();
}
-
break;
}
- case DISCONNECT_T:{if (!hasError) {
-
+ case DISCONNECT_T:{
+ if (!hasError) {
disconnection_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
jj_la1[26] = jj_gen;
- if (jj_2_8(3)) {if (!hasError) {
-
+ if (jj_2_8(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
@@ -1264,8 +1091,8 @@ void VhdlParser::block_declarative_item() {
}
-void VhdlParser::block_declarative_part() {if (!hasError) {
-
+void VhdlParser::block_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -1292,36 +1119,31 @@ void VhdlParser::block_declarative_part() {if (!hasError) {
default:
jj_la1[28] = jj_gen;
goto end_label_7;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
block_declarative_item();
}
-
}
end_label_7: ;
}
-
}
-void VhdlParser::block_header() {if (!hasError) {
-
- if (jj_2_9(2147483647)) {if (!hasError) {
-
+void VhdlParser::block_header() {
+ if (!hasError) {
+ if (jj_2_9(2147483647)) {
+ if (!hasError) {
generic_clause();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
generic_map_aspect();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -1329,30 +1151,25 @@ void VhdlParser::block_header() {if (!hasError) {
;
}
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_clause();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_map_aspect();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -1360,7 +1177,6 @@ void VhdlParser::block_header() {if (!hasError) {
;
}
}
-
break;
}
default:
@@ -1368,30 +1184,25 @@ void VhdlParser::block_header() {if (!hasError) {
;
}
}
-
}
-void VhdlParser::block_specification() {if (!hasError) {
-
+void VhdlParser::block_specification() {
+ if (!hasError) {
name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
index_specification();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
@@ -1399,42 +1210,34 @@ void VhdlParser::block_specification() {if (!hasError) {
;
}
}
-
}
-void VhdlParser::block_statement() {QCString s;if (!hasError) {
-
+void VhdlParser::block_statement() {QCString s;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
jj_consume_token(BLOCK_T);
}
if (!hasError) {
-
pushLabel(genLabels,s);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
@@ -1443,13 +1246,11 @@ pushLabel(genLabels,s);
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
jj_consume_token(IS_T);
}
-
break;
}
default:
@@ -1458,38 +1259,30 @@ pushLabel(genLabels,s);
}
}
if (!hasError) {
-
block_header();
}
if (!hasError) {
-
block_declarative_part();
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
block_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(BLOCK_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -1498,16 +1291,14 @@ pushLabel(genLabels,s);
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
genLabels=popLabel(genLabels);
}
-void VhdlParser::block_statement_part() {if (!hasError) {
-
+void VhdlParser::block_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -1527,31 +1318,27 @@ void VhdlParser::block_statement_part() {if (!hasError) {
default:
jj_la1[36] = jj_gen;
goto end_label_8;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
concurrent_statement();
}
-
}
end_label_8: ;
}
-
}
-void VhdlParser::case_statement() {QCString s;if (!hasError) {
-
+void VhdlParser::case_statement() {QCString s;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -1560,28 +1347,22 @@ void VhdlParser::case_statement() {QCString s;if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(CASE_T);
}
if (!hasError) {
-
s = expression();
}
if (!hasError) {
-
QCString ca="case "+s;
FlowChart::addFlowChart(FlowChart::CASE_NO,0,ca);
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
case_statement_alternative();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case WHEN_T:{
@@ -1591,31 +1372,26 @@ QCString ca="case "+s;
default:
jj_la1[38] = jj_gen;
goto end_label_9;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
case_statement_alternative();
}
-
}
end_label_9: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(CASE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -1624,91 +1400,75 @@ QCString ca="case "+s;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::END_CASE,"end case",0);
}
-void VhdlParser::case_statement_alternative() {QCString s;if (!hasError) {
-
+void VhdlParser::case_statement_alternative() {QCString s;
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
s = choices();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
if (!hasError) {
-
QCString t="when ";
t+=s+"=> ";
FlowChart::addFlowChart(FlowChart::WHEN_NO,s.data(),t);
}
if (!hasError) {
-
sequence_of_statement();
}
-
FlowChart::moveToPrevLevel();
}
-QCString VhdlParser::character_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::character_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(CHARACTER_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
QCString VhdlParser::choice() {QCString s;
- if (jj_2_10(2147483647)) {if (!hasError) {
-
+ if (jj_2_10(2147483647)) {
+ if (!hasError) {
s = discrete_range();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_11(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_11(2147483647)) {
+ if (!hasError) {
s = simple_expression();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_12(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_12(2147483647)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return " others ";
}
-
break;
}
default:
@@ -1721,12 +1481,11 @@ assert(false);
}
-QCString VhdlParser::choices() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::choices() {QCString s,s1;
+ if (!hasError) {
s = choice();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BAR_T:{
@@ -1736,51 +1495,43 @@ QCString VhdlParser::choices() {QCString s,s1;if (!hasError) {
default:
jj_la1[41] = jj_gen;
goto end_label_10;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(BAR_T);
}
if (!hasError) {
-
s1 = choice();
}
if (!hasError) {
-
s+="|";s+=s1;
}
-
}
end_label_10: ;
}
-
return s;
assert(false);
}
-void VhdlParser::component_configuration() {if (!hasError) {
-
+void VhdlParser::component_configuration() {
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
component_specification();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case GENERIC_T:
case PORT_T:
case USE_T:
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
binding_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -1789,13 +1540,11 @@ void VhdlParser::component_configuration() {if (!hasError) {
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
block_configuration();
}
-
break;
}
default:
@@ -1804,37 +1553,30 @@ void VhdlParser::component_configuration() {if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(FOR_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::component_declaration() {QCString s;if (!hasError) {
-
+void VhdlParser::component_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
jj_consume_token(IS_T);
}
-
break;
}
default:
@@ -1843,17 +1585,14 @@ void VhdlParser::component_declaration() {QCString s;if (!hasError) {
}
}
if (!hasError) {
-
currP=VhdlDocGen::COMPONENT;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
generic_clause();
}
-
break;
}
default:
@@ -1862,13 +1601,11 @@ currP=VhdlDocGen::COMPONENT;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_clause();
}
-
break;
}
default:
@@ -1877,27 +1614,22 @@ currP=VhdlDocGen::COMPONENT;
}
}
if (!hasError) {
-
addVhdlType(s.data(),getLine(COMPONENT_T),Entry::VARIABLE_SEC,VhdlDocGen::COMPONENT,0,0,Public);
currP=0;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -1906,27 +1638,22 @@ addVhdlType(s.data(),getLine(COMPONENT_T),Entry::VARIABLE_SEC,VhdlDocGen::COMPON
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::component_instantiation_statement() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::component_instantiation_statement() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = instantiation_unit();
}
if (!hasError) {
-
QCString s3;
if (s1.contains("|")) {
s3=VhdlDocGen::getIndexWord(s1.data(),0);
@@ -1936,24 +1663,20 @@ QCString s3;
addCompInst(s.lower().data(),s1.lower().data(),s3.data(),getLine());
}
if (!hasError) {
-
- if (jj_2_13(2147483647)) {if (!hasError) {
-
+ if (jj_2_13(2147483647)) {
+ if (!hasError) {
generic_map_aspect();
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
port_map_aspect();
}
-
break;
}
default:
@@ -1962,51 +1685,42 @@ QCString s3;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::component_specification() {if (!hasError) {
-
+void VhdlParser::component_specification() {
+ if (!hasError) {
instantiation_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
name();
}
-
}
QCString VhdlParser::composite_type_definition() {QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARRAY_T:{if (!hasError) {
-
+ case ARRAY_T:{
+ if (!hasError) {
s = array_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case RECORD_T:{if (!hasError) {
-
+ case RECORD_T:{
+ if (!hasError) {
record_type_definition();
}
if (!hasError) {
-
return s+"#";
}
-
break;
}
default:
@@ -2018,29 +1732,25 @@ assert(false);
}
-void VhdlParser::concurrent_assertion_statement() {if (!hasError) {
-
- if (jj_2_14(2)) {if (!hasError) {
-
+void VhdlParser::concurrent_assertion_statement() {
+ if (!hasError) {
+ if (jj_2_14(2)) {
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
@@ -2049,40 +1759,33 @@ void VhdlParser::concurrent_assertion_statement() {if (!hasError) {
}
}
if (!hasError) {
-
assertion();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::concurrent_procedure_call_statement() {if (!hasError) {
-
- if (jj_2_15(2)) {if (!hasError) {
-
+void VhdlParser::concurrent_procedure_call_statement() {
+ if (!hasError) {
+ if (jj_2_15(2)) {
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
@@ -2091,40 +1794,33 @@ void VhdlParser::concurrent_procedure_call_statement() {if (!hasError) {
}
}
if (!hasError) {
-
procedure_call();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
-
- if (jj_2_16(2)) {if (!hasError) {
-
+void VhdlParser::concurrent_signal_assignment_statement() {
+ if (!hasError) {
+ if (jj_2_16(2)) {
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
@@ -2133,19 +1829,16 @@ void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
}
}
if (!hasError) {
-
- if (jj_2_17(2147483647)) {if (!hasError) {
-
+ if (jj_2_17(2147483647)) {
+ if (!hasError) {
conditional_signal_assignment();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WITH_T:{if (!hasError) {
-
+ case WITH_T:{
+ if (!hasError) {
selected_signal_assignment();
}
-
break;
}
default:
@@ -2155,64 +1848,54 @@ void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
}
}
}
-
}
void VhdlParser::concurrent_statement() {
- if (jj_2_18(2147483647)) {if (!hasError) {
-
+ if (jj_2_18(2147483647)) {
+ if (!hasError) {
block_statement();
}
-
- } else if (jj_2_19(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_19(2147483647)) {
+ if (!hasError) {
process_statement();
}
-
- } else if (jj_2_20(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_20(2147483647)) {
+ if (!hasError) {
generate_statement();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CASE_T:{if (!hasError) {
-
+ case CASE_T:{
+ if (!hasError) {
case_scheme();
}
-
break;
}
default:
jj_la1[54] = jj_gen;
- if (jj_2_21(2147483647)) {if (!hasError) {
-
+ if (jj_2_21(2147483647)) {
+ if (!hasError) {
concurrent_assertion_statement();
}
-
- } else if (jj_2_22(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_22(2147483647)) {
+ if (!hasError) {
concurrent_signal_assignment_statement();
}
-
- } else if (jj_2_23(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_23(2147483647)) {
+ if (!hasError) {
component_instantiation_statement();
}
-
- } else if (jj_2_24(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_24(2147483647)) {
+ if (!hasError) {
concurrent_procedure_call_statement();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VHDL2008TOOLDIR:{if (!hasError) {
-
+ case VHDL2008TOOLDIR:{
+ if (!hasError) {
jj_consume_token(VHDL2008TOOLDIR);
}
-
break;
}
default:
@@ -2226,97 +1909,81 @@ void VhdlParser::concurrent_statement() {
}
-QCString VhdlParser::condition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::condition() {QCString s;
+ if (!hasError) {
s = expression();
}
-
return s;
assert(false);
}
-QCString VhdlParser::condition_clause() {QCString s;if (!hasError) {
-
+QCString VhdlParser::condition_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(UNTIL_T);
}
if (!hasError) {
-
s = condition();
}
-
return " until "+s;
assert(false);
}
-void VhdlParser::conditional_signal_assignment() {if (!hasError) {
-
+void VhdlParser::conditional_signal_assignment() {
+ if (!hasError) {
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
options();
}
if (!hasError) {
-
conditional_waveforms();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::conditional_waveforms() {if (!hasError) {
-
+void VhdlParser::conditional_waveforms() {
+ if (!hasError) {
waveform();
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_25(2147483647)) {
;
} else {
goto end_label_11;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
condition();
}
if (!hasError) {
-
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
waveform();
}
-
}
end_label_11: ;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
condition();
}
-
break;
}
default:
@@ -2324,55 +1991,44 @@ void VhdlParser::conditional_waveforms() {if (!hasError) {
;
}
}
-
}
-void VhdlParser::configuration_declaration() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::configuration_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
confName=s+"::"+s1;
addVhdlType(s.data(),getLine(CONFIGURATION_T),Entry::VARIABLE_SEC,VhdlDocGen::CONFIG,"configuration",s1.data(),Public);
}
if (!hasError) {
-
configuration_declarative_part();
}
if (!hasError) {
-
block_configuration();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
-
break;
}
default:
@@ -2381,16 +2037,14 @@ confName=s+"::"+s1;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -2399,35 +2053,30 @@ confName=s+"::"+s1;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
genLabels.resize(0); confName="";
}
void VhdlParser::configuration_declarative_item() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
@@ -2438,8 +2087,8 @@ void VhdlParser::configuration_declarative_item() {
}
-void VhdlParser::configuration_declarative_part() {if (!hasError) {
-
+void VhdlParser::configuration_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ATTRIBUTE_T:
@@ -2451,31 +2100,27 @@ void VhdlParser::configuration_declarative_part() {if (!hasError) {
default:
jj_la1[60] = jj_gen;
goto end_label_12;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
configuration_declarative_item();
}
-
}
end_label_12: ;
}
-
}
void VhdlParser::configuration_item() {
- if (jj_2_26(2147483647)) {if (!hasError) {
-
+ if (jj_2_26(2147483647)) {
+ if (!hasError) {
component_configuration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
block_configuration();
}
-
break;
}
default:
@@ -2487,54 +2132,44 @@ void VhdlParser::configuration_item() {
}
-void VhdlParser::configuration_specification() {if (!hasError) {
-
+void VhdlParser::configuration_specification() {
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
component_specification();
}
if (!hasError) {
-
binding_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;if (!hasError) {
-
+QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;
+ if (!hasError) {
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
t = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
@@ -2543,10 +2178,8 @@ QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;if (!ha
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t)
s2.prepend(":=");
QCString it=s1+s2;
@@ -2557,30 +2190,26 @@ assert(false);
}
-QCString VhdlParser::constraint_array_definition() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::constraint_array_definition() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(ARRAY_T);
}
if (!hasError) {
-
s = index_constraint();
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
-
return s+" "+s1;
assert(false);
}
-void VhdlParser::context_clause() {if (!hasError) {
-
+void VhdlParser::context_clause() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LIBRARY_T:
@@ -2591,37 +2220,31 @@ void VhdlParser::context_clause() {if (!hasError) {
default:
jj_la1[63] = jj_gen;
goto end_label_13;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
context_item();
}
-
}
end_label_13: ;
}
-
}
QCString VhdlParser::constraint() {QCString s;
- if (jj_2_27(2147483647)) {if (!hasError) {
-
+ if (jj_2_27(2147483647)) {
+ if (!hasError) {
s = range_constraint();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_28(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_28(2147483647)) {
+ if (!hasError) {
s = index_constraint();
}
if (!hasError) {
-
return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -2632,18 +2255,16 @@ assert(false);
void VhdlParser::context_item() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LIBRARY_T:{if (!hasError) {
-
+ case LIBRARY_T:{
+ if (!hasError) {
library_clause();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
@@ -2654,11 +2275,10 @@ void VhdlParser::context_item() {
}
-QCString VhdlParser::decimal_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::decimal_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(DECIMAL_LITERAL);
}
-
return tok->image.c_str();
assert(false);
}
@@ -2666,34 +2286,29 @@ assert(false);
QCString VhdlParser::delay_mechanism() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
jj_consume_token(TRANSPORT_T);
}
if (!hasError) {
-
return " transport ";
}
-
break;
}
case INERTIAL_T:
- case REJECT_T:{if (!hasError) {
-
+ case REJECT_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REJECT_T:{if (!hasError) {
-
+ case REJECT_T:{
+ if (!hasError) {
jj_consume_token(REJECT_T);
}
if (!hasError) {
-
s = expression();
}
if (!hasError) {
-
s.prepend(" reject ");
}
-
break;
}
default:
@@ -2702,14 +2317,11 @@ s.prepend(" reject ");
}
}
if (!hasError) {
-
jj_consume_token(INERTIAL_T);
}
if (!hasError) {
-
return s+" inertial ";
}
-
break;
}
default:
@@ -2729,13 +2341,12 @@ void VhdlParser::design_file() {
case ENTITY_T:
case LIBRARY_T:
case PACKAGE_T:
- case USE_T:{if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
design_unit();
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ARCHITECTURE_T:
case CONFIGURATION_T:
@@ -2755,17 +2366,14 @@ void VhdlParser::design_file() {
end_label_14: ;
}
if (!hasError) {
-
}
-
break;
}
- case 0:{if (!hasError) {
-
+ case 0:{
+ if (!hasError) {
jj_consume_token(0);
}
-
break;
}
default:
@@ -2776,41 +2384,35 @@ void VhdlParser::design_file() {
}
-void VhdlParser::design_unit() {if (!hasError) {
-
+void VhdlParser::design_unit() {
+ if (!hasError) {
context_clause();
}
if (!hasError) {
-
library_unit();
}
-
}
QCString VhdlParser::designator() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -2824,26 +2426,22 @@ assert(false);
QCString VhdlParser::direction() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TO_T:{if (!hasError) {
-
+ case TO_T:{
+ if (!hasError) {
tok = jj_consume_token(TO_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case DOWNTO_T:{if (!hasError) {
-
+ case DOWNTO_T:{
+ if (!hasError) {
tok = jj_consume_token(DOWNTO_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -2855,65 +2453,53 @@ assert(false);
}
-void VhdlParser::disconnection_specification() {if (!hasError) {
-
+void VhdlParser::disconnection_specification() {
+ if (!hasError) {
jj_consume_token(DISCONNECT_T);
}
if (!hasError) {
-
guarded_signal_specificatio();
}
if (!hasError) {
-
jj_consume_token(AFTER_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::guarded_signal_specificatio() {if (!hasError) {
-
+void VhdlParser::guarded_signal_specificatio() {
+ if (!hasError) {
signal_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
name();
}
-
}
QCString VhdlParser::discrete_range() {QCString s;
- if (jj_2_29(2147483647)) {if (!hasError) {
-
+ if (jj_2_29(2147483647)) {
+ if (!hasError) {
s = range();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_30(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_30(2147483647)) {
+ if (!hasError) {
s = subtype_indication();
}
if (!hasError) {
-
return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -2922,26 +2508,22 @@ assert(false);
}
-QCString VhdlParser::element_association() {QCString s,s1;if (!hasError) {
-
- if (jj_2_31(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::element_association() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_31(2147483647)) {
+ if (!hasError) {
s = choices();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = expression();
}
-
if(!s.isEmpty())
return s+"=>"+s1;
return s1;
@@ -2949,23 +2531,19 @@ assert(false);
}
-QCString VhdlParser::element_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::element_declaration() {QCString s,s1;
+ if (!hasError) {
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,s1.data(),Public);
//addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return s+":"+s1;
@@ -2975,34 +2553,28 @@ assert(false);
QCString VhdlParser::entity_aspect() {Token *tok=0;QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
tok = jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="("+s1+")";
}
-
break;
}
default:
@@ -3011,36 +2583,29 @@ s+="("+s1+")";
}
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
tok = jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
return tok->image.c_str()+s;
}
-
break;
}
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
tok = jj_consume_token(OPEN_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -3054,191 +2619,157 @@ assert(false);
QCString VhdlParser::entity_class() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
return "entity";
}
-
break;
}
- case ARCHITECTURE_T:{if (!hasError) {
-
+ case ARCHITECTURE_T:{
+ if (!hasError) {
jj_consume_token(ARCHITECTURE_T);
}
if (!hasError) {
-
return "architecture";
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
return "configuration";
}
-
break;
}
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
-
return "procedure";
}
-
break;
}
- case FUNCTION_T:{if (!hasError) {
-
+ case FUNCTION_T:{
+ if (!hasError) {
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
return "function";
}
-
break;
}
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
return "package";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
return "type";
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
-
return "subtype";
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
return "constant";
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
return "signal";
}
-
break;
}
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return "variable";
}
-
break;
}
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
-
return "component";
}
-
break;
}
- case LABEL_T:{if (!hasError) {
-
+ case LABEL_T:{
+ if (!hasError) {
jj_consume_token(LABEL_T);
}
if (!hasError) {
-
return "label";
}
-
break;
}
- case LITERAL_T:{if (!hasError) {
-
+ case LITERAL_T:{
+ if (!hasError) {
jj_consume_token(LITERAL_T);
}
if (!hasError) {
-
return "literal";
}
-
break;
}
- case UNITS_T:{if (!hasError) {
-
+ case UNITS_T:{
+ if (!hasError) {
jj_consume_token(UNITS_T);
}
if (!hasError) {
-
return "units";
}
-
break;
}
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
jj_consume_token(GROUP_T);
}
if (!hasError) {
-
return "group";
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
return "file";
}
-
break;
}
default:
@@ -3250,22 +2781,19 @@ assert(false);
}
-QCString VhdlParser::entity_class_entry() {QCString s;if (!hasError) {
-
+QCString VhdlParser::entity_class_entry() {QCString s;
+ if (!hasError) {
s = entity_class();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BOX_T:{if (!hasError) {
-
+ case BOX_T:{
+ if (!hasError) {
jj_consume_token(BOX_T);
}
if (!hasError) {
-
s+="<>";
}
-
break;
}
default:
@@ -3273,25 +2801,21 @@ s+="<>";
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;if (!hasError) {
+QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;
+ if (!hasError) {
if (!hasError) {
-
s1 = entity_class_entry();
}
if (!hasError) {
-
s+=s1;
}
-
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -3301,66 +2825,54 @@ s+=s1;
default:
jj_la1[75] = jj_gen;
goto end_label_15;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s = entity_class_entry();
}
if (!hasError) {
-
s2+=",";s2+=s;
}
-
}
end_label_15: ;
}
-
return s1+s2;
assert(false);
}
-void VhdlParser::entity_declaration() {QCString s;if (!hasError) {
-
+void VhdlParser::entity_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
lastEntity=current.get();
lastCompound=0;
addVhdlType(s.data(),getLine(ENTITY_T),Entry::CLASS_SEC,VhdlDocGen::ENTITY,0,0,Public);
}
if (!hasError) {
-
entity_header();
}
if (!hasError) {
-
entity_declarative_part();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BEGIN_T:{if (!hasError) {
-
+ case BEGIN_T:{
+ if (!hasError) {
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
entity_statement_part();
}
-
break;
}
default:
@@ -3369,17 +2881,14 @@ lastEntity=current.get();
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
-
break;
}
default:
@@ -3388,16 +2897,14 @@ lastEntity=current.get();
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -3406,10 +2913,8 @@ lastEntity=current.get();
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
lastEntity=0;lastCompound=0; genLabels.resize(0);
}
@@ -3419,130 +2924,113 @@ void VhdlParser::entity_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
signal_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
default:
jj_la1[79] = jj_gen;
- if (jj_2_32(2147483647)) {if (!hasError) {
-
+ if (jj_2_32(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case DISCONNECT_T:{if (!hasError) {
-
+ case DISCONNECT_T:{
+ if (!hasError) {
disconnection_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
jj_la1[80] = jj_gen;
- if (jj_2_33(3)) {if (!hasError) {
-
+ if (jj_2_33(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
jj_la1[81] = jj_gen;
- if (jj_2_34(5)) {if (!hasError) {
-
+ if (jj_2_34(5)) {
+ if (!hasError) {
package_instantiation_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_declaration();
}
-
break;
}
- case VHDL2008TOOLDIR:{if (!hasError) {
-
+ case VHDL2008TOOLDIR:{
+ if (!hasError) {
jj_consume_token(VHDL2008TOOLDIR);
}
-
break;
}
default:
@@ -3559,8 +3047,8 @@ void VhdlParser::entity_declarative_item() {
}
-void VhdlParser::entity_declarative_part() {if (!hasError) {
-
+void VhdlParser::entity_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -3587,30 +3075,26 @@ void VhdlParser::entity_declarative_part() {if (!hasError) {
default:
jj_la1[83] = jj_gen;
goto end_label_16;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
entity_declarative_item();
}
-
}
end_label_16: ;
}
-
}
-QCString VhdlParser::entity_designator() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::entity_designator() {QCString s,s1;
+ if (!hasError) {
s = entity_tag();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LBRACKET_T:{if (!hasError) {
-
+ case LBRACKET_T:{
+ if (!hasError) {
s1 = signature();
}
-
break;
}
default:
@@ -3618,24 +3102,21 @@ QCString VhdlParser::entity_designator() {QCString s,s1;if (!hasError) {
;
}
}
-
return s+s1;
assert(false);
}
-void VhdlParser::entity_header() {if (!hasError) {
-
+void VhdlParser::entity_header() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
currP=VhdlDocGen::GENERIC;parse_sec=GEN_SEC;
}
if (!hasError) {
-
generic_clause();
}
-
break;
}
default:
@@ -3644,17 +3125,14 @@ currP=VhdlDocGen::GENERIC;parse_sec=GEN_SEC;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{if (!hasError) {
-
+ case PORT_T:{
+ if (!hasError) {
currP=VhdlDocGen::PORT;
}
if (!hasError) {
-
port_clause();
}
-
break;
}
default:
@@ -3662,7 +3140,6 @@ currP=VhdlDocGen::PORT;
;
}
}
-
}
@@ -3672,17 +3149,15 @@ QCString VhdlParser::entity_name_list() {QCString s,s1;
case STRINGLITERAL:
case BASIC_IDENTIFIER:
case EXTENDED_CHARACTER:
- case CHARACTER_LITERAL:{if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
s1 = entity_designator();
}
if (!hasError) {
-
s+=s1;
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
@@ -3700,32 +3175,26 @@ s+=s1;
end_label_17: ;
}
if (!hasError) {
-
return s;
}
-
break;
}
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return "other";
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
jj_consume_token(ALL_T);
}
if (!hasError) {
-
return "all";
}
-
break;
}
default:
@@ -3737,46 +3206,40 @@ assert(false);
}
-QCString VhdlParser::entity_specification() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::entity_specification() {QCString s,s1;
+ if (!hasError) {
s = entity_name_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = entity_class();
}
-
return s+":"+s1;
assert(false);
}
void VhdlParser::entity_statement() {
- if (jj_2_35(2147483647)) {if (!hasError) {
-
+ if (jj_2_35(2147483647)) {
+ if (!hasError) {
concurrent_assertion_statement();
}
-
- } else if (jj_2_36(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_36(2147483647)) {
+ if (!hasError) {
process_statement();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case POSTPONED_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
concurrent_procedure_call_statement();
}
-
break;
}
default:
@@ -3788,8 +3251,8 @@ void VhdlParser::entity_statement() {
}
-void VhdlParser::entity_statement_part() {if (!hasError) {
-
+void VhdlParser::entity_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -3805,15 +3268,13 @@ void VhdlParser::entity_statement_part() {if (!hasError) {
default:
jj_la1[90] = jj_gen;
goto end_label_18;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
entity_statement();
}
-
}
end_label_18: ;
}
-
}
@@ -3822,26 +3283,22 @@ QCString VhdlParser::entity_tag() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -3856,26 +3313,22 @@ assert(false);
QCString VhdlParser::enumeration_literal() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -3887,16 +3340,14 @@ assert(false);
}
-QCString VhdlParser::enumeration_type_definition() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::enumeration_type_definition() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s = enumeration_literal();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -3906,45 +3357,38 @@ QCString VhdlParser::enumeration_type_definition() {QCString s,s1;if (!hasError)
default:
jj_la1[93] = jj_gen;
goto end_label_19;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = enumeration_literal();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_19: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return "("+s+")";
assert(false);
}
-QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
-
+QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -3953,18 +3397,15 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;i
}
}
if (!hasError) {
-
jj_consume_token(EXIT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s1 = identifier();
}
-
break;
}
default:
@@ -3973,17 +3414,14 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;i
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
t1 = jj_consume_token(WHEN_T);
}
if (!hasError) {
-
s2 = condition();
}
-
break;
}
default:
@@ -3992,10 +3430,8 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;i
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
lab.resize(0);
if(t) s+=":";
if(t1) s2.prepend(" when ");
@@ -4006,12 +3442,11 @@ assert(false);
}
-QCString VhdlParser::expression() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::expression() {QCString s,s1,s2;
+ if (!hasError) {
s = relation();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case AND_T:
@@ -4026,23 +3461,19 @@ QCString VhdlParser::expression() {QCString s,s1,s2;if (!hasError) {
default:
jj_la1[97] = jj_gen;
goto end_label_20;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = logop();
}
if (!hasError) {
-
s2 = relation();
}
if (!hasError) {
-
s+=s1;s+=s2;
}
-
}
end_label_20: ;
}
-
return s;
assert(false);
}
@@ -4050,70 +3481,58 @@ assert(false);
QCString VhdlParser::logop() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case AND_T:{if (!hasError) {
-
+ case AND_T:{
+ if (!hasError) {
jj_consume_token(AND_T);
}
if (!hasError) {
-
return "and" ;
}
-
break;
}
- case NAND_T:{if (!hasError) {
-
+ case NAND_T:{
+ if (!hasError) {
jj_consume_token(NAND_T);
}
if (!hasError) {
-
return "nand" ;
}
-
break;
}
- case NOR_T:{if (!hasError) {
-
+ case NOR_T:{
+ if (!hasError) {
jj_consume_token(NOR_T);
}
if (!hasError) {
-
return "nor" ;
}
-
break;
}
- case XNOR_T:{if (!hasError) {
-
+ case XNOR_T:{
+ if (!hasError) {
jj_consume_token(XNOR_T);
}
if (!hasError) {
-
return "xnor" ;
}
-
break;
}
- case XOR_T:{if (!hasError) {
-
+ case XOR_T:{
+ if (!hasError) {
jj_consume_token(XOR_T);
}
if (!hasError) {
-
return "xor" ;
}
-
break;
}
- case OR_T:{if (!hasError) {
-
+ case OR_T:{
+ if (!hasError) {
jj_consume_token(OR_T);
}
if (!hasError) {
-
return "or" ;
}
-
break;
}
default:
@@ -4125,11 +3544,10 @@ assert(false);
}
-QCString VhdlParser::extended_identifier() {Token *t;if (!hasError) {
-
+QCString VhdlParser::extended_identifier() {Token *t;
+ if (!hasError) {
t = jj_consume_token(EXTENDED_CHARACTER);
}
-
return t->image.c_str();
assert(false);
}
@@ -4148,26 +3566,22 @@ QCString VhdlParser::factor() {QCString s,s1;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s = primary();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOUBLEMULT_T:{if (!hasError) {
-
+ case DOUBLEMULT_T:{
+ if (!hasError) {
jj_consume_token(DOUBLEMULT_T);
}
if (!hasError) {
-
s1 = primary();
}
if (!hasError) {
-
s+="**";s+=s1;
}
-
break;
}
default:
@@ -4176,40 +3590,32 @@ s+="**";s+=s1;
}
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ABS_T:{if (!hasError) {
-
+ case ABS_T:{
+ if (!hasError) {
jj_consume_token(ABS_T);
}
if (!hasError) {
-
s = primary();
}
if (!hasError) {
-
s1 = "abs "; return s1+s;
}
-
break;
}
- case NOT_T:{if (!hasError) {
-
+ case NOT_T:{
+ if (!hasError) {
jj_consume_token(NOT_T);
}
if (!hasError) {
-
s = primary();
}
if (!hasError) {
-
s1="not ";return s1+s;
}
-
break;
}
default:
@@ -4221,31 +3627,26 @@ assert(false);
}
-QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;if (!hasError) {
-
+QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s2 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IS_T:
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
s3 = file_open_information();
}
-
break;
}
default:
@@ -4254,10 +3655,8 @@ QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString t1=s2+" "+s3;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,t1.data(),Public);
return " file "+s+":"+s2+" "+s3+";";
@@ -4265,28 +3664,25 @@ assert(false);
}
-QCString VhdlParser::file_logical_name() {QCString s;if (!hasError) {
-
+QCString VhdlParser::file_logical_name() {QCString s;
+ if (!hasError) {
s = expression();
}
-
return s;
assert(false);
}
-QCString VhdlParser::file_open_information() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::file_open_information() {QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OPEN_T:{if (!hasError) {
-
+ case OPEN_T:{
+ if (!hasError) {
jj_consume_token(OPEN_T);
}
if (!hasError) {
-
s = expression();
}
-
break;
}
default:
@@ -4295,42 +3691,35 @@ QCString VhdlParser::file_open_information() {QCString s,s1,s2;if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s1 = file_logical_name();
}
-
s2="open "+s+" is "+s1; return s2;
assert(false);
}
-QCString VhdlParser::file_type_definition() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::file_type_definition() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s = type_mark();
}
-
s1=" file of "+s; return s1;
assert(false);
}
-QCString VhdlParser::floating_type_definition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::floating_type_definition() {QCString s;
+ if (!hasError) {
s = range_constraint();
}
-
return s;
assert(false);
}
@@ -4341,26 +3730,22 @@ QCString VhdlParser::formal_designator() {QCString s;Token *tok=0;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case INTEGER:{if (!hasError) {
-
+ case INTEGER:{
+ if (!hasError) {
tok = jj_consume_token(INTEGER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -4372,40 +3757,34 @@ assert(false);
}
-QCString VhdlParser::formal_parameter_list() {QCString s;if (!hasError) {
-
+QCString VhdlParser::formal_parameter_list() {QCString s;
+ if (!hasError) {
s = interface_list();
}
-
return s;
assert(false);
}
-QCString VhdlParser::formal_part() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::formal_part() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
formal_designator();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+"("+s1+")";
}
-
break;
}
default:
@@ -4413,45 +3792,37 @@ s+"("+s1+")";
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::full_type_declaration() {Entry *tmpEntry;QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::full_type_declaration() {Entry *tmpEntry;QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
tmpEntry=current.get();
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,0,Public);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
s2 = type_definition();
}
-
} catch ( ...) {
error_skipto(SEMI_T);
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if (s2.contains("#")) {
VhdlDocGen::deleteAllChars(s2,'#');
tmpEntry->spec=VhdlDocGen::RECORD;
@@ -4475,76 +3846,62 @@ assert(false);
}
-QCString VhdlParser::function_call() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::function_call() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = actual_parameter_part();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+"("+s1+")";
assert(false);
}
-void VhdlParser::generate_statement() {QCString s;if (!hasError) {
-
+void VhdlParser::generate_statement() {QCString s;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
generate_scheme();
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
pushLabel(genLabels,s);
}
if (!hasError) {
-
generate_statement_body1();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
-
} catch ( ...) {
error_skipto(GENERATE_T);
}
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -4553,36 +3910,30 @@ error_skipto(GENERATE_T);
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
genLabels=popLabel(genLabels);
}
void VhdlParser::generate_scheme() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
parameter_specification();
}
-
break;
}
- case IF_T:{if (!hasError) {
-
+ case IF_T:{
+ if (!hasError) {
jj_consume_token(IF_T);
}
if (!hasError) {
-
condition();
}
-
break;
}
default:
@@ -4593,66 +3944,54 @@ void VhdlParser::generate_scheme() {
}
-void VhdlParser::generic_clause() {QCString s;if (!hasError) {
-
+void VhdlParser::generic_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
parse_sec=GEN_SEC;
}
if (!hasError) {
-
s = generic_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
parse_sec=0;
}
-QCString VhdlParser::generic_list() {QCString s;if (!hasError) {
-
+QCString VhdlParser::generic_list() {QCString s;
+ if (!hasError) {
s = interface_list();
}
-
return s;
assert(false);
}
-void VhdlParser::generic_map_aspect() {if (!hasError) {
-
+void VhdlParser::generic_map_aspect() {
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(MAP_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
association_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
@@ -4661,26 +4000,22 @@ QCString VhdlParser::group_constituent() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -4692,15 +4027,13 @@ assert(false);
}
-QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;if (!hasError) {
+QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;
+ if (!hasError) {
if (!hasError) {
-
s1 = group_constituent();
}
-
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4710,138 +4043,112 @@ QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;if (!hasError) {
default:
jj_la1[108] = jj_gen;
goto end_label_21;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s = group_constituent();
}
if (!hasError) {
-
s2+=",";s2+=s1;
}
-
}
end_label_21: ;
}
-
return s+s2;
assert(false);
}
-QCString VhdlParser::group_declaration() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::group_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(GROUP_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s2 = group_constituent_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "group "+s+":"+s1+"("+s2+");";
assert(false);
}
-QCString VhdlParser::group_template_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::group_template_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(GROUP_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = entity_class_entry_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "group "+s+ "is ("+s1+");";
assert(false);
}
-void VhdlParser::guarded_signal_specification() {if (!hasError) {
-
+void VhdlParser::guarded_signal_specification() {
+ if (!hasError) {
signal_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
type_mark();
}
-
}
QCString VhdlParser::identifier() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
tok = jj_consume_token(EXTENDED_CHARACTER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case BASIC_IDENTIFIER:{if (!hasError) {
-
+ case BASIC_IDENTIFIER:{
+ if (!hasError) {
tok = jj_consume_token(BASIC_IDENTIFIER);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -4853,12 +4160,11 @@ assert(false);
}
-QCString VhdlParser::identifier_list() {QCString str,str1;if (!hasError) {
-
+QCString VhdlParser::identifier_list() {QCString str,str1;
+ if (!hasError) {
str = identifier();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4868,41 +4174,35 @@ QCString VhdlParser::identifier_list() {QCString str,str1;if (!hasError) {
default:
jj_la1[110] = jj_gen;
goto end_label_22;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
str1 = identifier();
}
if (!hasError) {
-
str+=",";str+=str1;
}
-
}
end_label_22: ;
}
-
return str;
assert(false);
}
-void VhdlParser::if_statement() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::if_statement() {QCString s,s1;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -4911,28 +4211,22 @@ void VhdlParser::if_statement() {QCString s,s1;if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(IF_T);
}
if (!hasError) {
-
s = condition();
}
if (!hasError) {
-
jj_consume_token(THEN_T);
}
if (!hasError) {
-
s.prepend("if ");
FlowChart::addFlowChart(FlowChart::IF_NO,0,s);
}
if (!hasError) {
-
sequence_of_statement();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ELSIF_T:{
@@ -4942,47 +4236,38 @@ s.prepend("if ");
default:
jj_la1[112] = jj_gen;
goto end_label_23;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(ELSIF_T);
}
if (!hasError) {
-
s1 = condition();
}
if (!hasError) {
-
jj_consume_token(THEN_T);
}
if (!hasError) {
-
s1.prepend("elsif ");
FlowChart::addFlowChart(FlowChart::ELSIF_NO,0,s1.data());
}
if (!hasError) {
-
sequence_of_statement();
}
-
}
end_label_23: ;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ELSE_T:{if (!hasError) {
-
+ case ELSE_T:{
+ if (!hasError) {
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
if (!hasError) {
-
sequence_of_statement();
}
-
break;
}
default:
@@ -4991,22 +4276,18 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(IF_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -5015,47 +4296,39 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::ENDIF_NO,0,0);
}
-QCString VhdlParser::incomplete_type_declaration() {QCString s;if (!hasError) {
-
+QCString VhdlParser::incomplete_type_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "type "+s+";";
assert(false);
}
-QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;if (!hasError) {
-
+QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s2 = discrete_range();
}
if (!hasError) {
-
s+=s2;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -5065,42 +4338,35 @@ s+=s2;
default:
jj_la1[115] = jj_gen;
goto end_label_24;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_24: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+")";
assert(false);
}
QCString VhdlParser::index_specification() {QCString s;
- if (jj_2_37(2147483647)) {if (!hasError) {
-
+ if (jj_2_37(2147483647)) {
+ if (!hasError) {
s = discrete_range();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
@@ -5118,15 +4384,13 @@ return s;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s = expression();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -5139,19 +4403,16 @@ assert(false);
}
-QCString VhdlParser::index_subtype_definition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::index_subtype_definition() {QCString s;
+ if (!hasError) {
s = type_mark();
}
if (!hasError) {
-
jj_consume_token(RANGE_T);
}
if (!hasError) {
-
jj_consume_token(BOX_T);
}
-
return s+" range <> ";
assert(false);
}
@@ -5161,14 +4422,13 @@ QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMPONENT_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
jj_consume_token(COMPONENT_T);
}
-
break;
}
default:
@@ -5177,63 +4437,50 @@ QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok;
}
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
s1="component "; return s;
}
-
break;
}
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
jj_consume_token(ENTITY_T);
}
if (!hasError) {
-
- if (jj_2_38(2)) {if (!hasError) {
-
+ if (jj_2_38(2)) {
+ if (!hasError) {
jj_consume_token(BASIC_IDENTIFIER);
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
-
} else {
;
}
}
if (!hasError) {
-
s2 = name();
}
if (!hasError) {
-
s="entity|"+s2;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")" ;
}
-
break;
}
default:
@@ -5242,25 +4489,20 @@ s+="(";s+=s1;s+=")" ;
}
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
s1="configuration ";return s;
}
-
break;
}
default:
@@ -5275,37 +4517,31 @@ assert(false);
QCString VhdlParser::instantiation_list() {QCString s;Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier_list();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
tok = jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
tok = jj_consume_token(ALL_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -5317,78 +4553,66 @@ assert(false);
}
-QCString VhdlParser::integer() {Token *t;if (!hasError) {
-
+QCString VhdlParser::integer() {Token *t;
+ if (!hasError) {
t = jj_consume_token(INTEGER);
}
-
return t->image.c_str();
assert(false);
}
-QCString VhdlParser::integer_type_definition() {QCString s;if (!hasError) {
-
+QCString VhdlParser::integer_type_definition() {QCString s;
+ if (!hasError) {
s = range_constraint();
}
-
return s;
assert(false);
}
QCString VhdlParser::interface_declaration() {QCString s,s1;
- if (jj_2_39(5)) {if (!hasError) {
-
+ if (jj_2_39(5)) {
+ if (!hasError) {
s = interface_subprogram_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
interface_package_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
jj_la1[121] = jj_gen;
- if (jj_2_40(5)) {if (!hasError) {
-
+ if (jj_2_40(5)) {
+ if (!hasError) {
s = interface_variable_declaration();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_41(5)) {if (!hasError) {
-
+ } else if (jj_2_41(5)) {
+ if (!hasError) {
interface_file_declaration();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_42(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_42(2147483647)) {
+ if (!hasError) {
subprogram_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONSTANT_T:
@@ -5396,22 +4620,19 @@ return s;
case SIGNAL_T:
case SHARED_T:
case TYPE_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
s = object_class();
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
if (parse_sec==GEN_SEC)
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,currP,s1.data(),0,Public);
return s;
}
-
break;
}
default:
@@ -5426,45 +4647,39 @@ assert(false);
}
-QCString VhdlParser::interface_element() {QCString s;if (!hasError) {
-
+QCString VhdlParser::interface_element() {QCString s;
+ if (!hasError) {
s = interface_declaration();
}
-
return s;
assert(false);
}
-QCString VhdlParser::interface_file_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::interface_file_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
-
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return " file "+s+":"+s1;
assert(false);
}
-QCString VhdlParser::interface_list() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::interface_list() {QCString s,s1,s2;
+ if (!hasError) {
s = interface_element();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SEMI_T:{
@@ -5474,63 +4689,55 @@ QCString VhdlParser::interface_list() {QCString s,s1,s2;if (!hasError) {
default:
jj_la1[123] = jj_gen;
goto end_label_25;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
s1 = interface_element();
}
if (!hasError) {
-
s2+=";";s2+=s1;
}
-
}
end_label_25: ;
}
-
return s+s2;
assert(false);
}
-QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;if (!hasError) {
-
+QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONSTANT_T:
case SIGNAL_T:
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
tok = jj_consume_token(VARIABLE_T);
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
tok = jj_consume_token(SIGNAL_T);
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
tok = jj_consume_token(CONSTANT_T);
}
-
break;
}
- case SHARED_T:{if (!hasError) {
-
+ case SHARED_T:{
+ if (!hasError) {
tok = jj_consume_token(SHARED_T);
}
-
break;
}
default:
@@ -5539,7 +4746,6 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
break;
}
default:
@@ -5548,25 +4754,21 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
}
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BUFFER_T:
case IN_T:
case INOUT_T:
case LINKAGE_T:
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
s1 = mode();
}
-
break;
}
default:
@@ -5575,17 +4777,14 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
}
}
if (!hasError) {
-
s2 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BUS_T:{if (!hasError) {
-
+ case BUS_T:{
+ if (!hasError) {
tok1 = jj_consume_token(BUS_T);
}
-
break;
}
default:
@@ -5594,17 +4793,14 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
tok2 = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s4 = expression();
}
-
break;
}
default:
@@ -5612,7 +4808,6 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
;
}
}
-
if(tok)
s5=tok->image.c_str();
@@ -5646,40 +4841,34 @@ assert(false);
QCString VhdlParser::iteration_scheme() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHILE_T:{if (!hasError) {
-
+ case WHILE_T:{
+ if (!hasError) {
jj_consume_token(WHILE_T);
}
if (!hasError) {
-
s = condition();
}
if (!hasError) {
-
s.prepend("while ");
FlowChart::addFlowChart(FlowChart::WHILE_NO,0,s.data(),lab.data());
lab="";
return s;
}
-
break;
}
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
s = parameter_specification();
}
if (!hasError) {
-
QCString q=lab+" for "+s;
FlowChart::addFlowChart(FlowChart::FOR_NO,0,q.data(),lab.data());
lab="";
return q;
}
-
break;
}
default:
@@ -5691,32 +4880,27 @@ assert(false);
}
-QCString VhdlParser::label() {QCString s;if (!hasError) {
-
+QCString VhdlParser::label() {QCString s;
+ if (!hasError) {
s = identifier();
}
-
return s;
assert(false);
}
-QCString VhdlParser::library_clause() {QCString s;if (!hasError) {
+QCString VhdlParser::library_clause() {QCString s;
+ if (!hasError) {
if (!hasError) {
-
jj_consume_token(LIBRARY_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-
if ( parse_sec==0 && Config_getBool(SHOW_INCLUDE_FILES) )
{
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,s.data(),"_library_",Public);
@@ -5728,34 +4912,29 @@ assert(false);
QCString VhdlParser::library_unit() {QCString s;
- if (jj_2_43(2)) {if (!hasError) {
-
+ if (jj_2_43(2)) {
+ if (!hasError) {
primary_unit();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ARCHITECTURE_T:
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
secondary_unit();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONTEXT_T:{if (!hasError) {
-
+ case CONTEXT_T:{
+ if (!hasError) {
context_declaration();
}
-
break;
}
default:
@@ -5769,55 +4948,45 @@ assert(false);
QCString VhdlParser::literal() {QCString s;
- if (jj_2_44(2147483647)) {if (!hasError) {
-
+ if (jj_2_44(2147483647)) {
+ if (!hasError) {
s = bit_string_literal();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_45(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_45(2147483647)) {
+ if (!hasError) {
s = numeric_literal();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_46(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_46(2147483647)) {
+ if (!hasError) {
s = enumeration_literal();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = string_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case NULL_T:{if (!hasError) {
-
+ case NULL_T:{
+ if (!hasError) {
jj_consume_token(NULL_T);
}
if (!hasError) {
-
return "null";
}
-
break;
}
default:
@@ -5830,33 +4999,29 @@ assert(false);
}
-QCString VhdlParser::logical_operator() {QCString s;if (!hasError) {
-
+QCString VhdlParser::logical_operator() {QCString s;
+ if (!hasError) {
s = logop();
}
-
return s;
assert(false);
}
-QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;if (!hasError) {
-
+QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
break;
}
default:
@@ -5865,14 +5030,12 @@ s+=":";
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FOR_T:
- case WHILE_T:{if (!hasError) {
-
+ case WHILE_T:{
+ if (!hasError) {
s1 = iteration_scheme();
}
-
break;
}
default:
@@ -5881,35 +5044,28 @@ s+=":";
}
}
if (!hasError) {
-
if(s1.isEmpty())
FlowChart::addFlowChart(FlowChart::LOOP_NO,0,"infinite");
}
if (!hasError) {
-
jj_consume_token(LOOP_T);
}
if (!hasError) {
-
s2 = sequence_of_statement();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(LOOP_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s3 = identifier();
}
-
break;
}
default:
@@ -5918,10 +5074,8 @@ if(s1.isEmpty())
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString q = s+" loop "+s2+" end loop" +s3;
QCString endLoop="end loop" + s3;
FlowChart::moveToPrevLevel();
@@ -5933,37 +5087,31 @@ assert(false);
QCString VhdlParser::miscellaneous_operator() {Token *t=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOUBLEMULT_T:{if (!hasError) {
-
+ case DOUBLEMULT_T:{
+ if (!hasError) {
jj_consume_token(DOUBLEMULT_T);
}
if (!hasError) {
-
return "**";
}
-
break;
}
- case ABS_T:{if (!hasError) {
-
+ case ABS_T:{
+ if (!hasError) {
jj_consume_token(ABS_T);
}
if (!hasError) {
-
return "abs";
}
-
break;
}
- case NOT_T:{if (!hasError) {
-
+ case NOT_T:{
+ if (!hasError) {
jj_consume_token(NOT_T);
}
if (!hasError) {
-
return "not";
}
-
break;
}
default:
@@ -5977,59 +5125,49 @@ assert(false);
QCString VhdlParser::mode() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IN_T:{if (!hasError) {
-
+ case IN_T:{
+ if (!hasError) {
tok = jj_consume_token(IN_T);
}
if (!hasError) {
-
return "in";
}
-
break;
}
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
tok = jj_consume_token(OUT_T);
}
if (!hasError) {
-
return "out";
}
-
break;
}
- case INOUT_T:{if (!hasError) {
-
+ case INOUT_T:{
+ if (!hasError) {
tok = jj_consume_token(INOUT_T);
}
if (!hasError) {
-
return "inout";
}
-
break;
}
- case BUFFER_T:{if (!hasError) {
-
+ case BUFFER_T:{
+ if (!hasError) {
tok = jj_consume_token(BUFFER_T);
}
if (!hasError) {
-
return "buffer";
}
-
break;
}
- case LINKAGE_T:{if (!hasError) {
-
+ case LINKAGE_T:{
+ if (!hasError) {
tok = jj_consume_token(LINKAGE_T);
}
if (!hasError) {
-
return "linkage";
}
-
break;
}
default:
@@ -6043,48 +5181,40 @@ assert(false);
QCString VhdlParser::multiplying_operation() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case MULT_T:{if (!hasError) {
-
+ case MULT_T:{
+ if (!hasError) {
tok = jj_consume_token(MULT_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case SLASH_T:{if (!hasError) {
-
+ case SLASH_T:{
+ if (!hasError) {
tok = jj_consume_token(SLASH_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case MOD_T:{if (!hasError) {
-
+ case MOD_T:{
+ if (!hasError) {
tok = jj_consume_token(MOD_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
- case REM_T:{if (!hasError) {
-
+ case REM_T:{
+ if (!hasError) {
tok = jj_consume_token(REM_T);
}
if (!hasError) {
-
return tok->image.c_str();
}
-
break;
}
default:
@@ -6096,29 +5226,26 @@ assert(false);
}
-QCString VhdlParser::name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::name() {QCString s,s1;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
-
break;
}
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
-
break;
}
- case SLSL_T:{if (!hasError) {
-
+ case SLSL_T:{
+ if (!hasError) {
s = external_name();
}
-
break;
}
default:
@@ -6128,110 +5255,90 @@ QCString VhdlParser::name() {QCString s,s1;if (!hasError) {
}
}
if (!hasError) {
-
- if (jj_2_47(2147483647)) {if (!hasError) {
-
+ if (jj_2_47(2147483647)) {
+ if (!hasError) {
s1 = name_ext1();
}
if (!hasError) {
-
s+=s1;
}
-
} else {
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::name_ext1() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::name_ext1() {QCString s,s1,s2;
+ if (!hasError) {
s = name_ext();
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_48(2147483647)) {
;
} else {
goto end_label_26;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = name_ext();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_26: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::name_ext() {QCString s,s1,s2;if (!hasError) {
-
- if (jj_2_49(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::name_ext() {QCString s,s1,s2;
+ if (!hasError) {
+ if (jj_2_49(2147483647)) {
+ if (!hasError) {
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s1 = suffix();
}
if (!hasError) {
-
s+=".";s+=s1;
}
-
- } else if (jj_2_50(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_50(2147483647)) {
+ if (!hasError) {
s1 = test_att_name();
}
if (!hasError) {
-
s+=s1;
}
-
- } else if (jj_2_51(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_51(2147483647)) {
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")";
}
-
- } else if (jj_2_52(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_52(2147483647)) {
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s+="(";s+=s1;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -6241,89 +5348,72 @@ s+="(";s+=s1;
default:
jj_la1[139] = jj_gen;
goto end_label_27;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_27: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+=")";
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::test_att_name() {QCString s,s1;if (!hasError) {
-
- if (jj_2_53(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::test_att_name() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_53(2147483647)) {
+ if (!hasError) {
s1 = signature();
}
if (!hasError) {
-
s=s1;
}
-
} else {
;
}
}
if (!hasError) {
-
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
s1 = attribute_designator();
}
if (!hasError) {
-
s+="'";s+=s1;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")";
}
-
break;
}
default:
@@ -6331,30 +5421,25 @@ s+="(";s+=s1;s+=")";
;
}
}
-
return s;
assert(false);
}
-QCString VhdlParser::indexed_name() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::indexed_name() {QCString s,s1,s2;
+ if (!hasError) {
s2 = identifier();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s=s2+"("+s1;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -6364,45 +5449,38 @@ s=s2+"("+s1;
default:
jj_la1[141] = jj_gen;
goto end_label_28;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_28: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+")";
assert(false);
}
-QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
-
+QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -6411,18 +5489,15 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;i
}
}
if (!hasError) {
-
jj_consume_token(NEXT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s1 = identifier();
}
-
break;
}
default:
@@ -6431,17 +5506,14 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;i
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
t1 = jj_consume_token(WHEN_T);
}
if (!hasError) {
-
s2 = condition();
}
-
break;
}
default:
@@ -6450,10 +5522,8 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;i
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s+=":";
FlowChart::addFlowChart(FlowChart::NEXT_NO,"next ",s2.data(),s1.data());
lab.resize(0);
@@ -6463,23 +5533,20 @@ assert(false);
}
-QCString VhdlParser::null_statement() {QCString s;if (!hasError) {
-
+QCString VhdlParser::null_statement() {QCString s;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
break;
}
default:
@@ -6488,42 +5555,35 @@ s+=":";
}
}
if (!hasError) {
-
jj_consume_token(NULL_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return s+="null";
assert(false);
}
QCString VhdlParser::numeric_literal() {QCString s;
- if (jj_2_54(2147483647)) {if (!hasError) {
-
+ if (jj_2_54(2147483647)) {
+ if (!hasError) {
s = physical_literal();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INTEGER:
case DECIMAL_LITERAL:
- case BASED_LITERAL:{if (!hasError) {
-
+ case BASED_LITERAL:{
+ if (!hasError) {
s = abstract_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -6538,74 +5598,61 @@ assert(false);
QCString VhdlParser::object_class() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
return "constant";
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
return "signal";
}
-
break;
}
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return "variable";
}
-
break;
}
- case SHARED_T:{if (!hasError) {
-
+ case SHARED_T:{
+ if (!hasError) {
jj_consume_token(SHARED_T);
}
if (!hasError) {
-
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return "shared variable";
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
jj_consume_token(FILE_T);
}
if (!hasError) {
-
return "file";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
jj_consume_token(TYPE_T);
}
if (!hasError) {
-
return "type";
}
-
break;
}
default:
@@ -6617,24 +5664,22 @@ assert(false);
}
-QCString VhdlParser::operator_symbol() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::operator_symbol() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(STRINGLITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::options() {if (!hasError) {
-
+void VhdlParser::options() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GUARDED_T:{if (!hasError) {
-
+ case GUARDED_T:{
+ if (!hasError) {
jj_consume_token(GUARDED_T);
}
-
break;
}
default:
@@ -6643,15 +5688,13 @@ void VhdlParser::options() {if (!hasError) {
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
delay_mechanism();
}
-
break;
}
default:
@@ -6659,52 +5702,42 @@ void VhdlParser::options() {if (!hasError) {
;
}
}
-
}
-void VhdlParser::package_body() {QCString s;if (!hasError) {
-
+void VhdlParser::package_body() {QCString s;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
lastCompound=current.get();
s.prepend("_");
addVhdlType(s,getLine(),Entry::CLASS_SEC,VhdlDocGen::PACKAGE_BODY,0,0,Protected);
}
if (!hasError) {
-
package_body_declarative_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
-
break;
}
default:
@@ -6713,16 +5746,14 @@ lastCompound=current.get();
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -6731,10 +5762,8 @@ lastCompound=current.get();
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
lastCompound=0; genLabels.resize(0);
}
@@ -6744,77 +5773,67 @@ void VhdlParser::package_body_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
jj_la1[152] = jj_gen;
- if (jj_2_55(3)) {if (!hasError) {
-
+ if (jj_2_55(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
@@ -6827,8 +5846,8 @@ void VhdlParser::package_body_declarative_item() {
}
-void VhdlParser::package_body_declarative_part() {if (!hasError) {
-
+void VhdlParser::package_body_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -6850,32 +5869,27 @@ void VhdlParser::package_body_declarative_part() {if (!hasError) {
default:
jj_la1[154] = jj_gen;
goto end_label_29;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
package_body_declarative_item();
}
-
}
end_label_29: ;
}
-
}
-void VhdlParser::package_declaration() {QCString s;if (!hasError) {
-
+void VhdlParser::package_declaration() {QCString s;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
lastCompound=current.get();
std::unique_ptr<Entry> clone=std::make_unique<Entry>(*current);
clone->section=Entry::NAMESPACE_SEC;
@@ -6888,21 +5902,17 @@ lastCompound=current.get();
addVhdlType(s,getLine(PACKAGE_T),Entry::CLASS_SEC,VhdlDocGen::PACKAGE,0,0,Package);
}
if (!hasError) {
-
package_declarative_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
-
break;
}
default:
@@ -6911,16 +5921,14 @@ lastCompound=current.get();
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -6929,37 +5937,31 @@ lastCompound=current.get();
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
lastEntity=0;lastCompound=0; genLabels.resize(0);
}
-void VhdlParser::geninter() {if (!hasError) {
-
+void VhdlParser::geninter() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_interface_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -6967,7 +5969,6 @@ void VhdlParser::geninter() {if (!hasError) {
;
}
}
-
break;
}
default:
@@ -6975,7 +5976,6 @@ void VhdlParser::geninter() {if (!hasError) {
;
}
}
-
}
@@ -6984,130 +5984,113 @@ void VhdlParser::package_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
signal_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
- case COMPONENT_T:{if (!hasError) {
-
+ case COMPONENT_T:{
+ if (!hasError) {
component_declaration();
}
-
break;
}
default:
jj_la1[159] = jj_gen;
- if (jj_2_56(2147483647)) {if (!hasError) {
-
+ if (jj_2_56(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case DISCONNECT_T:{if (!hasError) {
-
+ case DISCONNECT_T:{
+ if (!hasError) {
disconnection_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
jj_la1[160] = jj_gen;
- if (jj_2_57(3)) {if (!hasError) {
-
+ if (jj_2_57(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
jj_la1[161] = jj_gen;
- if (jj_2_58(5)) {if (!hasError) {
-
+ if (jj_2_58(5)) {
+ if (!hasError) {
package_instantiation_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_declaration();
}
-
break;
}
default:
@@ -7124,8 +6107,8 @@ void VhdlParser::package_declarative_item() {
}
-void VhdlParser::package_declarative_part() {if (!hasError) {
-
+void VhdlParser::package_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -7152,75 +6135,63 @@ void VhdlParser::package_declarative_part() {if (!hasError) {
default:
jj_la1[163] = jj_gen;
goto end_label_30;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
package_declarative_item();
}
-
}
end_label_30: ;
}
-
}
-QCString VhdlParser::parameter_specification() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::parameter_specification() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IN_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
-
return s+" in "+s1;
assert(false);
}
-QCString VhdlParser::physical_literal() {QCString s,s1;if (!hasError) {
-
- if (jj_2_59(2147483647)) {if (!hasError) {
-
+QCString VhdlParser::physical_literal() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_59(2147483647)) {
+ if (!hasError) {
s = abstract_literal();
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = name();
}
-
s+=" ";s+=s1;s.prepend(" "); return s;
assert(false);
}
-QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(UNITS_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public);
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
@@ -7231,33 +6202,28 @@ addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public)
default:
jj_la1[164] = jj_gen;
goto end_label_31;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = secondary_unit_declaration();
}
-
}
end_label_31: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(UNITS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -7265,156 +6231,126 @@ addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public)
;
}
}
-
return s+"%";
assert(false);
}
-void VhdlParser::port_clause() {if (!hasError) {
-
+void VhdlParser::port_clause() {
+ if (!hasError) {
jj_consume_token(PORT_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
port_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
currP=0;
}
-QCString VhdlParser::port_list() {QCString s;if (!hasError) {
-
+QCString VhdlParser::port_list() {QCString s;
+ if (!hasError) {
s = interface_list();
}
-
return s;
assert(false);
}
-void VhdlParser::port_map_aspect() {if (!hasError) {
-
+void VhdlParser::port_map_aspect() {
+ if (!hasError) {
jj_consume_token(PORT_T);
}
if (!hasError) {
-
jj_consume_token(MAP_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
association_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
QCString VhdlParser::primary() {QCString s,s1;
- if (jj_2_60(2147483647)) {if (!hasError) {
-
+ if (jj_2_60(2147483647)) {
+ if (!hasError) {
s = function_call();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_61(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_61(2147483647)) {
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s="("+s1+")"; return s;
}
-
- } else if (jj_2_62(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_62(2147483647)) {
+ if (!hasError) {
s = qualified_expression();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_63(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_63(2147483647)) {
+ if (!hasError) {
s = type_conversion();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_64(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_64(2147483647)) {
+ if (!hasError) {
s = literal();
}
if (!hasError) {
-
s.prepend(" ");return s;
}
-
- } else if (jj_2_65(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_65(2147483647)) {
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case NEW_T:{if (!hasError) {
-
+ case NEW_T:{
+ if (!hasError) {
allocator();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
s = aggregate();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -7429,39 +6365,34 @@ assert(false);
void VhdlParser::primary_unit() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{if (!hasError) {
-
+ case ENTITY_T:{
+ if (!hasError) {
entity_declaration();
}
-
break;
}
- case CONFIGURATION_T:{if (!hasError) {
-
+ case CONFIGURATION_T:{
+ if (!hasError) {
configuration_declaration();
}
-
break;
}
default:
jj_la1[167] = jj_gen;
- if (jj_2_66(2147483647)) {if (!hasError) {
-
+ if (jj_2_66(2147483647)) {
+ if (!hasError) {
package_instantiation_declaration();
}
-
- } else if (jj_2_67(4)) {if (!hasError) {
-
+ } else if (jj_2_67(4)) {
+ if (!hasError) {
interface_package_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_declaration();
}
-
break;
}
default:
@@ -7474,30 +6405,25 @@ void VhdlParser::primary_unit() {
}
-QCString VhdlParser::procedure_call() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::procedure_call() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = actual_parameter_part();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s1.prepend("("); s1.append(")");
}
-
break;
}
default:
@@ -7505,40 +6431,33 @@ s1.prepend("("); s1.append(")");
;
}
}
-
return s+s1;
assert(false);
}
-QCString VhdlParser::procedure_call_statement() {QCString s,s1;if (!hasError) {
-
- if (jj_2_68(2)) {if (!hasError) {
-
+QCString VhdlParser::procedure_call_statement() {QCString s,s1;
+ if (!hasError) {
+ if (jj_2_68(2)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = procedure_call();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return s+s1+";";
assert(false);
}
@@ -7549,141 +6468,117 @@ QCString VhdlParser::process_declarative_item() {QCString s;
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
s = type_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
s = subtype_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
s = constant_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
s = variable_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
s = file_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
s = alias_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
jj_la1[170] = jj_gen;
- if (jj_2_69(3)) {if (!hasError) {
-
+ if (jj_2_69(3)) {
+ if (!hasError) {
s = attribute_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
s = attribute_specification();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
s = use_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
jj_la1[171] = jj_gen;
- if (jj_2_70(3)) {if (!hasError) {
-
+ if (jj_2_70(3)) {
+ if (!hasError) {
s = group_template_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
s = group_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -7699,8 +6594,8 @@ assert(false);
}
-QCString VhdlParser::process_declarative_part() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::process_declarative_part() {QCString s,s1;
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -7723,37 +6618,32 @@ QCString VhdlParser::process_declarative_part() {QCString s,s1;if (!hasError) {
default:
jj_la1[173] = jj_gen;
goto end_label_32;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = process_declarative_item();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_32: ;
}
-
return s;
assert(false);
}
-void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;if (!hasError) {
-
+void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -7762,13 +6652,11 @@ void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;if (!hasErro
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
@@ -7777,40 +6665,34 @@ void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;if (!hasErro
}
}
if (!hasError) {
-
currP=VhdlDocGen::PROCESS;
current->startLine=getLine();
current->bodyLine=getLine();
}
if (!hasError) {
-
jj_consume_token(PROCESS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
tok = jj_consume_token(ALL_T);
}
-
break;
}
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s1 = sensitivity_list();
}
-
break;
}
default:
@@ -7820,10 +6702,8 @@ currP=VhdlDocGen::PROCESS;
}
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
@@ -7832,13 +6712,11 @@ currP=VhdlDocGen::PROCESS;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
jj_consume_token(IS_T);
}
-
break;
}
default:
@@ -7847,35 +6725,28 @@ currP=VhdlDocGen::PROCESS;
}
}
if (!hasError) {
-
s2 = process_declarative_part();
}
if (!hasError) {
-
if (s2.data())
FlowChart::addFlowChart(FlowChart::VARIABLE_NO,s2.data(),0);
FlowChart::addFlowChart(FlowChart::BEGIN_NO,"BEGIN",0);
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
process_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{if (!hasError) {
-
+ case POSTPONED_T:{
+ if (!hasError) {
jj_consume_token(POSTPONED_T);
}
-
break;
}
default:
@@ -7884,18 +6755,15 @@ if (s2.data())
}
}
if (!hasError) {
-
jj_consume_token(PROCESS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -7904,10 +6772,8 @@ if (s2.data())
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(s.isEmpty())
currName=VhdlDocGen::getProcessNumber();
else
@@ -7926,8 +6792,8 @@ if(s.isEmpty())
}
-void VhdlParser::process_statement_part() {if (!hasError) {
-
+void VhdlParser::process_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -7954,60 +6820,49 @@ void VhdlParser::process_statement_part() {if (!hasError) {
default:
jj_la1[181] = jj_gen;
goto end_label_33;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
sequential_statement();
}
-
}
end_label_33: ;
}
-
}
-QCString VhdlParser::qualified_expression() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::qualified_expression() {QCString s,s1;
+ if (!hasError) {
s1 = identifier();
}
if (!hasError) {
-
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
-
s=s1+"'";
}
if (!hasError) {
-
- if (jj_2_71(2147483647)) {if (!hasError) {
-
+ if (jj_2_71(2147483647)) {
+ if (!hasError) {
s1 = aggregate();
}
if (!hasError) {
-
s+=s1;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
s+="(";s+=s1;s+=")";
}
-
break;
}
default:
@@ -8017,39 +6872,32 @@ s+="(";s+=s1;s+=")";
}
}
}
-
return s;
assert(false);
}
QCString VhdlParser::range() {QCString s,s1,s2;
- if (jj_2_72(2147483647)) {if (!hasError) {
-
+ if (jj_2_72(2147483647)) {
+ if (!hasError) {
s = simple_expression();
}
if (!hasError) {
-
s1 = direction();
}
if (!hasError) {
-
s2 = simple_expression();
}
if (!hasError) {
-
return s+" "+s1+" "+s2;
}
-
- } else if (jj_2_73(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_73(2147483647)) {
+ if (!hasError) {
s = attribute_name();
}
if (!hasError) {
-
return s;
}
-
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
@@ -8058,31 +6906,27 @@ assert(false);
}
-QCString VhdlParser::range_constraint() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::range_constraint() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(RANGE_T);
}
if (!hasError) {
-
s = range();
}
-
return " range "+s;
assert(false);
}
-void VhdlParser::record_type_definition() {if (!hasError) {
-
+void VhdlParser::record_type_definition() {
+ if (!hasError) {
jj_consume_token(RECORD_T);
}
if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+ while (!hasError) {
+ if (!hasError) {
element_declaration();
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
case EXTENDED_CHARACTER:{
@@ -8097,24 +6941,20 @@ void VhdlParser::record_type_definition() {if (!hasError) {
end_label_34: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(RECORD_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -8122,31 +6962,27 @@ void VhdlParser::record_type_definition() {if (!hasError) {
;
}
}
-
}
-QCString VhdlParser::relation() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::relation() {QCString s,s1,s2;
+ if (!hasError) {
s = shift_expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LESSTHAN_T:
case GREATERTHAN_T:
case LT_T:
case GT_T:
case EQU_T:
- case NOTEQU_T:{if (!hasError) {
-
+ case NOTEQU_T:{
+ if (!hasError) {
s1 = relation_operator();
}
if (!hasError) {
-
s2 = shift_expression();
}
-
break;
}
default:
@@ -8154,7 +6990,6 @@ QCString VhdlParser::relation() {QCString s,s1,s2;if (!hasError) {
;
}
}
-
return s+s1+s2;
assert(false);
}
@@ -8162,70 +6997,58 @@ assert(false);
QCString VhdlParser::relation_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LT_T:{if (!hasError) {
-
+ case LT_T:{
+ if (!hasError) {
jj_consume_token(LT_T);
}
if (!hasError) {
-
return "<";
}
-
break;
}
- case GT_T:{if (!hasError) {
-
+ case GT_T:{
+ if (!hasError) {
jj_consume_token(GT_T);
}
if (!hasError) {
-
return ">";
}
-
break;
}
- case EQU_T:{if (!hasError) {
-
+ case EQU_T:{
+ if (!hasError) {
jj_consume_token(EQU_T);
}
if (!hasError) {
-
return "=";
}
-
break;
}
- case GREATERTHAN_T:{if (!hasError) {
-
+ case GREATERTHAN_T:{
+ if (!hasError) {
jj_consume_token(GREATERTHAN_T);
}
if (!hasError) {
-
return ">=";
}
-
break;
}
- case LESSTHAN_T:{if (!hasError) {
-
+ case LESSTHAN_T:{
+ if (!hasError) {
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
return "<=";
}
-
break;
}
- case NOTEQU_T:{if (!hasError) {
-
+ case NOTEQU_T:{
+ if (!hasError) {
jj_consume_token(NOTEQU_T);
}
if (!hasError) {
-
return "/=";
}
-
break;
}
default:
@@ -8237,19 +7060,17 @@ assert(false);
}
-QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -8258,25 +7079,20 @@ QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2
}
}
if (!hasError) {
-
jj_consume_token(REPORT_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SEVERITY_T:{if (!hasError) {
-
+ case SEVERITY_T:{
+ if (!hasError) {
t1 = jj_consume_token(SEVERITY_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
@@ -8285,10 +7101,8 @@ QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s.append(":");
s1.prepend(" report ");
if(t1) s2.prepend(" severity ");
@@ -8297,23 +7111,20 @@ assert(false);
}
-QCString VhdlParser::return_statement() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::return_statement() {QCString s,s1;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
break;
}
default:
@@ -8322,11 +7133,9 @@ s+=":";
}
}
if (!hasError) {
-
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
case NEW_T:
@@ -8343,11 +7152,10 @@ s+=":";
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s1 = expression();
}
-
break;
}
default:
@@ -8356,10 +7164,8 @@ s+=":";
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return s+" return "+s1+";";
assert(false);
}
@@ -8367,37 +7173,31 @@ assert(false);
QCString VhdlParser::scalar_type_definition() {QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
s = enumeration_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case RANGE_T:{if (!hasError) {
-
+ case RANGE_T:{
+ if (!hasError) {
s = range_constraint();
}
if (!hasError) {
-
- if (jj_2_74(2147483647)) {if (!hasError) {
-
+ if (jj_2_74(2147483647)) {
+ if (!hasError) {
s1 = physical_type_definition();
}
-
} else {
;
}
}
if (!hasError) {
-
return s+" "+s1+"%";
}
-
break;
}
default:
@@ -8411,18 +7211,16 @@ assert(false);
void VhdlParser::secondary_unit() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARCHITECTURE_T:{if (!hasError) {
-
+ case ARCHITECTURE_T:{
+ if (!hasError) {
architecture_body();
}
-
break;
}
- case PACKAGE_T:{if (!hasError) {
-
+ case PACKAGE_T:{
+ if (!hasError) {
package_body();
}
-
break;
}
default:
@@ -8433,23 +7231,19 @@ void VhdlParser::secondary_unit() {
}
-QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(EQU_T);
}
if (!hasError) {
-
s1 = physical_literal();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
//printf("\n %s %s [%d]",s.data(),s1.data(),getLine());
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,s1.data(),Public);
@@ -8458,74 +7252,60 @@ assert(false);
}
-QCString VhdlParser::selected_name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::selected_name() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s1 = suffix();
}
-
return s+"."+s1;
assert(false);
}
-void VhdlParser::selected_signal_assignment() {if (!hasError) {
-
+void VhdlParser::selected_signal_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
options();
}
if (!hasError) {
-
selected_waveforms();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::selected_waveforms() {if (!hasError) {
-
+void VhdlParser::selected_waveforms() {
+ if (!hasError) {
waveform();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -8535,51 +7315,43 @@ void VhdlParser::selected_waveforms() {if (!hasError) {
default:
jj_la1[193] = jj_gen;
goto end_label_35;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
waveform();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
-
}
end_label_35: ;
}
-
}
-QCString VhdlParser::sensitivity_clause() {QCString s;if (!hasError) {
-
+QCString VhdlParser::sensitivity_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(ON_T);
}
if (!hasError) {
-
s = sensitivity_list();
}
-
s.prepend(" on ");
return s;
assert(false);
}
-QCString VhdlParser::sensitivity_list() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::sensitivity_list() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -8589,175 +7361,142 @@ QCString VhdlParser::sensitivity_list() {QCString s,s1;if (!hasError) {
default:
jj_la1[194] = jj_gen;
goto end_label_36;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_36: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::sequence_of_statement() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::sequence_of_statement() {QCString s,s1;
+ if (!hasError) {
while (!hasError) {
if (jj_2_75(3)) {
;
} else {
goto end_label_37;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = sequential_statement();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_37: ;
}
-
return s;
assert(false);
}
QCString VhdlParser::sequential_statement() {QCString s;
- if (jj_2_76(2147483647)) {if (!hasError) {
-
+ if (jj_2_76(2147483647)) {
+ if (!hasError) {
s = signal_assignment_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_77(3)) {if (!hasError) {
-
+ } else if (jj_2_77(3)) {
+ if (!hasError) {
s = assertion_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_78(3)) {if (!hasError) {
-
+ } else if (jj_2_78(3)) {
+ if (!hasError) {
s = report_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_79(3)) {if (!hasError) {
-
+ } else if (jj_2_79(3)) {
+ if (!hasError) {
s = wait_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_80(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_80(2147483647)) {
+ if (!hasError) {
s = variable_assignment_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_81(3)) {if (!hasError) {
-
+ } else if (jj_2_81(3)) {
+ if (!hasError) {
s = procedure_call_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
- } else if (jj_2_82(3)) {if (!hasError) {
-
+ } else if (jj_2_82(3)) {
+ if (!hasError) {
if_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_83(3)) {if (!hasError) {
-
+ } else if (jj_2_83(3)) {
+ if (!hasError) {
case_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_84(3)) {if (!hasError) {
-
+ } else if (jj_2_84(3)) {
+ if (!hasError) {
loop_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_85(3)) {if (!hasError) {
-
+ } else if (jj_2_85(3)) {
+ if (!hasError) {
s = next_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_86(3)) {if (!hasError) {
-
+ } else if (jj_2_86(3)) {
+ if (!hasError) {
s = exit_statement();
}
if (!hasError) {
-
return s;
}
-
- } else if (jj_2_87(3)) {if (!hasError) {
-
+ } else if (jj_2_87(3)) {
+ if (!hasError) {
s = return_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::RETURN_NO,s.data(),0);return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case NULL_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = null_statement();
}
if (!hasError) {
-
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
-
break;
}
default:
@@ -8770,27 +7509,24 @@ assert(false);
}
-QCString VhdlParser::shift_expression() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::shift_expression() {QCString s,s1,s2;
+ if (!hasError) {
s = simple_expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ROL_T:
case ROR_T:
case SLA_T:
case SLL_T:
case SRA_T:
- case SRL_T:{if (!hasError) {
-
+ case SRL_T:{
+ if (!hasError) {
s1 = shift_operator();
}
if (!hasError) {
-
s2 = simple_expression();
}
-
break;
}
default:
@@ -8798,7 +7534,6 @@ QCString VhdlParser::shift_expression() {QCString s,s1,s2;if (!hasError) {
;
}
}
-
return s+s1+s2;
assert(false);
}
@@ -8806,70 +7541,58 @@ assert(false);
QCString VhdlParser::shift_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SLL_T:{if (!hasError) {
-
+ case SLL_T:{
+ if (!hasError) {
jj_consume_token(SLL_T);
}
if (!hasError) {
-
return "sll";
}
-
break;
}
- case SRL_T:{if (!hasError) {
-
+ case SRL_T:{
+ if (!hasError) {
jj_consume_token(SRL_T);
}
if (!hasError) {
-
return "srl";
}
-
break;
}
- case SLA_T:{if (!hasError) {
-
+ case SLA_T:{
+ if (!hasError) {
jj_consume_token(SLA_T);
}
if (!hasError) {
-
return "sla";
}
-
break;
}
- case SRA_T:{if (!hasError) {
-
+ case SRA_T:{
+ if (!hasError) {
jj_consume_token(SRA_T);
}
if (!hasError) {
-
return "sra";
}
-
break;
}
- case ROL_T:{if (!hasError) {
-
+ case ROL_T:{
+ if (!hasError) {
jj_consume_token(ROL_T);
}
if (!hasError) {
-
return "rol";
}
-
break;
}
- case ROR_T:{if (!hasError) {
-
+ case ROR_T:{
+ if (!hasError) {
jj_consume_token(ROR_T);
}
if (!hasError) {
-
return "ror";
}
-
break;
}
default:
@@ -8883,26 +7606,22 @@ assert(false);
QCString VhdlParser::sign() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PLUS_T:{if (!hasError) {
-
+ case PLUS_T:{
+ if (!hasError) {
jj_consume_token(PLUS_T);
}
if (!hasError) {
-
return "+";
}
-
break;
}
- case MINUS_T:{if (!hasError) {
-
+ case MINUS_T:{
+ if (!hasError) {
jj_consume_token(MINUS_T);
}
if (!hasError) {
-
return "-";
}
-
break;
}
default:
@@ -8915,67 +7634,56 @@ assert(false);
QCString VhdlParser::signal_assignment_statement() {QCString s,s1,s2,s3;
- if (jj_2_89(2147483647)) {if (!hasError) {
-
+ if (jj_2_89(2147483647)) {
+ if (!hasError) {
conditional_signal_assignment_wave();
}
if (!hasError) {
-
return "";
}
-
- } else if (jj_2_90(2147483647)) {if (!hasError) {
-
+ } else if (jj_2_90(2147483647)) {
+ if (!hasError) {
selected_signal_assignment_wave();
}
if (!hasError) {
-
return "";
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LPAREN_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
- if (jj_2_88(2)) {if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ if (jj_2_88(2)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
s2 = delay_mechanism();
}
-
break;
}
default:
@@ -8984,18 +7692,14 @@ s+=":";
}
}
if (!hasError) {
-
s3 = waveform();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
return s+s1+"<="+s2+s3+";";
}
-
break;
}
default:
@@ -9008,39 +7712,33 @@ assert(false);
}
-void VhdlParser::semi() {if (!hasError) {
-
+void VhdlParser::semi() {
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;if (!hasError) {
-
+void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;
+ if (!hasError) {
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BUS_T:
- case REGISTER_T:{if (!hasError) {
-
+ case REGISTER_T:{
+ if (!hasError) {
s2 = signal_kind();
}
-
break;
}
default:
@@ -9049,17 +7747,14 @@ void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;if (!
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
tok = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s3 = expression();
}
-
break;
}
default:
@@ -9068,10 +7763,8 @@ void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;if (!
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(tok)
s3.prepend(":=");
s4=s1+s2+s3;
@@ -9081,26 +7774,22 @@ if(tok)
QCString VhdlParser::signal_kind() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REGISTER_T:{if (!hasError) {
-
+ case REGISTER_T:{
+ if (!hasError) {
jj_consume_token(REGISTER_T);
}
if (!hasError) {
-
return "register";
}
-
break;
}
- case BUS_T:{if (!hasError) {
-
+ case BUS_T:{
+ if (!hasError) {
jj_consume_token(BUS_T);
}
if (!hasError) {
-
return "bus";
}
-
break;
}
default:
@@ -9117,12 +7806,11 @@ QCString VhdlParser::signal_list() {QCString s,s1;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -9132,45 +7820,37 @@ QCString VhdlParser::signal_list() {QCString s,s1;
default:
jj_la1[204] = jj_gen;
goto end_label_38;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_38: ;
}
-
break;
}
- case OTHER_T:{if (!hasError) {
-
+ case OTHER_T:{
+ if (!hasError) {
jj_consume_token(OTHER_T);
}
if (!hasError) {
-
return "other";
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
jj_consume_token(ALL_T);
}
if (!hasError) {
-
return "all";
}
-
break;
}
default:
@@ -9182,22 +7862,20 @@ assert(false);
}
-QCString VhdlParser::signature() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::signature() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(LBRACKET_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -9207,23 +7885,19 @@ QCString VhdlParser::signature() {QCString s,s1,s2;if (!hasError) {
default:
jj_la1[206] = jj_gen;
goto end_label_39;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_39: ;
}
-
break;
}
default:
@@ -9232,21 +7906,17 @@ s+=",";s+=s1;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case RETURN_T:{if (!hasError) {
-
+ case RETURN_T:{
+ if (!hasError) {
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s+="return ";s+=s1;
}
-
break;
}
default:
@@ -9255,24 +7925,21 @@ s+="return ";s+=s1;
}
}
if (!hasError) {
-
jj_consume_token(RBRACKET_T);
}
-
s1="["+s+"]";return s1;
assert(false);
}
-QCString VhdlParser::simple_expression() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::simple_expression() {QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case PLUS_T:
- case MINUS_T:{if (!hasError) {
-
+ case MINUS_T:{
+ if (!hasError) {
s = sign();
}
-
break;
}
default:
@@ -9281,93 +7948,78 @@ QCString VhdlParser::simple_expression() {QCString s,s1,s2;if (!hasError) {
}
}
if (!hasError) {
-
s1 = term();
}
if (!hasError) {
-
s+=s1;
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_91(2147483647)) {
;
} else {
goto end_label_40;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = adding_operator();
}
if (!hasError) {
-
s2 = term();
}
if (!hasError) {
-
s+=s1;s+=s2;
}
-
}
end_label_40: ;
}
-
return s;
assert(false);
}
-void VhdlParser::simple_name() {if (!hasError) {
-
+void VhdlParser::simple_name() {
+ if (!hasError) {
name();
}
-
}
-QCString VhdlParser::slice_name() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::slice_name() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = discrete_range();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+"("+s1+")";
assert(false);
}
-QCString VhdlParser::string_literal() {Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::string_literal() {Token *tok=0;
+ if (!hasError) {
tok = jj_consume_token(STRINGLITERAL);
}
-
return tok->image.c_str();
assert(false);
}
-void VhdlParser::subprogram_body() {QCString s;if (!hasError) {
-
+void VhdlParser::subprogram_body() {QCString s;
+ if (!hasError) {
jj_consume_token(IS_T);
}
if (!hasError) {
-
//try{
s = subprogram_declarative_part();
}
if (!hasError) {
-
if (s.data())
{
FlowChart::addFlowChart(FlowChart::VARIABLE_NO,s,0);
@@ -9375,26 +8027,21 @@ if (s.data())
FlowChart::addFlowChart(FlowChart::BEGIN_NO,"BEGIN",0);
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
subprogram_statement_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FUNCTION_T:
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
subprogram_kind();
}
-
break;
}
default:
@@ -9403,15 +8050,13 @@ if (s.data())
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
designator();
}
-
break;
}
default:
@@ -9420,10 +8065,8 @@ if (s.data())
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
tempEntry->endBodyLine=getLine(END_T);
createFlow();
currP=0;
@@ -9431,29 +8074,25 @@ tempEntry->endBodyLine=getLine(END_T);
void VhdlParser::subprogram_declaration() {
- if (jj_2_92(2147483647)) {if (!hasError) {
-
+ if (jj_2_92(2147483647)) {
+ if (!hasError) {
subprogram_instantiation_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_specification();
}
if (!hasError) {
-
subprogram_1();
}
if (!hasError) {
-
currP=0;
}
-
break;
}
default:
@@ -9467,18 +8106,16 @@ currP=0;
void VhdlParser::subprogram_1() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
subprogram_body();
}
-
break;
}
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -9494,152 +8131,126 @@ QCString VhdlParser::subprogram_declarative_item() {QCString s;
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
s = type_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
subprogram_body();
}
if (!hasError) {
-
return "";
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
s = subtype_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
s = constant_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
s = variable_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
s = file_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
s = alias_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
jj_la1[214] = jj_gen;
- if (jj_2_93(2147483647)) {if (!hasError) {
-
+ if (jj_2_93(2147483647)) {
+ if (!hasError) {
s = attribute_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
s = attribute_specification();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
s = use_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
jj_la1[215] = jj_gen;
- if (jj_2_94(3)) {if (!hasError) {
-
+ if (jj_2_94(3)) {
+ if (!hasError) {
s = group_template_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
s = group_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -9655,8 +8266,8 @@ assert(false);
}
-QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -9680,19 +8291,16 @@ QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;if (!hasError)
default:
jj_la1[217] = jj_gen;
goto end_label_41;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = subprogram_declarative_item();
}
if (!hasError) {
-
s+=s1;
}
-
}
end_label_41: ;
}
-
return s;
assert(false);
}
@@ -9700,18 +8308,16 @@ assert(false);
void VhdlParser::subprogram_kind() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FUNCTION_T:{if (!hasError) {
-
+ case FUNCTION_T:{
+ if (!hasError) {
jj_consume_token(FUNCTION_T);
}
-
break;
}
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
-
break;
}
default:
@@ -9724,16 +8330,14 @@ void VhdlParser::subprogram_kind() {
void VhdlParser::subprogram_specification() {QCString s;Token *tok=0;Token *t;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
-
s = designator();
}
if (!hasError) {
-
currP=VhdlDocGen::PROCEDURE;
createFunction(s.data(),currP,0);
tempEntry=current.get();
@@ -9741,29 +8345,23 @@ currP=VhdlDocGen::PROCEDURE;
current->bodyLine=getLine(PROCEDURE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
param_sec=PARAM_SEC;
}
if (!hasError) {
-
interface_list();
}
if (!hasError) {
-
param_sec=0;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
@@ -9772,59 +8370,50 @@ param_sec=0;
}
}
if (!hasError) {
-
- if (jj_2_95(2)) {if (!hasError) {
-
+ if (jj_2_95(2)) {
+ if (!hasError) {
gen_interface_list();
}
-
} else {
;
}
}
if (!hasError) {
-
- if (jj_2_96(2)) {if (!hasError) {
-
+ if (jj_2_96(2)) {
+ if (!hasError) {
gen_assoc_list();
}
-
} else {
;
}
}
if (!hasError) {
-
param();
}
if (!hasError) {
-
newEntry();
}
-
break;
}
case FUNCTION_T:
case IMPURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IMPURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
tok = jj_consume_token(PURE_T);
}
-
break;
}
- case IMPURE_T:{if (!hasError) {
-
+ case IMPURE_T:{
+ if (!hasError) {
tok = jj_consume_token(IMPURE_T);
}
-
break;
}
default:
@@ -9833,7 +8422,6 @@ newEntry();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
break;
}
default:
@@ -9842,15 +8430,12 @@ newEntry();
}
}
if (!hasError) {
-
t = jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
s = designator();
}
if (!hasError) {
-
currP=VhdlDocGen::FUNCTION;
if(tok)
createFunction(tok->image.c_str(),currP,s.data());
@@ -9861,29 +8446,23 @@ currP=VhdlDocGen::FUNCTION;
current->bodyLine=getLine(FUNCTION_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
param_sec=PARAM_SEC;
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
formal_parameter_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
param_sec=0;
}
-
break;
}
default:
@@ -9892,20 +8471,16 @@ param_sec=0;
}
}
if (!hasError) {
-
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
s = type_mark();
}
if (!hasError) {
-
tempEntry=current.get();
current->type=s;
newEntry();
}
-
break;
}
default:
@@ -9916,8 +8491,8 @@ tempEntry=current.get();
}
-void VhdlParser::subprogram_statement_part() {if (!hasError) {
-
+void VhdlParser::subprogram_statement_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -9944,120 +8519,100 @@ void VhdlParser::subprogram_statement_part() {if (!hasError) {
default:
jj_la1[224] = jj_gen;
goto end_label_42;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
sequential_statement();
}
-
}
end_label_42: ;
}
-
}
-QCString VhdlParser::subtype_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::subtype_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::SUBTYPE,0,s1.data(),Public);
return " subtype "+s+" is "+s1+";";
assert(false);
}
-QCString VhdlParser::subtype_indication() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::subtype_indication() {QCString s,s1,s2;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
- if (jj_2_97(2147483647)) {if (!hasError) {
-
+ if (jj_2_97(2147483647)) {
+ if (!hasError) {
s1 = name();
}
-
} else {
;
}
}
if (!hasError) {
-
- if (jj_2_98(2147483647)) {if (!hasError) {
-
+ if (jj_2_98(2147483647)) {
+ if (!hasError) {
s2 = constraint();
}
-
} else {
;
}
}
-
return s+" "+s1+" "+s2;
assert(false);
}
QCString VhdlParser::suffix() {QCString s;
- if (jj_2_99(2147483647)) {if (!hasError) {
-
+ if (jj_2_99(2147483647)) {
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CHARACTER_LITERAL:{if (!hasError) {
-
+ case CHARACTER_LITERAL:{
+ if (!hasError) {
s = character_literal();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case STRINGLITERAL:{if (!hasError) {
-
+ case STRINGLITERAL:{
+ if (!hasError) {
s = operator_symbol();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ALL_T:{if (!hasError) {
-
+ case ALL_T:{
+ if (!hasError) {
jj_consume_token(ALL_T);
}
if (!hasError) {
-
return " all ";
}
-
break;
}
default:
@@ -10075,26 +8630,22 @@ QCString VhdlParser::target() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
s = aggregate();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -10106,96 +8657,81 @@ assert(false);
}
-QCString VhdlParser::term() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::term() {QCString s,s1,s2;
+ if (!hasError) {
s = factor();
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_100(2)) {
;
} else {
goto end_label_43;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = multiplying_operation();
}
if (!hasError) {
-
s2 = factor();
}
if (!hasError) {
-
s+=s1;s+=s2;
}
-
}
end_label_43: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::timeout_clause() {QCString s;if (!hasError) {
-
+QCString VhdlParser::timeout_clause() {QCString s;
+ if (!hasError) {
jj_consume_token(FOR_T);
}
if (!hasError) {
-
s = expression();
}
-
return " for "+s;
assert(false);
}
-QCString VhdlParser::type_conversion() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::type_conversion() {QCString s,s1;
+ if (!hasError) {
s = name();
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
return s+"("+s1+")";
assert(false);
}
QCString VhdlParser::type_declaration() {QCString s;
- if (jj_2_101(3)) {if (!hasError) {
-
+ if (jj_2_101(3)) {
+ if (!hasError) {
s = full_type_declaration();
}
if (!hasError) {
-
return s;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
s = incomplete_type_declaration();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -10211,74 +8747,62 @@ assert(false);
QCString VhdlParser::type_definition() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case RANGE_T:
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
//try{
s = scalar_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
case ARRAY_T:
- case RECORD_T:{if (!hasError) {
-
+ case RECORD_T:{
+ if (!hasError) {
s = composite_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case ACCESS_T:{if (!hasError) {
-
+ case ACCESS_T:{
+ if (!hasError) {
s = access_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
s = file_type_definition();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
jj_la1[228] = jj_gen;
- if (jj_2_102(2)) {if (!hasError) {
-
+ if (jj_2_102(2)) {
+ if (!hasError) {
protected_type_body();
}
if (!hasError) {
-
return "";
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROTECTED_T:{if (!hasError) {
-
+ case PROTECTED_T:{
+ if (!hasError) {
protected_type_declaration();
}
if (!hasError) {
-
return "";
}
-
break;
}
default:
@@ -10292,30 +8816,26 @@ assert(false);
}
-QCString VhdlParser::type_mark() {QCString s;if (!hasError) {
-
+QCString VhdlParser::type_mark() {QCString s;
+ if (!hasError) {
s = name();
}
-
return s;
assert(false);
}
-QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;if (!hasError) {
-
+QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;
+ if (!hasError) {
jj_consume_token(ARRAY_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s = index_subtype_definition();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10325,50 +8845,41 @@ QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;if (!h
default:
jj_la1[230] = jj_gen;
goto end_label_44;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = index_subtype_definition();
}
if (!hasError) {
-
s3+=",";s3+=s1;
}
-
}
end_label_44: ;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
if (!hasError) {
-
jj_consume_token(OF_T);
}
if (!hasError) {
-
s2 = subtype_indication();
}
-
return "array("+s+s3+") of "+s2;
assert(false);
}
-QCString VhdlParser::use_clause() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::use_clause() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(USE_T);
}
if (!hasError) {
-
s = selected_name();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10378,27 +8889,22 @@ QCString VhdlParser::use_clause() {QCString s,s1;if (!hasError) {
default:
jj_la1[231] = jj_gen;
goto end_label_45;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = selected_name();
}
if (!hasError) {
-
s+=",";s+=s1;
}
-
}
end_label_45: ;
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCStringList ql1=QCStringList::split(",",s);
for (uint j=0;j<ql1.count();j++)
{
@@ -10421,57 +8927,46 @@ QCString VhdlParser::variable_assignment_statement() {QCString s,s1,s2;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
- if (jj_2_103(2)) {if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
+ if (jj_2_103(2)) {
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s+=":";
}
-
} else {
;
}
}
if (!hasError) {
-
s1 = target();
}
if (!hasError) {
-
jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s2 = expression();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
if (!hasError) {
-
return s+s1+":="+s2+";";
}
-
break;
}
- case WITH_T:{if (!hasError) {
-
+ case WITH_T:{
+ if (!hasError) {
selected_variable_assignment();
}
if (!hasError) {
-
return "";
}
-
break;
}
default:
@@ -10483,14 +8978,13 @@ assert(false);
}
-QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SHARED_T:{if (!hasError) {
-
+ case SHARED_T:{
+ if (!hasError) {
tok = jj_consume_token(SHARED_T);
}
-
break;
}
default:
@@ -10499,33 +8993,26 @@ QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s
}
}
if (!hasError) {
-
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s1 = subtype_indication();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{if (!hasError) {
-
+ case VARASSIGN_T:{
+ if (!hasError) {
t1 = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
s2 = expression();
}
-
break;
}
default:
@@ -10534,10 +9021,8 @@ QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
int spec;
if(t1)
s2.prepend(":=");
@@ -10562,19 +9047,17 @@ assert(false);
}
-QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasError) {
-
+QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
t = jj_consume_token(COLON_T);
}
-
break;
}
default:
@@ -10583,17 +9066,14 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasEr
}
}
if (!hasError) {
-
jj_consume_token(WAIT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ON_T:{if (!hasError) {
-
+ case ON_T:{
+ if (!hasError) {
s1 = sensitivity_clause();
}
-
break;
}
default:
@@ -10602,13 +9082,11 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasEr
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case UNTIL_T:{if (!hasError) {
-
+ case UNTIL_T:{
+ if (!hasError) {
s2 = condition_clause();
}
-
break;
}
default:
@@ -10617,13 +9095,11 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasEr
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{if (!hasError) {
-
+ case FOR_T:{
+ if (!hasError) {
s3 = timeout_clause();
}
-
break;
}
default:
@@ -10632,10 +9108,8 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasEr
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
if(t) s.append(":");
return s+" wait "+s1+s2+s3+";";
assert(false);
@@ -10659,12 +9133,11 @@ QCString VhdlParser::waveform() {QCString s,s1;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
s = waveform_element();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10674,38 +9147,31 @@ QCString VhdlParser::waveform() {QCString s,s1;
default:
jj_la1[239] = jj_gen;
goto end_label_46;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
s1 = waveform_element();
}
if (!hasError) {
-
s+=","; s+=s1;
}
-
}
end_label_46: ;
}
if (!hasError) {
-
return s;
}
-
break;
}
- case UNAFFECTED_T:{if (!hasError) {
-
+ case UNAFFECTED_T:{
+ if (!hasError) {
jj_consume_token(UNAFFECTED_T);
}
if (!hasError) {
-
return " unaffected ";
}
-
break;
}
default:
@@ -10717,26 +9183,22 @@ assert(false);
}
-QCString VhdlParser::waveform_element() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::waveform_element() {QCString s,s1;
+ if (!hasError) {
s = expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case AFTER_T:{if (!hasError) {
-
+ case AFTER_T:{
+ if (!hasError) {
jj_consume_token(AFTER_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
s1.prepend(" after ");
}
-
break;
}
default:
@@ -10744,45 +9206,37 @@ s1.prepend(" after ");
;
}
}
-
return s+s1;
assert(false);
}
-QCString VhdlParser::protected_type_body() {if (!hasError) {
-
+QCString VhdlParser::protected_type_body() {
+ if (!hasError) {
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
if (!hasError) {
-
protected_type_body_declarative_part();
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
jj_consume_token(BODY_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -10790,7 +9244,6 @@ QCString VhdlParser::protected_type_body() {if (!hasError) {
;
}
}
-
return "";
assert(false);
}
@@ -10801,100 +9254,87 @@ void VhdlParser::protected_type_body_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
subprogram_body();
}
-
break;
}
- case TYPE_T:{if (!hasError) {
-
+ case TYPE_T:{
+ if (!hasError) {
type_declaration();
}
-
break;
}
- case SUBTYPE_T:{if (!hasError) {
-
+ case SUBTYPE_T:{
+ if (!hasError) {
subtype_declaration();
}
-
break;
}
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
constant_declaration();
}
-
break;
}
case SHARED_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
variable_declaration();
}
-
break;
}
- case FILE_T:{if (!hasError) {
-
+ case FILE_T:{
+ if (!hasError) {
file_declaration();
}
-
break;
}
- case ALIAS_T:{if (!hasError) {
-
+ case ALIAS_T:{
+ if (!hasError) {
alias_declaration();
}
-
break;
}
default:
jj_la1[243] = jj_gen;
- if (jj_2_104(2147483647)) {if (!hasError) {
-
+ if (jj_2_104(2147483647)) {
+ if (!hasError) {
attribute_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
jj_la1[244] = jj_gen;
- if (jj_2_105(3)) {if (!hasError) {
-
+ if (jj_2_105(3)) {
+ if (!hasError) {
group_template_declaration();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{if (!hasError) {
-
+ case GROUP_T:{
+ if (!hasError) {
group_declaration();
}
-
break;
}
default:
@@ -10909,8 +9349,8 @@ void VhdlParser::protected_type_body_declarative_item() {
}
-void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
-
+void VhdlParser::protected_type_body_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -10934,50 +9374,42 @@ void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
default:
jj_la1[246] = jj_gen;
goto end_label_47;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
protected_type_body_declarative_item();
}
-
}
end_label_47: ;
}
-
}
-QCString VhdlParser::protected_type_declaration() {if (!hasError) {
-
+QCString VhdlParser::protected_type_declaration() {
+ if (!hasError) {
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
- try {if (!hasError) {
-
+ try {
+ if (!hasError) {
protected_type_declarative_part();
}
-
} catch ( ...) {
error_skipto(END_T);
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -10985,7 +9417,6 @@ error_skipto(END_T);
;
}
}
-
return "";
assert(false);
}
@@ -10996,25 +9427,22 @@ void VhdlParser::protected_type_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_specification();
}
-
break;
}
- case ATTRIBUTE_T:{if (!hasError) {
-
+ case ATTRIBUTE_T:{
+ if (!hasError) {
attribute_specification();
}
-
break;
}
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
use_clause();
}
-
break;
}
default:
@@ -11025,8 +9453,8 @@ void VhdlParser::protected_type_declarative_item() {
}
-void VhdlParser::protected_type_declarative_part() {if (!hasError) {
-
+void VhdlParser::protected_type_declarative_part() {
+ if (!hasError) {
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ATTRIBUTE_T:
@@ -11041,58 +9469,48 @@ void VhdlParser::protected_type_declarative_part() {if (!hasError) {
default:
jj_la1[249] = jj_gen;
goto end_label_48;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
protected_type_declarative_item();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
end_label_48: ;
}
-
}
-QCString VhdlParser::context_ref() {QCString s;if (!hasError) {
-
+QCString VhdlParser::context_ref() {QCString s;
+ if (!hasError) {
jj_consume_token(CONTEXT_T);
}
if (!hasError) {
-
s = identifier_list();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
return "context "+s ;
assert(false);
}
-void VhdlParser::context_declaration() {QCString s,s1;if (!hasError) {
-
+void VhdlParser::context_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(CONTEXT_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
parse_sec=CONTEXT_SEC;
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONTEXT_T:
@@ -11104,26 +9522,22 @@ parse_sec=CONTEXT_SEC;
default:
jj_la1[250] = jj_gen;
goto end_label_49;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = libustcont_stats();
}
-
}
end_label_49: ;
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONTEXT_T:{if (!hasError) {
-
+ case CONTEXT_T:{
+ if (!hasError) {
jj_consume_token(CONTEXT_T);
}
-
break;
}
default:
@@ -11132,14 +9546,12 @@ parse_sec=CONTEXT_SEC;
}
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -11148,10 +9560,8 @@ parse_sec=CONTEXT_SEC;
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
parse_sec=0;
addVhdlType(s.data(),getLine(LIBRARY_T),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,"context",s1.data(),Public);
}
@@ -11159,37 +9569,31 @@ parse_sec=0;
QCString VhdlParser::libustcont_stats() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{if (!hasError) {
-
+ case USE_T:{
+ if (!hasError) {
s = use_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case LIBRARY_T:{if (!hasError) {
-
+ case LIBRARY_T:{
+ if (!hasError) {
s = library_clause();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case CONTEXT_T:{if (!hasError) {
-
+ case CONTEXT_T:{
+ if (!hasError) {
s = context_ref();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -11201,38 +9605,31 @@ assert(false);
}
-void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
-
+void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(NEW_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s2 = signature();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
-
break;
}
default:
@@ -11241,43 +9638,35 @@ void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;if (!hasE
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString q=" is new "+s1+s2;
addVhdlType(s.data(),getLine(PACKAGE_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"package",q.data(),Public);
}
-QCString VhdlParser::interface_package_declaration() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::interface_package_declaration() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(NEW_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
-
break;
}
default:
@@ -11285,45 +9674,37 @@ QCString VhdlParser::interface_package_declaration() {QCString s,s1;if (!hasErro
;
}
}
-
current->name=s;
return "package "+s+" is new "+s1;
assert(false);
}
-QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
jj_consume_token(IS_T);
}
if (!hasError) {
-
jj_consume_token(NEW_T);
}
if (!hasError) {
-
s1 = name();
}
if (!hasError) {
-
s2 = signature();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{if (!hasError) {
-
+ case GENERIC_T:{
+ if (!hasError) {
gen_assoc_list();
}
-
break;
}
default:
@@ -11332,10 +9713,8 @@ QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;if
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
QCString q= " is new "+s1+s2;
addVhdlType(s.data(),getLine(FUNCTION_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"function ",q.data(),Public);
return q;
@@ -11343,141 +9722,113 @@ assert(false);
}
-void VhdlParser::gen_assoc_list() {if (!hasError) {
-
+void VhdlParser::gen_assoc_list() {
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(MAP_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
association_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
-void VhdlParser::gen_interface_list() {if (!hasError) {
-
+void VhdlParser::gen_interface_list() {
+ if (!hasError) {
jj_consume_token(GENERIC_T);
}
if (!hasError) {
-
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
//int u=s_str.iLine;
parse_sec=GEN_SEC;
}
if (!hasError) {
-
interface_list();
}
if (!hasError) {
-
// QCString vo=$3;
parse_sec=0;
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
}
-void VhdlParser::case_scheme() {if (!hasError) {
-
+void VhdlParser::case_scheme() {
+ if (!hasError) {
jj_consume_token(CASE_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
when_stats();
}
if (!hasError) {
-
- if (jj_2_106(3)) {if (!hasError) {
-
+ if (jj_2_106(3)) {
+ if (!hasError) {
ttend();
}
-
} else {
;
}
}
if (!hasError) {
-
jj_consume_token(END_T);
}
if (!hasError) {
-
jj_consume_token(GENERATE_T);
}
if (!hasError) {
-
generate_statement_body();
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::when_stats() {if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+void VhdlParser::when_stats() {
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
- if (jj_2_107(2)) {if (!hasError) {
-
+ if (jj_2_107(2)) {
+ if (!hasError) {
label();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
-
} else {
;
}
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
jj_consume_token(ARROW_T);
}
if (!hasError) {
-
generate_statement_body();
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case WHEN_T:{
;
@@ -11490,23 +9841,20 @@ void VhdlParser::when_stats() {if (!hasError) {
}
end_label_50: ;
}
-
}
-void VhdlParser::ttend() {if (!hasError) {
-
+void VhdlParser::ttend() {
+ if (!hasError) {
jj_consume_token(END_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
identifier();
}
-
break;
}
default:
@@ -11515,27 +9863,23 @@ void VhdlParser::ttend() {if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::generate_statement_body() {if (!hasError) {
-
+void VhdlParser::generate_statement_body() {
+ if (!hasError) {
jj_consume_token(BEGIN_T);
}
if (!hasError) {
-
generate_statement_body();
}
-
}
-void VhdlParser::generate_statement_body1() {if (!hasError) {
-
+void VhdlParser::generate_statement_body1() {
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
case ATTRIBUTE_T:
@@ -11555,26 +9899,23 @@ void VhdlParser::generate_statement_body1() {if (!hasError) {
case SUBTYPE_T:
case TYPE_T:
case USE_T:
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
while (!hasError) {
if (jj_2_108(2147483647)) {
;
} else {
goto end_label_51;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
block_declarative_item();
}
-
}
end_label_51: ;
}
if (!hasError) {
-
jj_consume_token(BEGIN_T);
}
-
break;
}
default:
@@ -11583,7 +9924,6 @@ void VhdlParser::generate_statement_body1() {if (!hasError) {
}
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -11603,43 +9943,35 @@ void VhdlParser::generate_statement_body1() {if (!hasError) {
default:
jj_la1[260] = jj_gen;
goto end_label_52;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
concurrent_statement();
}
-
}
end_label_52: ;
}
-
}
-QCString VhdlParser::external_name() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::external_name() {QCString s,s1,s2;
+ if (!hasError) {
jj_consume_token(SLSL_T);
}
if (!hasError) {
-
s = sig_stat();
}
if (!hasError) {
-
s1 = external_pathname();
}
if (!hasError) {
-
jj_consume_token(COLON_T);
}
if (!hasError) {
-
s2 = subtype_indication();
}
if (!hasError) {
-
jj_consume_token(RSRS_T);
}
-
QCString t="<<"+s;
QCString t1=s1+":"+s2+">>";
return s+s1;
@@ -11649,37 +9981,31 @@ assert(false);
QCString VhdlParser::sig_stat() {Token *t;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:{if (!hasError) {
-
+ case CONSTANT_T:{
+ if (!hasError) {
t = jj_consume_token(CONSTANT_T);
}
if (!hasError) {
-
return t->image.data();
}
-
break;
}
- case SIGNAL_T:{if (!hasError) {
-
+ case SIGNAL_T:{
+ if (!hasError) {
t = jj_consume_token(SIGNAL_T);
}
if (!hasError) {
-
return t->image.data();
}
-
break;
}
- case VARIABLE_T:{if (!hasError) {
-
+ case VARIABLE_T:{
+ if (!hasError) {
t = jj_consume_token(VARIABLE_T);
}
if (!hasError) {
-
return t->image.data();
}
-
break;
}
default:
@@ -11693,37 +10019,31 @@ assert(false);
QCString VhdlParser::external_pathname() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOT_T:{if (!hasError) {
-
+ case DOT_T:{
+ if (!hasError) {
s = absolute_pathname();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case NEG_T:{if (!hasError) {
-
+ case NEG_T:{
+ if (!hasError) {
s = relative_pathname();
}
if (!hasError) {
-
return s;
}
-
break;
}
- case AT_T:{if (!hasError) {
-
+ case AT_T:{
+ if (!hasError) {
s = package_path_name();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -11736,38 +10056,31 @@ assert(false);
QCString VhdlParser::absolute_pathname() {QCString s,s1;
- if (jj_2_109(2147483647)) {if (!hasError) {
-
+ if (jj_2_109(2147483647)) {
+ if (!hasError) {
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s = pathname_element_list();
}
if (!hasError) {
-
s1 = identifier();
}
if (!hasError) {
-
return "."+s+s1;
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOT_T:{if (!hasError) {
-
+ case DOT_T:{
+ if (!hasError) {
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
return "."+s;
}
-
break;
}
default:
@@ -11780,46 +10093,39 @@ assert(false);
}
-QCString VhdlParser::relative_pathname() {QCString s,s1,s2;if (!hasError) {
-
+QCString VhdlParser::relative_pathname() {QCString s,s1,s2;
+ if (!hasError) {
s = neg_list();
}
if (!hasError) {
-
- if (jj_2_110(2147483647)) {if (!hasError) {
-
+ if (jj_2_110(2147483647)) {
+ if (!hasError) {
s1 = pathname_element_list();
}
-
} else {
;
}
}
if (!hasError) {
-
s2 = identifier();
}
-
return s+s1+s2;
assert(false);
}
-QCString VhdlParser::neg_list() {QCString s;if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+QCString VhdlParser::neg_list() {QCString s;
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
jj_consume_token(NEG_T);
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s+="^.";
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case NEG_T:{
;
@@ -11832,32 +10138,27 @@ s+="^.";
}
end_label_53: ;
}
-
return s;
assert(false);
}
-QCString VhdlParser::pathname_element() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::pathname_element() {QCString s,s1;
+ if (!hasError) {
s = identifier();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = expression();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
@@ -11865,7 +10166,6 @@ QCString VhdlParser::pathname_element() {QCString s,s1;if (!hasError) {
;
}
}
-
if(!s1.isEmpty())
return s+"("+s1+")";
@@ -11874,81 +10174,69 @@ assert(false);
}
-QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;if (!hasError) {
+QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;
+ if (!hasError) {
if (!hasError) {
-
s = pathname_element();
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
-
}
if (!hasError) {
-
s+=".";
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_111(2147483647)) {
;
} else {
goto end_label_54;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
s1 = pathname_element();
}
if (!hasError) {
-
jj_consume_token(DOT_T);
}
if (!hasError) {
-
s2+=s1;s2+=".";
}
-
}
end_label_54: ;
}
-
return s+s2;
assert(false);
}
-QCString VhdlParser::package_path_name() {QCString s;if (!hasError) {
-
+QCString VhdlParser::package_path_name() {QCString s;
+ if (!hasError) {
jj_consume_token(AT_T);
}
if (!hasError) {
-
s = name();
}
-
return "@"+s;
assert(false);
}
void VhdlParser::conditional_signal_assignment_wave() {
- if (jj_2_112(2147483647)) {if (!hasError) {
-
+ if (jj_2_112(2147483647)) {
+ if (!hasError) {
conditional_force_assignment();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LPAREN_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
conditional_waveform_assignment();
}
-
break;
}
default:
@@ -11960,24 +10248,21 @@ void VhdlParser::conditional_signal_assignment_wave() {
}
-void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
-
+void VhdlParser::conditional_waveform_assignment() {
+ if (!hasError) {
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
delay_mechanism();
}
-
break;
}
default:
@@ -11986,25 +10271,20 @@ void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
waveform_element();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ELSE_T:{if (!hasError) {
-
+ case ELSE_T:{
+ if (!hasError) {
else_wave_list();
}
-
break;
}
default:
@@ -12013,33 +10293,27 @@ void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
-void VhdlParser::else_wave_list() {if (!hasError) {
-
+void VhdlParser::else_wave_list() {
+ if (!hasError) {
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
expression();
}
-
break;
}
default:
@@ -12047,31 +10321,26 @@ void VhdlParser::else_wave_list() {if (!hasError) {
;
}
}
-
}
-void VhdlParser::conditional_force_assignment() {if (!hasError) {
-
+void VhdlParser::conditional_force_assignment() {
+ if (!hasError) {
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
jj_consume_token(FORCE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IN_T:
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
inout_stat();
}
-
break;
}
default:
@@ -12080,15 +10349,12 @@ void VhdlParser::conditional_force_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
case NEW_T:
@@ -12105,15 +10371,13 @@ void VhdlParser::conditional_force_assignment() {if (!hasError) {
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{if (!hasError) {
-
+ case BIT_STRING_LITERAL:{
+ if (!hasError) {
expression();
}
if (!hasError) {
-
else_stat();
}
-
break;
}
default:
@@ -12122,26 +10386,22 @@ void VhdlParser::conditional_force_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
void VhdlParser::selected_signal_assignment_wave() {
- if (jj_2_113(2147483647)) {if (!hasError) {
-
+ if (jj_2_113(2147483647)) {
+ if (!hasError) {
selected_force_assignment();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WITH_T:{if (!hasError) {
-
+ case WITH_T:{
+ if (!hasError) {
selected_waveform_assignment();
}
-
break;
}
default:
@@ -12153,26 +10413,22 @@ void VhdlParser::selected_signal_assignment_wave() {
}
-void VhdlParser::selected_variable_assignment() {if (!hasError) {
-
+void VhdlParser::selected_variable_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{if (!hasError) {
-
+ case Q_T:{
+ if (!hasError) {
jj_consume_token(Q_T);
}
-
break;
}
default:
@@ -12181,37 +10437,31 @@ void VhdlParser::selected_variable_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
select_name();
}
if (!hasError) {
-
jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
-
sel_var_list();
}
-
}
void VhdlParser::select_name() {
- if (jj_2_114(2147483647)) {if (!hasError) {
-
+ if (jj_2_114(2147483647)) {
+ if (!hasError) {
aggregate();
}
-
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
name();
}
-
break;
}
default:
@@ -12223,26 +10473,22 @@ void VhdlParser::select_name() {
}
-void VhdlParser::selected_waveform_assignment() {if (!hasError) {
-
+void VhdlParser::selected_waveform_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{if (!hasError) {
-
+ case Q_T:{
+ if (!hasError) {
jj_consume_token(Q_T);
}
-
break;
}
default:
@@ -12251,23 +10497,19 @@ void VhdlParser::selected_waveform_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{if (!hasError) {
-
+ case TRANSPORT_T:{
+ if (!hasError) {
delay_mechanism();
}
-
break;
}
default:
@@ -12276,33 +10518,27 @@ void VhdlParser::selected_waveform_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
sel_wave_list();
}
-
}
-void VhdlParser::selected_force_assignment() {if (!hasError) {
-
+void VhdlParser::selected_force_assignment() {
+ if (!hasError) {
jj_consume_token(WITH_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(SELECT_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{if (!hasError) {
-
+ case Q_T:{
+ if (!hasError) {
jj_consume_token(Q_T);
}
-
break;
}
default:
@@ -12311,26 +10547,21 @@ void VhdlParser::selected_force_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
target();
}
if (!hasError) {
-
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
-
jj_consume_token(FORCE_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IN_T:
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
inout_stat();
}
-
break;
}
default:
@@ -12339,41 +10570,34 @@ void VhdlParser::selected_force_assignment() {if (!hasError) {
}
}
if (!hasError) {
-
sel_var_list();
}
-
}
-void VhdlParser::sel_var_list() {if (!hasError) {
+void VhdlParser::sel_var_list() {
+ if (!hasError) {
if (!hasError) {
-
expression();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMMA_T:{if (!hasError) {
-
+ case COMMA_T:{
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
-
break;
}
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -12382,42 +10606,35 @@ void VhdlParser::sel_var_list() {if (!hasError) {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
}
if (!hasError) {
-
while (!hasError) {
if (jj_2_115(2147483647)) {
;
} else {
goto end_label_55;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
expression();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMMA_T:{if (!hasError) {
-
+ case COMMA_T:{
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
-
break;
}
- case SEMI_T:{if (!hasError) {
-
+ case SEMI_T:{
+ if (!hasError) {
jj_consume_token(SEMI_T);
}
-
break;
}
default:
@@ -12426,28 +10643,23 @@ void VhdlParser::sel_var_list() {if (!hasError) {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
}
end_label_55: ;
}
-
}
-void VhdlParser::sel_wave_list() {if (!hasError) {
-
+void VhdlParser::sel_wave_list() {
+ if (!hasError) {
waveform_element();
}
if (!hasError) {
-
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
choices();
}
if (!hasError) {
-
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -12457,40 +10669,34 @@ void VhdlParser::sel_wave_list() {if (!hasError) {
default:
jj_la1[281] = jj_gen;
goto end_label_56;
- }if (!hasError) {
-
+ }
+ if (!hasError) {
jj_consume_token(COMMA_T);
}
if (!hasError) {
-
sel_wave_list();
}
-
}
end_label_56: ;
}
if (!hasError) {
-
jj_consume_token(SEMI_T);
}
-
}
void VhdlParser::inout_stat() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IN_T:{if (!hasError) {
-
+ case IN_T:{
+ if (!hasError) {
jj_consume_token(IN_T);
}
-
break;
}
- case OUT_T:{if (!hasError) {
-
+ case OUT_T:{
+ if (!hasError) {
jj_consume_token(OUT_T);
}
-
break;
}
default:
@@ -12501,28 +10707,24 @@ void VhdlParser::inout_stat() {
}
-void VhdlParser::else_stat() {if (!hasError) {
-
- while (!hasError) {if (!hasError) {
-
+void VhdlParser::else_stat() {
+ if (!hasError) {
+ while (!hasError) {
+ if (!hasError) {
jj_consume_token(ELSE_T);
}
if (!hasError) {
-
expression();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{if (!hasError) {
-
+ case WHEN_T:{
+ if (!hasError) {
jj_consume_token(WHEN_T);
}
if (!hasError) {
-
expression();
}
-
break;
}
default:
@@ -12530,7 +10732,6 @@ void VhdlParser::else_stat() {if (!hasError) {
;
}
}
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ELSE_T:{
;
@@ -12543,34 +10744,29 @@ void VhdlParser::else_stat() {if (!hasError) {
}
end_label_57: ;
}
-
}
QCString VhdlParser::interface_subprogram_declaration() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROCEDURE_T:{if (!hasError) {
-
+ case PROCEDURE_T:{
+ if (!hasError) {
s = iproc();
}
if (!hasError) {
-
return s;
}
-
break;
}
case FUNCTION_T:
case IMPURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
s = ifunc();
}
if (!hasError) {
-
return s;
}
-
break;
}
default:
@@ -12582,43 +10778,38 @@ assert(false);
}
-QCString VhdlParser::iproc() {QCString s,s1;if (!hasError) {
-
+QCString VhdlParser::iproc() {QCString s,s1;
+ if (!hasError) {
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
-
s = identifier();
}
if (!hasError) {
-
s1 = param();
}
-
current->name=s;
return "procedure "+s+s1;
assert(false);
}
-QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;if (!hasError) {
-
+QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IMPURE_T:
case PURE_T:{
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
t = jj_consume_token(PURE_T);
}
-
break;
}
- case IMPURE_T:{if (!hasError) {
-
+ case IMPURE_T:{
+ if (!hasError) {
t = jj_consume_token(IMPURE_T);
}
-
break;
}
default:
@@ -12634,48 +10825,39 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *
}
}
if (!hasError) {
-
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
-
s = name();
}
if (!hasError) {
-
s1 = param();
}
if (!hasError) {
-
jj_consume_token(RETURN_T);
}
if (!hasError) {
-
s2 = name();
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{if (!hasError) {
-
+ case IS_T:{
+ if (!hasError) {
t1 = jj_consume_token(IS_T);
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
s3 = identifier();
}
-
break;
}
- case BOX_T:{if (!hasError) {
-
+ case BOX_T:{
+ if (!hasError) {
t2 = jj_consume_token(BOX_T);
}
-
break;
}
default:
@@ -12684,7 +10866,6 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-
break;
}
default:
@@ -12692,7 +10873,6 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *
;
}
}
-
QCString q;
if(t) q=t->image.data();
if(t2) s3="<>";
@@ -12715,14 +10895,13 @@ assert(false);
}
-QCString VhdlParser::param() {QCString s,s1;Token *tok=0;if (!hasError) {
-
+QCString VhdlParser::param() {QCString s,s1;Token *tok=0;
+ if (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PARAMETER_T:{if (!hasError) {
-
+ case PARAMETER_T:{
+ if (!hasError) {
tok = jj_consume_token(PARAMETER_T);
}
-
break;
}
default:
@@ -12731,25 +10910,20 @@ QCString VhdlParser::param() {QCString s,s1;Token *tok=0;if (!hasError) {
}
}
if (!hasError) {
-
param_sec=PARAM_SEC;
}
if (!hasError) {
-
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{if (!hasError) {
-
+ case LPAREN_T:{
+ if (!hasError) {
jj_consume_token(LPAREN_T);
}
if (!hasError) {
-
s1 = interface_list();
}
if (!hasError) {
-
jj_consume_token(RPAREN_T);
}
-
break;
}
default:
@@ -12757,7 +10931,6 @@ param_sec=PARAM_SEC;
;
}
}
-
if(tok)
{
s = tok->image.data();
@@ -12774,21 +10947,19 @@ void VhdlParser::parseInline() {
case POSTPONED_T:
case PROCESS_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{if (!hasError) {
-
+ case EXTENDED_CHARACTER:{
+ if (!hasError) {
process_statement();
}
-
break;
}
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{if (!hasError) {
-
+ case PURE_T:{
+ if (!hasError) {
subprogram_declaration();
}
-
break;
}
default:
@@ -12799,33 +10970,31 @@ void VhdlParser::parseInline() {
}
- VhdlParser::VhdlParser(TokenManager *tm){
- head = NULL;
- errorHandlerCreated = false;
- ReInit(tm);
+ VhdlParser::VhdlParser(TokenManager *tokenManager){
+ head = nullptr;
+ ReInit(tokenManager);
}
VhdlParser::~VhdlParser()
{
clear();
}
-void VhdlParser::ReInit(TokenManager *tm){
+void VhdlParser::ReInit(TokenManager* tokenManager){
clear();
errorHandler = new ErrorHandler();
- errorHandlerCreated = true;
hasError = false;
- token_source = tm;
+ token_source = tokenManager;
head = token = new Token();
token->kind = 0;
- token->next = NULL;
+ token->next = nullptr;
jj_lookingAhead = false;
jj_rescan = false;
jj_done = false;
- jj_scanpos = jj_lastpos = NULL;
+ jj_scanpos = jj_lastpos = nullptr;
jj_gc = 0;
jj_kind = -1;
- trace_indent = 0;
- trace_enabled = false;
+ indent = 0;
+ trace = false;
jj_ntk = -1;
jj_gen = 0;
for (int i = 0; i < 293; i++) jj_la1[i] = -1;
@@ -12844,15 +11013,15 @@ void VhdlParser::clear(){
t = next;
}
}
- if (errorHandlerCreated) {
- delete errorHandler;
+ if (errorHandler) {
+ delete errorHandler, errorHandler = nullptr;
}
}
Token * VhdlParser::jj_consume_token(int kind) {
Token *oldToken;
- if ((oldToken = token)->next != NULL) token = token->next;
+ if ((oldToken = token)->next != nullptr) token = token->next;
else token = token->next = token_source->getNextToken();
jj_ntk = -1;
if (token->kind == kind) {
@@ -12861,8 +11030,8 @@ Token * VhdlParser::jj_consume_token(int kind) {
jj_gc = 0;
for (int i = 0; i < 115; i++) {
JJCalls *c = &jj_2_rtns[i];
- while (c != NULL) {
- if (c->gen < jj_gen) c->first = NULL;
+ while (c != nullptr) {
+ if (c->gen < jj_gen) c->first = nullptr;
c = c->next;
}
}
@@ -12871,7 +11040,7 @@ Token * VhdlParser::jj_consume_token(int kind) {
}
token = oldToken;
jj_kind = kind;
- JAVACC_STRING_TYPE image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
+ JJString image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
errorHandler->handleUnexpectedToken(kind, image.substr(1, image.size() - 2), getToken(1), this);
hasError = true;
return token;
@@ -12881,7 +11050,7 @@ Token * VhdlParser::jj_consume_token(int kind) {
bool VhdlParser::jj_scan_token(int kind){
if (jj_scanpos == jj_lastpos) {
jj_la--;
- if (jj_scanpos->next == NULL) {
+ if (jj_scanpos->next == nullptr) {
jj_lastpos = jj_scanpos = jj_scanpos->next = token_source->getNextToken();
} else {
jj_lastpos = jj_scanpos = jj_scanpos->next;
@@ -12891,8 +11060,8 @@ bool VhdlParser::jj_scan_token(int kind){
}
if (jj_rescan) {
int i = 0; Token *tok = token;
- while (tok != NULL && tok != jj_scanpos) { i++; tok = tok->next; }
- if (tok != NULL) jj_add_error_token(kind, i);
+ while (tok != nullptr && tok != jj_scanpos) { i++; tok = tok->next; }
+ if (tok != nullptr) jj_add_error_token(kind, i);
}
if (jj_scanpos->kind != kind) return true;
if (jj_la == 0 && jj_scanpos == jj_lastpos) { return jj_done = true; }
@@ -12903,7 +11072,7 @@ bool VhdlParser::jj_scan_token(int kind){
/** Get the next Token. */
Token * VhdlParser::getNextToken(){
- if (token->next != NULL) token = token->next;
+ if (token->next != nullptr) token = token->next;
else token = token->next = token_source->getNextToken();
jj_ntk = -1;
jj_gen++;
@@ -12915,7 +11084,7 @@ Token * VhdlParser::getNextToken(){
Token * VhdlParser::getToken(int index){
Token *t = token;
for (int i = 0; i < index; i++) {
- if (t->next != NULL) t = t->next;
+ if (t->next != nullptr) t = t->next;
else t = t->next = token_source->getNextToken();
}
return t;
@@ -12923,7 +11092,7 @@ Token * VhdlParser::getToken(int index){
int VhdlParser::jj_ntk_f(){
- if ((jj_nt=token->next) == NULL)
+ if ((jj_nt=token->next) == nullptr)
return (jj_ntk = (token->next=token_source->getNextToken())->kind);
else
return (jj_ntk = jj_nt->kind);
@@ -12939,6 +11108,11 @@ int VhdlParser::jj_ntk_f(){
}
+ bool VhdlParser::trace_enabled() {
+ return trace;
+ }
+
+
void VhdlParser::enable_tracing() {
}
@@ -13072,7 +11246,7 @@ int VhdlParser::jj_ntk_f(){
}
}
p = p->next;
- } while (p != NULL);
+ } while (p != nullptr);
}
jj_rescan = false;
}
@@ -13081,7 +11255,7 @@ int VhdlParser::jj_ntk_f(){
void VhdlParser::jj_save(int index, int xla){
JJCalls *p = &jj_2_rtns[index];
while (p->gen > jj_gen) {
- if (p->next == NULL) { p = p->next = new JJCalls(); break; }
+ if (p->next == nullptr) { p = p->next = new JJCalls(); break; }
p = p->next;
}
p->gen = jj_gen + xla - jj_la; p->first = token; p->arg = xla;
diff --git a/vhdlparser/VhdlParser.h b/vhdlparser/VhdlParser.h
index 89dfe71..a9f14d1 100644
--- a/vhdlparser/VhdlParser.h
+++ b/vhdlparser/VhdlParser.h
@@ -4,9 +4,7 @@
#include "CharStream.h"
#include "Token.h"
#include "TokenManager.h"
-#include "VhdlParserTokenManager.h"
#include "vhdljjparser.h"
-
#include "VhdlParserConstants.h"
#include "ErrorHandler.h"
namespace vhdl {
@@ -17,566 +15,288 @@ namespace parser {
JJCalls* next;
Token* first;
~JJCalls() { if (next) delete next; }
- JJCalls() { next = NULL; arg = 0; gen = -1; first = NULL; }
+ JJCalls() { next = nullptr; arg = 0; gen = -1; first = nullptr; }
};
class VhdlParser {
- public:
-
+public:
QCString abstract_literal();
-
QCString access_type_definition();
-
QCString actual_designator();
-
QCString actual_parameter_part();
-
QCString actual_part();
-
QCString adding_operator();
-
QCString aggregate();
-
QCString alias_declaration();
-
QCString alias_designator();
-
void allocator();
-
void architecture_body();
-
void architecture_declarative_part();
-
void architecture_statement_part();
-
QCString array_type_definition();
-
QCString assertion();
-
QCString assertion_statement();
-
QCString association_element();
-
QCString association_list();
-
QCString attribute_declaration();
-
QCString attribute_designator();
-
QCString attribute_name();
-
QCString attribute_specification();
-
QCString base();
-
QCString base_specifier();
-
QCString base_unit_declaration();
-
QCString based_integer();
-
QCString based_literal();
-
QCString basic_identifier();
-
void binding_indication();
-
QCString bit_string_literal();
-
QCString bit_value();
-
void block_configuration();
-
void block_declarative_item();
-
void block_declarative_part();
-
void block_header();
-
void block_specification();
-
void block_statement();
-
void block_statement_part();
-
void case_statement();
-
void case_statement_alternative();
-
QCString character_literal();
-
QCString choice();
-
QCString choices();
-
void component_configuration();
-
void component_declaration();
-
void component_instantiation_statement();
-
void component_specification();
-
QCString composite_type_definition();
-
void concurrent_assertion_statement();
-
void concurrent_procedure_call_statement();
-
void concurrent_signal_assignment_statement();
-
void concurrent_statement();
-
QCString condition();
-
QCString condition_clause();
-
void conditional_signal_assignment();
-
void conditional_waveforms();
-
void configuration_declaration();
-
void configuration_declarative_item();
-
void configuration_declarative_part();
-
void configuration_item();
-
void configuration_specification();
-
QCString constant_declaration();
-
QCString constraint_array_definition();
-
void context_clause();
-
QCString constraint();
-
void context_item();
-
QCString decimal_literal();
-
QCString delay_mechanism();
-
void design_file();
-
void design_unit();
-
QCString designator();
-
QCString direction();
-
void disconnection_specification();
-
void guarded_signal_specificatio();
-
QCString discrete_range();
-
QCString element_association();
-
QCString element_declaration();
-
QCString entity_aspect();
-
QCString entity_class();
-
QCString entity_class_entry();
-
QCString entity_class_entry_list();
-
void entity_declaration();
-
void entity_declarative_item();
-
void entity_declarative_part();
-
QCString entity_designator();
-
void entity_header();
-
QCString entity_name_list();
-
QCString entity_specification();
-
void entity_statement();
-
void entity_statement_part();
-
QCString entity_tag();
-
QCString enumeration_literal();
-
QCString enumeration_type_definition();
-
QCString exit_statement();
-
QCString expression();
-
QCString logop();
-
QCString extended_identifier();
-
QCString factor();
-
QCString file_declaration();
-
QCString file_logical_name();
-
QCString file_open_information();
-
QCString file_type_definition();
-
QCString floating_type_definition();
-
QCString formal_designator();
-
QCString formal_parameter_list();
-
QCString formal_part();
-
QCString full_type_declaration();
-
QCString function_call();
-
void generate_statement();
-
void generate_scheme();
-
void generic_clause();
-
QCString generic_list();
-
void generic_map_aspect();
-
QCString group_constituent();
-
QCString group_constituent_list();
-
QCString group_declaration();
-
QCString group_template_declaration();
-
void guarded_signal_specification();
-
QCString identifier();
-
QCString identifier_list();
-
void if_statement();
-
QCString incomplete_type_declaration();
-
QCString index_constraint();
-
QCString index_specification();
-
QCString index_subtype_definition();
-
QCString instantiation_unit();
-
QCString instantiation_list();
-
QCString integer();
-
QCString integer_type_definition();
-
QCString interface_declaration();
-
QCString interface_element();
-
QCString interface_file_declaration();
-
QCString interface_list();
-
QCString interface_variable_declaration();
-
QCString iteration_scheme();
-
QCString label();
-
QCString library_clause();
-
QCString library_unit();
-
QCString literal();
-
QCString logical_operator();
-
QCString loop_statement();
-
QCString miscellaneous_operator();
-
QCString mode();
-
QCString multiplying_operation();
-
QCString name();
-
QCString name_ext1();
-
QCString name_ext();
-
QCString test_att_name();
-
QCString indexed_name();
-
QCString next_statement();
-
QCString null_statement();
-
QCString numeric_literal();
-
QCString object_class();
-
QCString operator_symbol();
-
void options();
-
void package_body();
-
void package_body_declarative_item();
-
void package_body_declarative_part();
-
void package_declaration();
-
void geninter();
-
void package_declarative_item();
-
void package_declarative_part();
-
QCString parameter_specification();
-
QCString physical_literal();
-
QCString physical_type_definition();
-
void port_clause();
-
QCString port_list();
-
void port_map_aspect();
-
QCString primary();
-
void primary_unit();
-
QCString procedure_call();
-
QCString procedure_call_statement();
-
QCString process_declarative_item();
-
QCString process_declarative_part();
-
void process_statement();
-
void process_statement_part();
-
QCString qualified_expression();
-
QCString range();
-
QCString range_constraint();
-
void record_type_definition();
-
QCString relation();
-
QCString relation_operator();
-
QCString report_statement();
-
QCString return_statement();
-
QCString scalar_type_definition();
-
void secondary_unit();
-
QCString secondary_unit_declaration();
-
QCString selected_name();
-
void selected_signal_assignment();
-
void selected_waveforms();
-
QCString sensitivity_clause();
-
QCString sensitivity_list();
-
QCString sequence_of_statement();
-
QCString sequential_statement();
-
QCString shift_expression();
-
QCString shift_operator();
-
QCString sign();
-
QCString signal_assignment_statement();
-
void semi();
-
void signal_declaration();
-
QCString signal_kind();
-
QCString signal_list();
-
QCString signature();
-
QCString simple_expression();
-
void simple_name();
-
QCString slice_name();
-
QCString string_literal();
-
void subprogram_body();
-
void subprogram_declaration();
-
void subprogram_1();
-
QCString subprogram_declarative_item();
-
QCString subprogram_declarative_part();
-
void subprogram_kind();
-
void subprogram_specification();
-
void subprogram_statement_part();
-
QCString subtype_declaration();
-
QCString subtype_indication();
-
QCString suffix();
-
QCString target();
-
QCString term();
-
QCString timeout_clause();
-
QCString type_conversion();
-
QCString type_declaration();
-
QCString type_definition();
-
QCString type_mark();
-
QCString unconstraint_array_definition();
-
QCString use_clause();
-
QCString variable_assignment_statement();
-
QCString variable_declaration();
-
QCString wait_statement();
-
QCString waveform();
-
QCString waveform_element();
-
QCString protected_type_body();
-
void protected_type_body_declarative_item();
-
void protected_type_body_declarative_part();
-
QCString protected_type_declaration();
-
void protected_type_declarative_item();
-
void protected_type_declarative_part();
-
QCString context_ref();
-
void context_declaration();
-
QCString libustcont_stats();
-
void package_instantiation_declaration();
-
QCString interface_package_declaration();
-
QCString subprogram_instantiation_declaration();
-
void gen_assoc_list();
-
void gen_interface_list();
-
void case_scheme();
-
void when_stats();
-
void ttend();
-
void generate_statement_body();
-
void generate_statement_body1();
-
QCString external_name();
-
QCString sig_stat();
-
QCString external_pathname();
-
QCString absolute_pathname();
-
QCString relative_pathname();
-
QCString neg_list();
-
QCString pathname_element();
-
QCString pathname_element_list();
-
QCString package_path_name();
-
void conditional_signal_assignment_wave();
-
void conditional_waveform_assignment();
-
void else_wave_list();
-
void conditional_force_assignment();
-
void selected_signal_assignment_wave();
-
void selected_variable_assignment();
-
void select_name();
-
void selected_waveform_assignment();
-
void selected_force_assignment();
-
void sel_var_list();
-
void sel_wave_list();
-
void inout_stat();
-
void else_stat();
-
QCString interface_subprogram_declaration();
-
QCString iproc();
-
QCString ifunc();
-
QCString param();
-
void parseInline();
inline bool jj_2_1(int xla)
{
@@ -1533,10 +1253,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_441()) {
+ if (!jj_3R_441()) return false;
jj_scanpos = xsp;
if (jj_3R_442()) return true;
- }
return false;
}
@@ -1577,16 +1296,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(116)) {
+ if (!jj_scan_token(116)) return false;
jj_scanpos = xsp;
- if (jj_scan_token(100)) {
+ if (!jj_scan_token(100)) return false;
jj_scanpos = xsp;
- if (jj_scan_token(31)) {
+ if (!jj_scan_token(31)) return false;
jj_scanpos = xsp;
if (jj_scan_token(101)) return true;
- }
- }
- }
return false;
}
@@ -1692,22 +1408,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_39()) {
+ if (!jj_3_39()) return false;
jj_scanpos = xsp;
- if (jj_3R_555()) {
+ if (!jj_3R_555()) return false;
jj_scanpos = xsp;
- if (jj_3_40()) {
+ if (!jj_3_40()) return false;
jj_scanpos = xsp;
- if (jj_3_41()) {
+ if (!jj_3_41()) return false;
jj_scanpos = xsp;
- if (jj_3R_556()) {
+ if (!jj_3R_556()) return false;
jj_scanpos = xsp;
if (jj_3R_557()) return true;
- }
- }
- }
- }
- }
return false;
}
@@ -1760,13 +1471,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_412()) {
+ if (!jj_3R_412()) return false;
jj_scanpos = xsp;
- if (jj_3R_413()) {
+ if (!jj_3R_413()) return false;
jj_scanpos = xsp;
if (jj_3R_414()) return true;
- }
- }
return false;
}
@@ -1803,13 +1512,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_325()) {
+ if (!jj_3R_325()) return false;
jj_scanpos = xsp;
- if (jj_3R_326()) {
+ if (!jj_3R_326()) return false;
jj_scanpos = xsp;
if (jj_3R_327()) return true;
- }
- }
return false;
}
@@ -1836,10 +1543,9 @@ void parseInline();
if (jj_scan_token(IS_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_695()) {
+ if (!jj_3R_695()) return false;
jj_scanpos = xsp;
if (jj_scan_token(145)) return true;
- }
return false;
}
@@ -1871,10 +1577,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_620()) {
+ if (!jj_3R_620()) return false;
jj_scanpos = xsp;
if (jj_3R_621()) return true;
- }
return false;
}
@@ -2018,10 +1723,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_163()) {
+ if (!jj_3R_163()) return false;
jj_scanpos = xsp;
if (jj_3R_164()) return true;
- }
return false;
}
@@ -2041,10 +1745,9 @@ void parseInline();
if (jj_3R_85()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(134)) {
+ if (!jj_scan_token(134)) return false;
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
- }
return false;
}
@@ -2110,10 +1813,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(84)) {
+ if (!jj_scan_token(84)) return false;
jj_scanpos = xsp;
if (jj_scan_token(52)) return true;
- }
return false;
}
@@ -2181,10 +1883,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_606()) {
+ if (!jj_3R_606()) return false;
jj_scanpos = xsp;
if (jj_3R_607()) return true;
- }
return false;
}
@@ -2225,10 +1926,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_193()) {
+ if (!jj_3R_193()) return false;
jj_scanpos = xsp;
if (jj_3R_194()) return true;
- }
return false;
}
@@ -2278,10 +1978,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(53)) {
+ if (!jj_scan_token(53)) return false;
jj_scanpos = xsp;
if (jj_scan_token(75)) return true;
- }
return false;
}
@@ -2305,10 +2004,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_320()) {
+ if (!jj_3R_320()) return false;
jj_scanpos = xsp;
if (jj_3R_321()) return true;
- }
return false;
}
@@ -2343,10 +2041,9 @@ void parseInline();
if (jj_3R_85()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(134)) {
+ if (!jj_scan_token(134)) return false;
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
- }
while (true) {
xsp = jj_scanpos;
if (jj_3R_385()) { jj_scanpos = xsp; break; }
@@ -2473,10 +2170,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_685()) {
+ if (!jj_3R_685()) return false;
jj_scanpos = xsp;
if (jj_3R_686()) return true;
- }
return false;
}
@@ -2528,10 +2224,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_269()) {
+ if (!jj_3R_269()) return false;
jj_scanpos = xsp;
if (jj_3R_270()) return true;
- }
return false;
}
@@ -2657,10 +2352,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_267()) {
+ if (!jj_3R_267()) return false;
jj_scanpos = xsp;
if (jj_3R_268()) return true;
- }
return false;
}
@@ -2676,10 +2370,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_396()) {
+ if (!jj_3R_396()) return false;
jj_scanpos = xsp;
if (jj_3R_397()) return true;
- }
return false;
}
@@ -2885,10 +2578,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_608()) {
+ if (!jj_3R_608()) return false;
jj_scanpos = xsp;
if (jj_3R_609()) return true;
- }
return false;
}
@@ -2897,13 +2589,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_281()) {
+ if (!jj_3R_281()) return false;
jj_scanpos = xsp;
- if (jj_3R_282()) {
+ if (!jj_3R_282()) return false;
jj_scanpos = xsp;
if (jj_3R_283()) return true;
- }
- }
return false;
}
@@ -2936,13 +2626,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_486()) {
+ if (!jj_3R_486()) return false;
jj_scanpos = xsp;
- if (jj_3R_487()) {
+ if (!jj_3R_487()) return false;
jj_scanpos = xsp;
if (jj_3R_488()) return true;
- }
- }
return false;
}
@@ -3030,13 +2718,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_483()) {
+ if (!jj_3R_483()) return false;
jj_scanpos = xsp;
- if (jj_3R_484()) {
+ if (!jj_3R_484()) return false;
jj_scanpos = xsp;
if (jj_3R_485()) return true;
- }
- }
return false;
}
@@ -3052,22 +2738,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_388()) {
+ if (!jj_3R_388()) return false;
jj_scanpos = xsp;
- if (jj_3R_389()) {
+ if (!jj_3R_389()) return false;
jj_scanpos = xsp;
- if (jj_3R_390()) {
+ if (!jj_3R_390()) return false;
jj_scanpos = xsp;
- if (jj_3R_391()) {
+ if (!jj_3R_391()) return false;
jj_scanpos = xsp;
- if (jj_3R_392()) {
+ if (!jj_3R_392()) return false;
jj_scanpos = xsp;
if (jj_3R_393()) return true;
- }
- }
- }
- }
- }
return false;
}
@@ -3273,10 +2954,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_207()) {
+ if (!jj_3R_207()) return false;
jj_scanpos = xsp;
if (jj_3R_208()) return true;
- }
return false;
}
@@ -3311,10 +2991,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_693()) {
+ if (!jj_3R_693()) return false;
jj_scanpos = xsp;
if (jj_3R_694()) return true;
- }
return false;
}
@@ -3417,13 +3096,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_582()) {
+ if (!jj_3R_582()) return false;
jj_scanpos = xsp;
- if (jj_3R_583()) {
+ if (!jj_3R_583()) return false;
jj_scanpos = xsp;
if (jj_3R_584()) return true;
- }
- }
return false;
}
@@ -3586,13 +3263,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_717()) {
+ if (!jj_3R_717()) return false;
jj_scanpos = xsp;
- if (jj_3R_718()) {
+ if (!jj_3R_718()) return false;
jj_scanpos = xsp;
if (jj_3R_719()) return true;
- }
- }
return false;
}
@@ -3887,55 +3562,39 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_585()) {
+ if (!jj_3R_585()) return false;
jj_scanpos = xsp;
- if (jj_3R_586()) {
+ if (!jj_3R_586()) return false;
jj_scanpos = xsp;
- if (jj_3R_587()) {
+ if (!jj_3R_587()) return false;
jj_scanpos = xsp;
- if (jj_3R_588()) {
+ if (!jj_3R_588()) return false;
jj_scanpos = xsp;
- if (jj_3R_589()) {
+ if (!jj_3R_589()) return false;
jj_scanpos = xsp;
- if (jj_3R_590()) {
+ if (!jj_3R_590()) return false;
jj_scanpos = xsp;
- if (jj_3R_591()) {
+ if (!jj_3R_591()) return false;
jj_scanpos = xsp;
- if (jj_3R_592()) {
+ if (!jj_3R_592()) return false;
jj_scanpos = xsp;
- if (jj_3R_593()) {
+ if (!jj_3R_593()) return false;
jj_scanpos = xsp;
- if (jj_3R_594()) {
+ if (!jj_3R_594()) return false;
jj_scanpos = xsp;
- if (jj_3R_595()) {
+ if (!jj_3R_595()) return false;
jj_scanpos = xsp;
- if (jj_3R_596()) {
+ if (!jj_3R_596()) return false;
jj_scanpos = xsp;
- if (jj_3R_597()) {
+ if (!jj_3R_597()) return false;
jj_scanpos = xsp;
- if (jj_3R_598()) {
+ if (!jj_3R_598()) return false;
jj_scanpos = xsp;
- if (jj_3R_599()) {
+ if (!jj_3R_599()) return false;
jj_scanpos = xsp;
- if (jj_3R_600()) {
+ if (!jj_3R_600()) return false;
jj_scanpos = xsp;
if (jj_3R_601()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -3951,43 +3610,31 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_705()) {
+ if (!jj_3R_705()) return false;
jj_scanpos = xsp;
- if (jj_3R_706()) {
+ if (!jj_3R_706()) return false;
jj_scanpos = xsp;
- if (jj_3R_707()) {
+ if (!jj_3R_707()) return false;
jj_scanpos = xsp;
- if (jj_3R_708()) {
+ if (!jj_3R_708()) return false;
jj_scanpos = xsp;
- if (jj_3R_709()) {
+ if (!jj_3R_709()) return false;
jj_scanpos = xsp;
- if (jj_3R_710()) {
+ if (!jj_3R_710()) return false;
jj_scanpos = xsp;
- if (jj_3R_711()) {
+ if (!jj_3R_711()) return false;
jj_scanpos = xsp;
- if (jj_3R_712()) {
+ if (!jj_3R_712()) return false;
jj_scanpos = xsp;
- if (jj_3R_713()) {
+ if (!jj_3R_713()) return false;
jj_scanpos = xsp;
- if (jj_3R_714()) {
+ if (!jj_3R_714()) return false;
jj_scanpos = xsp;
- if (jj_3R_715()) {
+ if (!jj_3R_715()) return false;
jj_scanpos = xsp;
- if (jj_3_105()) {
+ if (!jj_3_105()) return false;
jj_scanpos = xsp;
if (jj_3R_716()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -4029,13 +3676,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_561()) {
+ if (!jj_3R_561()) return false;
jj_scanpos = xsp;
- if (jj_3R_562()) {
+ if (!jj_3R_562()) return false;
jj_scanpos = xsp;
if (jj_3R_563()) return true;
- }
- }
return false;
}
@@ -4156,10 +3801,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_159()) {
+ if (!jj_3R_159()) return false;
jj_scanpos = xsp;
if (jj_3R_160()) return true;
- }
return false;
}
@@ -4168,10 +3812,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_405()) {
+ if (!jj_3R_405()) return false;
jj_scanpos = xsp;
if (jj_3R_406()) return true;
- }
return false;
}
@@ -4252,10 +3895,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_237()) {
+ if (!jj_3R_237()) return false;
jj_scanpos = xsp;
if (jj_3R_238()) return true;
- }
return false;
}
@@ -4293,10 +3935,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_567()) {
+ if (!jj_3R_567()) return false;
jj_scanpos = xsp;
if (jj_3R_568()) return true;
- }
return false;
}
@@ -4355,10 +3996,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_493()) {
+ if (!jj_3R_493()) return false;
jj_scanpos = xsp;
if (jj_3R_494()) return true;
- }
return false;
}
@@ -4396,10 +4036,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_438()) {
+ if (!jj_3R_438()) return false;
jj_scanpos = xsp;
if (jj_3R_439()) return true;
- }
return false;
}
@@ -4435,10 +4074,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_275()) {
+ if (!jj_3R_275()) return false;
jj_scanpos = xsp;
if (jj_3R_276()) return true;
- }
return false;
}
@@ -4565,22 +4203,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_632()) {
+ if (!jj_3R_632()) return false;
jj_scanpos = xsp;
- if (jj_3R_633()) {
+ if (!jj_3R_633()) return false;
jj_scanpos = xsp;
- if (jj_3R_634()) {
+ if (!jj_3R_634()) return false;
jj_scanpos = xsp;
- if (jj_3R_635()) {
+ if (!jj_3R_635()) return false;
jj_scanpos = xsp;
- if (jj_3_102()) {
+ if (!jj_3_102()) return false;
jj_scanpos = xsp;
if (jj_3R_636()) return true;
- }
- }
- }
- }
- }
return false;
}
@@ -4627,10 +4260,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_565()) {
+ if (!jj_3R_565()) return false;
jj_scanpos = xsp;
if (jj_3R_566()) return true;
- }
return false;
}
@@ -4646,10 +4278,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_101()) {
+ if (!jj_3_101()) return false;
jj_scanpos = xsp;
if (jj_3R_457()) return true;
- }
return false;
}
@@ -4710,10 +4341,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_245()) {
+ if (!jj_3R_245()) return false;
jj_scanpos = xsp;
if (jj_3R_246()) return true;
- }
return false;
}
@@ -4796,16 +4426,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_214()) {
+ if (!jj_3R_214()) return false;
jj_scanpos = xsp;
- if (jj_3R_215()) {
+ if (!jj_3R_215()) return false;
jj_scanpos = xsp;
- if (jj_3R_216()) {
+ if (!jj_3R_216()) return false;
jj_scanpos = xsp;
if (jj_3R_217()) return true;
- }
- }
- }
return false;
}
@@ -4973,10 +4600,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(84)) {
+ if (!jj_scan_token(84)) return false;
jj_scanpos = xsp;
if (jj_scan_token(52)) return true;
- }
return false;
}
@@ -5099,10 +4725,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_431()) {
+ if (!jj_3R_431()) return false;
jj_scanpos = xsp;
if (jj_3R_432()) return true;
- }
return false;
}
@@ -5134,31 +4759,23 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_496()) {
+ if (!jj_3R_496()) return false;
jj_scanpos = xsp;
- if (jj_3R_497()) {
+ if (!jj_3R_497()) return false;
jj_scanpos = xsp;
- if (jj_3R_498()) {
+ if (!jj_3R_498()) return false;
jj_scanpos = xsp;
- if (jj_3R_499()) {
+ if (!jj_3R_499()) return false;
jj_scanpos = xsp;
- if (jj_3R_500()) {
+ if (!jj_3R_500()) return false;
jj_scanpos = xsp;
- if (jj_3R_501()) {
+ if (!jj_3R_501()) return false;
jj_scanpos = xsp;
- if (jj_3R_502()) {
+ if (!jj_3R_502()) return false;
jj_scanpos = xsp;
- if (jj_3R_503()) {
+ if (!jj_3R_503()) return false;
jj_scanpos = xsp;
if (jj_scan_token(189)) return true;
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -5174,10 +4791,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(46)) {
+ if (!jj_scan_token(46)) return false;
jj_scanpos = xsp;
if (jj_scan_token(80)) return true;
- }
return false;
}
@@ -5251,10 +4867,9 @@ void parseInline();
xsp = jj_scanpos;
if (jj_scan_token(79)) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_171()) {
+ if (!jj_3R_171()) return false;
jj_scanpos = xsp;
if (jj_3R_172()) return true;
- }
return false;
}
@@ -5417,10 +5032,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_677()) {
+ if (!jj_3R_677()) return false;
jj_scanpos = xsp;
if (jj_3R_678()) return true;
- }
return false;
}
@@ -5429,43 +5043,31 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_663()) {
+ if (!jj_3R_663()) return false;
jj_scanpos = xsp;
- if (jj_3R_664()) {
+ if (!jj_3R_664()) return false;
jj_scanpos = xsp;
- if (jj_3R_665()) {
+ if (!jj_3R_665()) return false;
jj_scanpos = xsp;
- if (jj_3R_666()) {
+ if (!jj_3R_666()) return false;
jj_scanpos = xsp;
- if (jj_3R_667()) {
+ if (!jj_3R_667()) return false;
jj_scanpos = xsp;
- if (jj_3R_668()) {
+ if (!jj_3R_668()) return false;
jj_scanpos = xsp;
- if (jj_3R_669()) {
+ if (!jj_3R_669()) return false;
jj_scanpos = xsp;
- if (jj_3R_670()) {
+ if (!jj_3R_670()) return false;
jj_scanpos = xsp;
- if (jj_3R_671()) {
+ if (!jj_3R_671()) return false;
jj_scanpos = xsp;
- if (jj_3R_672()) {
+ if (!jj_3R_672()) return false;
jj_scanpos = xsp;
- if (jj_3R_673()) {
+ if (!jj_3R_673()) return false;
jj_scanpos = xsp;
- if (jj_3_94()) {
+ if (!jj_3_94()) return false;
jj_scanpos = xsp;
if (jj_3R_674()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -5510,10 +5112,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_433()) {
+ if (!jj_3R_433()) return false;
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
- }
return false;
}
@@ -5560,10 +5161,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_199()) {
+ if (!jj_3R_199()) return false;
jj_scanpos = xsp;
if (jj_3R_200()) return true;
- }
return false;
}
@@ -5797,16 +5397,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_333()) {
+ if (!jj_3R_333()) return false;
jj_scanpos = xsp;
- if (jj_3R_334()) {
+ if (!jj_3R_334()) return false;
jj_scanpos = xsp;
- if (jj_3R_335()) {
+ if (!jj_3R_335()) return false;
jj_scanpos = xsp;
if (jj_3R_336()) return true;
- }
- }
- }
return false;
}
@@ -5905,13 +5502,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_602()) {
+ if (!jj_3R_602()) return false;
jj_scanpos = xsp;
- if (jj_3R_603()) {
+ if (!jj_3R_603()) return false;
jj_scanpos = xsp;
if (jj_3R_604()) return true;
- }
- }
return false;
}
@@ -5958,10 +5553,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_577()) {
+ if (!jj_3R_577()) return false;
jj_scanpos = xsp;
if (jj_3R_578()) return true;
- }
return false;
}
@@ -6118,13 +5712,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_435()) {
+ if (!jj_3R_435()) return false;
jj_scanpos = xsp;
- if (jj_3R_436()) {
+ if (!jj_3R_436()) return false;
jj_scanpos = xsp;
if (jj_3R_437()) return true;
- }
- }
return false;
}
@@ -6187,10 +5779,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_400()) {
+ if (!jj_3R_400()) return false;
jj_scanpos = xsp;
if (jj_3R_401()) return true;
- }
return false;
}
@@ -6297,22 +5888,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_546()) {
+ if (!jj_3R_546()) return false;
jj_scanpos = xsp;
- if (jj_3R_547()) {
+ if (!jj_3R_547()) return false;
jj_scanpos = xsp;
- if (jj_3R_548()) {
+ if (!jj_3R_548()) return false;
jj_scanpos = xsp;
- if (jj_3R_549()) {
+ if (!jj_3R_549()) return false;
jj_scanpos = xsp;
- if (jj_3R_550()) {
+ if (!jj_3R_550()) return false;
jj_scanpos = xsp;
if (jj_3R_551()) return true;
- }
- }
- }
- }
- }
return false;
}
@@ -6525,52 +6111,37 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_285()) {
+ if (!jj_3R_285()) return false;
jj_scanpos = xsp;
- if (jj_3R_286()) {
+ if (!jj_3R_286()) return false;
jj_scanpos = xsp;
- if (jj_3R_287()) {
+ if (!jj_3R_287()) return false;
jj_scanpos = xsp;
- if (jj_3R_288()) {
+ if (!jj_3R_288()) return false;
jj_scanpos = xsp;
- if (jj_3R_289()) {
+ if (!jj_3R_289()) return false;
jj_scanpos = xsp;
- if (jj_3R_290()) {
+ if (!jj_3R_290()) return false;
jj_scanpos = xsp;
- if (jj_3R_291()) {
+ if (!jj_3R_291()) return false;
jj_scanpos = xsp;
- if (jj_3R_292()) {
+ if (!jj_3R_292()) return false;
jj_scanpos = xsp;
- if (jj_3R_293()) {
+ if (!jj_3R_293()) return false;
jj_scanpos = xsp;
- if (jj_3R_294()) {
+ if (!jj_3R_294()) return false;
jj_scanpos = xsp;
- if (jj_3R_295()) {
+ if (!jj_3R_295()) return false;
jj_scanpos = xsp;
- if (jj_3R_296()) {
+ if (!jj_3R_296()) return false;
jj_scanpos = xsp;
- if (jj_3R_297()) {
+ if (!jj_3R_297()) return false;
jj_scanpos = xsp;
- if (jj_3R_298()) {
+ if (!jj_3R_298()) return false;
jj_scanpos = xsp;
- if (jj_3_8()) {
+ if (!jj_3_8()) return false;
jj_scanpos = xsp;
if (jj_3R_299()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -6670,43 +6241,31 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_242()) {
+ if (!jj_3R_242()) return false;
jj_scanpos = xsp;
- if (jj_3_77()) {
+ if (!jj_3_77()) return false;
jj_scanpos = xsp;
- if (jj_3_78()) {
+ if (!jj_3_78()) return false;
jj_scanpos = xsp;
- if (jj_3_79()) {
+ if (!jj_3_79()) return false;
jj_scanpos = xsp;
- if (jj_3R_243()) {
+ if (!jj_3R_243()) return false;
jj_scanpos = xsp;
- if (jj_3_81()) {
+ if (!jj_3_81()) return false;
jj_scanpos = xsp;
- if (jj_3_82()) {
+ if (!jj_3_82()) return false;
jj_scanpos = xsp;
- if (jj_3_83()) {
+ if (!jj_3_83()) return false;
jj_scanpos = xsp;
- if (jj_3_84()) {
+ if (!jj_3_84()) return false;
jj_scanpos = xsp;
- if (jj_3_85()) {
+ if (!jj_3_85()) return false;
jj_scanpos = xsp;
- if (jj_3_86()) {
+ if (!jj_3_86()) return false;
jj_scanpos = xsp;
- if (jj_3_87()) {
+ if (!jj_3_87()) return false;
jj_scanpos = xsp;
if (jj_3R_244()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -6952,10 +6511,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_675()) {
+ if (!jj_3R_675()) return false;
jj_scanpos = xsp;
if (jj_3R_676()) return true;
- }
return false;
}
@@ -6964,10 +6522,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_353()) {
+ if (!jj_3R_353()) return false;
jj_scanpos = xsp;
if (jj_3R_354()) return true;
- }
return false;
}
@@ -7160,22 +6717,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_477()) {
+ if (!jj_3R_477()) return false;
jj_scanpos = xsp;
- if (jj_3R_478()) {
+ if (!jj_3R_478()) return false;
jj_scanpos = xsp;
- if (jj_3R_479()) {
+ if (!jj_3R_479()) return false;
jj_scanpos = xsp;
- if (jj_3R_480()) {
+ if (!jj_3R_480()) return false;
jj_scanpos = xsp;
- if (jj_3R_481()) {
+ if (!jj_3R_481()) return false;
jj_scanpos = xsp;
if (jj_3R_482()) return true;
- }
- }
- }
- }
- }
return false;
}
@@ -7275,10 +6827,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_697()) {
+ if (!jj_3R_697()) return false;
jj_scanpos = xsp;
if (jj_3R_698()) return true;
- }
return false;
}
@@ -7318,10 +6869,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_181()) {
+ if (!jj_3R_181()) return false;
jj_scanpos = xsp;
if (jj_3R_182()) return true;
- }
return false;
}
@@ -7355,10 +6905,9 @@ void parseInline();
if (jj_scan_token(APOSTROPHE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_226()) {
+ if (!jj_3R_226()) return false;
jj_scanpos = xsp;
if (jj_3R_227()) return true;
- }
return false;
}
@@ -7415,10 +6964,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_4()) {
+ if (!jj_3_4()) return false;
jj_scanpos = xsp;
if (jj_3R_575()) return true;
- }
return false;
}
@@ -7456,13 +7004,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_534()) {
+ if (!jj_3R_534()) return false;
jj_scanpos = xsp;
- if (jj_3R_535()) {
+ if (!jj_3R_535()) return false;
jj_scanpos = xsp;
if (jj_3R_536()) return true;
- }
- }
return false;
}
@@ -7472,10 +7018,9 @@ void parseInline();
if (jj_scan_token(LPAREN_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_scan_token(15)) {
+ if (!jj_scan_token(15)) return false;
jj_scanpos = xsp;
if (jj_3R_339()) return true;
- }
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
@@ -7578,13 +7123,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_271()) {
+ if (!jj_3R_271()) return false;
jj_scanpos = xsp;
- if (jj_3R_272()) {
+ if (!jj_3R_272()) return false;
jj_scanpos = xsp;
if (jj_3R_273()) return true;
- }
- }
return false;
}
@@ -7663,13 +7206,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_490()) {
+ if (!jj_3R_490()) return false;
jj_scanpos = xsp;
- if (jj_3R_491()) {
+ if (!jj_3R_491()) return false;
jj_scanpos = xsp;
if (jj_3R_492()) return true;
- }
- }
return false;
}
@@ -7755,40 +7296,29 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_509()) {
+ if (!jj_3R_509()) return false;
jj_scanpos = xsp;
- if (jj_3R_510()) {
+ if (!jj_3R_510()) return false;
jj_scanpos = xsp;
- if (jj_3R_511()) {
+ if (!jj_3R_511()) return false;
jj_scanpos = xsp;
- if (jj_3R_512()) {
+ if (!jj_3R_512()) return false;
jj_scanpos = xsp;
- if (jj_3R_513()) {
+ if (!jj_3R_513()) return false;
jj_scanpos = xsp;
- if (jj_3R_514()) {
+ if (!jj_3R_514()) return false;
jj_scanpos = xsp;
- if (jj_3R_515()) {
+ if (!jj_3R_515()) return false;
jj_scanpos = xsp;
- if (jj_3_69()) {
+ if (!jj_3_69()) return false;
jj_scanpos = xsp;
- if (jj_3R_516()) {
+ if (!jj_3R_516()) return false;
jj_scanpos = xsp;
- if (jj_3R_517()) {
+ if (!jj_3R_517()) return false;
jj_scanpos = xsp;
- if (jj_3_70()) {
+ if (!jj_3_70()) return false;
jj_scanpos = xsp;
if (jj_3R_518()) return true;
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -7853,13 +7383,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_151()) {
+ if (!jj_3R_151()) return false;
jj_scanpos = xsp;
- if (jj_3R_152()) {
+ if (!jj_3R_152()) return false;
jj_scanpos = xsp;
if (jj_3R_153()) return true;
- }
- }
return false;
}
@@ -7921,13 +7449,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_222()) {
+ if (!jj_3R_222()) return false;
jj_scanpos = xsp;
- if (jj_3R_223()) {
+ if (!jj_3R_223()) return false;
jj_scanpos = xsp;
if (jj_3R_224()) return true;
- }
- }
return false;
}
@@ -7964,19 +7490,15 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_201()) {
+ if (!jj_3R_201()) return false;
jj_scanpos = xsp;
- if (jj_3R_202()) {
+ if (!jj_3R_202()) return false;
jj_scanpos = xsp;
- if (jj_3R_203()) {
+ if (!jj_3R_203()) return false;
jj_scanpos = xsp;
- if (jj_3_67()) {
+ if (!jj_3_67()) return false;
jj_scanpos = xsp;
if (jj_3R_204()) return true;
- }
- }
- }
- }
return false;
}
@@ -8087,28 +7609,21 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_449()) {
+ if (!jj_3R_449()) return false;
jj_scanpos = xsp;
- if (jj_3R_450()) {
+ if (!jj_3R_450()) return false;
jj_scanpos = xsp;
- if (jj_3R_451()) {
+ if (!jj_3R_451()) return false;
jj_scanpos = xsp;
- if (jj_3R_452()) {
+ if (!jj_3R_452()) return false;
jj_scanpos = xsp;
- if (jj_3R_453()) {
+ if (!jj_3R_453()) return false;
jj_scanpos = xsp;
- if (jj_3R_454()) {
+ if (!jj_3R_454()) return false;
jj_scanpos = xsp;
- if (jj_3R_455()) {
+ if (!jj_3R_455()) return false;
jj_scanpos = xsp;
if (jj_3R_456()) return true;
- }
- }
- }
- }
- }
- }
- }
return false;
}
@@ -8329,22 +7844,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_641()) {
+ if (!jj_3R_641()) return false;
jj_scanpos = xsp;
- if (jj_3R_642()) {
+ if (!jj_3R_642()) return false;
jj_scanpos = xsp;
- if (jj_3R_643()) {
+ if (!jj_3R_643()) return false;
jj_scanpos = xsp;
- if (jj_3R_644()) {
+ if (!jj_3R_644()) return false;
jj_scanpos = xsp;
- if (jj_3R_645()) {
+ if (!jj_3R_645()) return false;
jj_scanpos = xsp;
if (jj_3R_646()) return true;
- }
- }
- }
- }
- }
return false;
}
@@ -8375,10 +7885,9 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_205()) {
+ if (!jj_3R_205()) return false;
jj_scanpos = xsp;
if (jj_3R_206()) return true;
- }
return false;
}
@@ -8578,16 +8087,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_210()) {
+ if (!jj_3R_210()) return false;
jj_scanpos = xsp;
- if (jj_3R_211()) {
+ if (!jj_3R_211()) return false;
jj_scanpos = xsp;
- if (jj_3R_212()) {
+ if (!jj_3R_212()) return false;
jj_scanpos = xsp;
if (jj_3R_213()) return true;
- }
- }
- }
return false;
}
@@ -8636,13 +8142,11 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_147()) {
+ if (!jj_3R_147()) return false;
jj_scanpos = xsp;
- if (jj_3R_148()) {
+ if (!jj_3R_148()) return false;
jj_scanpos = xsp;
if (jj_3R_149()) return true;
- }
- }
xsp = jj_scanpos;
if (jj_3R_150()) jj_scanpos = xsp;
return false;
@@ -8674,16 +8178,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_277()) {
+ if (!jj_3R_277()) return false;
jj_scanpos = xsp;
- if (jj_3R_278()) {
+ if (!jj_3R_278()) return false;
jj_scanpos = xsp;
- if (jj_3R_279()) {
+ if (!jj_3R_279()) return false;
jj_scanpos = xsp;
if (jj_3R_280()) return true;
- }
- }
- }
return false;
}
@@ -8734,19 +8235,15 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_426()) {
+ if (!jj_3R_426()) return false;
jj_scanpos = xsp;
- if (jj_3R_427()) {
+ if (!jj_3R_427()) return false;
jj_scanpos = xsp;
- if (jj_3R_428()) {
+ if (!jj_3R_428()) return false;
jj_scanpos = xsp;
- if (jj_3R_429()) {
+ if (!jj_3R_429()) return false;
jj_scanpos = xsp;
if (jj_3R_430()) return true;
- }
- }
- }
- }
return false;
}
@@ -8851,30 +8348,32 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_228()) {
+ if (!jj_3R_228()) return false;
jj_scanpos = xsp;
- if (jj_3R_229()) {
+ if (!jj_3R_229()) return false;
jj_scanpos = xsp;
- if (jj_3R_230()) {
+ if (!jj_3R_230()) return false;
jj_scanpos = xsp;
- if (jj_3R_231()) {
+ if (!jj_3R_231()) return false;
jj_scanpos = xsp;
if (jj_3R_232()) return true;
- }
- }
- }
- }
return false;
}
public:
- TokenManager *token_source;
- CharStream *jj_input_stream;
+ void setErrorHandler(ErrorHandler *eh) {
+ if (errorHandler) delete errorHandler;
+ errorHandler = eh;
+ }
+
+ TokenManager *token_source = nullptr;
+ CharStream *jj_input_stream = nullptr;
/** Current token. */
- Token *token;
+ Token *token = nullptr;
/** Next token. */
- Token *jj_nt;
+ Token *jj_nt = nullptr;
+
private:
int jj_ntk;
JJCalls jj_2_rtns[116];
@@ -8887,57 +8386,39 @@ private:
bool jj_semLA;
int jj_gen;
int jj_la1[294];
- ErrorHandler *errorHandler;
- bool errorHandlerCreated;
+ ErrorHandler *errorHandler = nullptr;
+
protected:
bool hasError;
-public:
- void setErrorHandler(ErrorHandler *eh) {
- if (errorHandlerCreated) delete errorHandler;
- errorHandler = eh;
- errorHandlerCreated = false;
- }
+
Token *head;
public:
-
- VhdlParser(TokenManager *tm);
+ VhdlParser(TokenManager *tokenManager);
virtual ~VhdlParser();
-
-void ReInit(TokenManager *tm);
-
+void ReInit(TokenManager* tokenManager);
void clear();
-
Token * jj_consume_token(int kind);
-
bool jj_scan_token(int kind);
-
Token * getNextToken();
-
Token * getToken(int index);
-
int jj_ntk_f();
private:
int jj_kind;
int **jj_expentries;
int *jj_expentry;
-
void jj_add_error_token(int kind, int pos);
protected:
/** Generate ParseException. */
-
virtual void parseError();
private:
- int trace_indent;
- bool trace_enabled;
+ int indent; // trace indentation
+ bool trace = false; // trace enabled if true
public:
-
+ bool trace_enabled();
void enable_tracing();
-
void disable_tracing();
-
void jj_rescan_token();
-
void jj_save(int index, int xla);
typedef unsigned long long uint64;
diff --git a/vhdlparser/VhdlParserConstants.h b/vhdlparser/VhdlParserConstants.h
index 8d4b417..b70ba42 100644
--- a/vhdlparser/VhdlParserConstants.h
+++ b/vhdlparser/VhdlParserConstants.h
@@ -2,7 +2,7 @@
/**
* Token literal values and constants.
- * Generated by org.javacc.parser.OtherFilesGen#start()
+ * Generated by org.javacc.parser.OtherFilesGenCPP#start()
*/
#ifndef VHDLPARSERCONSTANTS_H
#define VHDLPARSERCONSTANTS_H
@@ -387,387 +387,387 @@ const int VHDL2008TOOLDIR = 189;
const int DEFAULT = 0;
/** Literal token values. */
- static JAVACC_CHAR_TYPE tokenImage_arr_0[] =
+ static const JJChar tokenImage_arr_0[] =
{0x3c, 0x45, 0x4f, 0x46, 0x3e, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_1[] =
+ static const JJChar tokenImage_arr_1[] =
{0x22, 0x20, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_2[] =
+ static const JJChar tokenImage_arr_2[] =
{0x22, 0x9, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_3[] =
+ static const JJChar tokenImage_arr_3[] =
{0x22, 0xa, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_4[] =
+ static const JJChar tokenImage_arr_4[] =
{0x22, 0xd, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_5[] =
+ static const JJChar tokenImage_arr_5[] =
{0x22, 0x3c, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_6[] =
+ static const JJChar tokenImage_arr_6[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_7[] =
+ static const JJChar tokenImage_arr_7[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x46, 0x4c, 0x4f, 0x57, 0x43, 0x48, 0x41, 0x52, 0x54, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_8[] =
+ static const JJChar tokenImage_arr_8[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_9[] =
+ static const JJChar tokenImage_arr_9[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x5f, 0x32, 0x30, 0x30, 0x38, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_10[] =
+ static const JJChar tokenImage_arr_10[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x32, 0x30, 0x30, 0x38, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_11[] =
+ static const JJChar tokenImage_arr_11[] =
{0x22, 0x61, 0x62, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_12[] =
+ static const JJChar tokenImage_arr_12[] =
{0x22, 0x61, 0x63, 0x63, 0x65, 0x73, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_13[] =
+ static const JJChar tokenImage_arr_13[] =
{0x22, 0x61, 0x66, 0x74, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_14[] =
+ static const JJChar tokenImage_arr_14[] =
{0x22, 0x61, 0x6c, 0x69, 0x61, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_15[] =
+ static const JJChar tokenImage_arr_15[] =
{0x22, 0x61, 0x6c, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_16[] =
+ static const JJChar tokenImage_arr_16[] =
{0x22, 0x61, 0x6e, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_17[] =
+ static const JJChar tokenImage_arr_17[] =
{0x22, 0x61, 0x72, 0x63, 0x68, 0x69, 0x74, 0x65, 0x63, 0x74, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_18[] =
+ static const JJChar tokenImage_arr_18[] =
{0x22, 0x61, 0x72, 0x72, 0x61, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_19[] =
+ static const JJChar tokenImage_arr_19[] =
{0x22, 0x61, 0x73, 0x73, 0x65, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_20[] =
+ static const JJChar tokenImage_arr_20[] =
{0x22, 0x61, 0x73, 0x73, 0x75, 0x6d, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_21[] =
+ static const JJChar tokenImage_arr_21[] =
{0x22, 0x61, 0x73, 0x73, 0x75, 0x6d, 0x65, 0x5f, 0x67, 0x75, 0x61, 0x72, 0x65, 0x6e, 0x74, 0x65, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_22[] =
+ static const JJChar tokenImage_arr_22[] =
{0x22, 0x61, 0x74, 0x74, 0x72, 0x69, 0x62, 0x75, 0x74, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_23[] =
+ static const JJChar tokenImage_arr_23[] =
{0x22, 0x62, 0x65, 0x67, 0x69, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_24[] =
+ static const JJChar tokenImage_arr_24[] =
{0x22, 0x62, 0x6c, 0x6f, 0x63, 0x6b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_25[] =
+ static const JJChar tokenImage_arr_25[] =
{0x22, 0x62, 0x6f, 0x64, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_26[] =
+ static const JJChar tokenImage_arr_26[] =
{0x22, 0x62, 0x75, 0x66, 0x66, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_27[] =
+ static const JJChar tokenImage_arr_27[] =
{0x22, 0x62, 0x75, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_28[] =
+ static const JJChar tokenImage_arr_28[] =
{0x22, 0x63, 0x6f, 0x6d, 0x70, 0x6f, 0x6e, 0x65, 0x6e, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_29[] =
+ static const JJChar tokenImage_arr_29[] =
{0x22, 0x63, 0x61, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_30[] =
+ static const JJChar tokenImage_arr_30[] =
{0x22, 0x63, 0x6f, 0x6e, 0x66, 0x69, 0x67, 0x75, 0x72, 0x61, 0x74, 0x69, 0x6f, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_31[] =
+ static const JJChar tokenImage_arr_31[] =
{0x22, 0x63, 0x6f, 0x6e, 0x73, 0x74, 0x61, 0x6e, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_32[] =
+ static const JJChar tokenImage_arr_32[] =
{0x22, 0x63, 0x6f, 0x6e, 0x74, 0x65, 0x78, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_33[] =
+ static const JJChar tokenImage_arr_33[] =
{0x22, 0x63, 0x6f, 0x76, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_34[] =
+ static const JJChar tokenImage_arr_34[] =
{0x22, 0x64, 0x65, 0x66, 0x61, 0x75, 0x6c, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_35[] =
+ static const JJChar tokenImage_arr_35[] =
{0x22, 0x64, 0x69, 0x73, 0x63, 0x6f, 0x6e, 0x6e, 0x65, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_36[] =
+ static const JJChar tokenImage_arr_36[] =
{0x22, 0x64, 0x6f, 0x77, 0x6e, 0x74, 0x6f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_37[] =
+ static const JJChar tokenImage_arr_37[] =
{0x22, 0x65, 0x6c, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_38[] =
+ static const JJChar tokenImage_arr_38[] =
{0x22, 0x65, 0x6c, 0x73, 0x69, 0x66, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_39[] =
+ static const JJChar tokenImage_arr_39[] =
{0x22, 0x65, 0x6e, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_40[] =
+ static const JJChar tokenImage_arr_40[] =
{0x22, 0x65, 0x6e, 0x74, 0x69, 0x74, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_41[] =
+ static const JJChar tokenImage_arr_41[] =
{0x22, 0x65, 0x78, 0x69, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_42[] =
+ static const JJChar tokenImage_arr_42[] =
{0x22, 0x66, 0x61, 0x69, 0x72, 0x6e, 0x65, 0x73, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_43[] =
+ static const JJChar tokenImage_arr_43[] =
{0x22, 0x66, 0x69, 0x6c, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_44[] =
+ static const JJChar tokenImage_arr_44[] =
{0x22, 0x66, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_45[] =
+ static const JJChar tokenImage_arr_45[] =
{0x22, 0x66, 0x6f, 0x72, 0x63, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_46[] =
+ static const JJChar tokenImage_arr_46[] =
{0x22, 0x66, 0x75, 0x6e, 0x63, 0x74, 0x69, 0x6f, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_47[] =
+ static const JJChar tokenImage_arr_47[] =
{0x22, 0x67, 0x65, 0x6e, 0x65, 0x72, 0x61, 0x74, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_48[] =
+ static const JJChar tokenImage_arr_48[] =
{0x22, 0x67, 0x65, 0x6e, 0x65, 0x72, 0x69, 0x63, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_49[] =
+ static const JJChar tokenImage_arr_49[] =
{0x22, 0x67, 0x72, 0x6f, 0x75, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_50[] =
+ static const JJChar tokenImage_arr_50[] =
{0x22, 0x67, 0x75, 0x61, 0x72, 0x64, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_51[] =
+ static const JJChar tokenImage_arr_51[] =
{0x22, 0x69, 0x66, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_52[] =
+ static const JJChar tokenImage_arr_52[] =
{0x22, 0x69, 0x6d, 0x70, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_53[] =
+ static const JJChar tokenImage_arr_53[] =
{0x22, 0x69, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_54[] =
+ static const JJChar tokenImage_arr_54[] =
{0x22, 0x69, 0x6e, 0x65, 0x72, 0x74, 0x69, 0x61, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_55[] =
+ static const JJChar tokenImage_arr_55[] =
{0x22, 0x69, 0x6e, 0x6f, 0x75, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_56[] =
+ static const JJChar tokenImage_arr_56[] =
{0x22, 0x69, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_57[] =
+ static const JJChar tokenImage_arr_57[] =
{0x22, 0x6c, 0x61, 0x62, 0x65, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_58[] =
+ static const JJChar tokenImage_arr_58[] =
{0x22, 0x6c, 0x69, 0x62, 0x72, 0x61, 0x72, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_59[] =
+ static const JJChar tokenImage_arr_59[] =
{0x22, 0x6c, 0x69, 0x6e, 0x6b, 0x61, 0x67, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_60[] =
+ static const JJChar tokenImage_arr_60[] =
{0x22, 0x6c, 0x69, 0x74, 0x65, 0x72, 0x61, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_61[] =
+ static const JJChar tokenImage_arr_61[] =
{0x22, 0x6c, 0x6f, 0x6f, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_62[] =
+ static const JJChar tokenImage_arr_62[] =
{0x22, 0x6d, 0x61, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_63[] =
+ static const JJChar tokenImage_arr_63[] =
{0x22, 0x6d, 0x6f, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_64[] =
+ static const JJChar tokenImage_arr_64[] =
{0x22, 0x6e, 0x61, 0x6e, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_65[] =
+ static const JJChar tokenImage_arr_65[] =
{0x22, 0x6e, 0x65, 0x77, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_66[] =
+ static const JJChar tokenImage_arr_66[] =
{0x22, 0x6e, 0x65, 0x78, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_67[] =
+ static const JJChar tokenImage_arr_67[] =
{0x22, 0x6e, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_68[] =
+ static const JJChar tokenImage_arr_68[] =
{0x22, 0x6e, 0x6f, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_69[] =
+ static const JJChar tokenImage_arr_69[] =
{0x22, 0x6e, 0x75, 0x6c, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_70[] =
+ static const JJChar tokenImage_arr_70[] =
{0x22, 0x6f, 0x66, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_71[] =
+ static const JJChar tokenImage_arr_71[] =
{0x22, 0x6f, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_72[] =
+ static const JJChar tokenImage_arr_72[] =
{0x22, 0x6f, 0x70, 0x65, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_73[] =
+ static const JJChar tokenImage_arr_73[] =
{0x22, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_74[] =
+ static const JJChar tokenImage_arr_74[] =
{0x22, 0x6f, 0x74, 0x68, 0x65, 0x72, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_75[] =
+ static const JJChar tokenImage_arr_75[] =
{0x22, 0x6f, 0x75, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_76[] =
+ static const JJChar tokenImage_arr_76[] =
{0x22, 0x70, 0x61, 0x63, 0x6b, 0x61, 0x67, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_77[] =
+ static const JJChar tokenImage_arr_77[] =
{0x22, 0x70, 0x61, 0x72, 0x61, 0x6d, 0x65, 0x74, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_78[] =
+ static const JJChar tokenImage_arr_78[] =
{0x22, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_79[] =
+ static const JJChar tokenImage_arr_79[] =
{0x22, 0x70, 0x6f, 0x73, 0x74, 0x70, 0x6f, 0x6e, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_80[] =
+ static const JJChar tokenImage_arr_80[] =
{0x22, 0x70, 0x72, 0x6f, 0x63, 0x65, 0x64, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_81[] =
+ static const JJChar tokenImage_arr_81[] =
{0x22, 0x70, 0x72, 0x6f, 0x63, 0x65, 0x73, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_82[] =
+ static const JJChar tokenImage_arr_82[] =
{0x22, 0x70, 0x72, 0x6f, 0x70, 0x65, 0x72, 0x74, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_83[] =
+ static const JJChar tokenImage_arr_83[] =
{0x22, 0x70, 0x72, 0x6f, 0x74, 0x65, 0x63, 0x74, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_84[] =
+ static const JJChar tokenImage_arr_84[] =
{0x22, 0x70, 0x75, 0x72, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_85[] =
+ static const JJChar tokenImage_arr_85[] =
{0x22, 0x72, 0x61, 0x6e, 0x67, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_86[] =
+ static const JJChar tokenImage_arr_86[] =
{0x22, 0x72, 0x65, 0x63, 0x6f, 0x72, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_87[] =
+ static const JJChar tokenImage_arr_87[] =
{0x22, 0x72, 0x65, 0x67, 0x69, 0x73, 0x74, 0x65, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_88[] =
+ static const JJChar tokenImage_arr_88[] =
{0x22, 0x72, 0x65, 0x6a, 0x65, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_89[] =
+ static const JJChar tokenImage_arr_89[] =
{0x22, 0x72, 0x65, 0x6c, 0x65, 0x61, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_90[] =
+ static const JJChar tokenImage_arr_90[] =
{0x22, 0x72, 0x65, 0x73, 0x74, 0x72, 0x69, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_91[] =
+ static const JJChar tokenImage_arr_91[] =
{0x22, 0x72, 0x65, 0x73, 0x74, 0x72, 0x69, 0x63, 0x74, 0x5f, 0x67, 0x75, 0x61, 0x72, 0x61, 0x6e, 0x74, 0x65, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_92[] =
+ static const JJChar tokenImage_arr_92[] =
{0x22, 0x72, 0x65, 0x6d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_93[] =
+ static const JJChar tokenImage_arr_93[] =
{0x22, 0x72, 0x65, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_94[] =
+ static const JJChar tokenImage_arr_94[] =
{0x22, 0x72, 0x6f, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_95[] =
+ static const JJChar tokenImage_arr_95[] =
{0x22, 0x72, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_96[] =
+ static const JJChar tokenImage_arr_96[] =
{0x22, 0x72, 0x65, 0x74, 0x75, 0x72, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_97[] =
+ static const JJChar tokenImage_arr_97[] =
{0x22, 0x73, 0x65, 0x6c, 0x65, 0x63, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_98[] =
+ static const JJChar tokenImage_arr_98[] =
{0x22, 0x73, 0x65, 0x71, 0x75, 0x65, 0x6e, 0x63, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_99[] =
+ static const JJChar tokenImage_arr_99[] =
{0x22, 0x73, 0x65, 0x76, 0x65, 0x72, 0x69, 0x74, 0x79, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_100[] =
+ static const JJChar tokenImage_arr_100[] =
{0x22, 0x73, 0x69, 0x67, 0x6e, 0x61, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_101[] =
+ static const JJChar tokenImage_arr_101[] =
{0x22, 0x73, 0x68, 0x61, 0x72, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_102[] =
+ static const JJChar tokenImage_arr_102[] =
{0x22, 0x73, 0x6c, 0x61, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_103[] =
+ static const JJChar tokenImage_arr_103[] =
{0x22, 0x73, 0x6c, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_104[] =
+ static const JJChar tokenImage_arr_104[] =
{0x22, 0x73, 0x72, 0x61, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_105[] =
+ static const JJChar tokenImage_arr_105[] =
{0x22, 0x73, 0x72, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_106[] =
+ static const JJChar tokenImage_arr_106[] =
{0x22, 0x73, 0x74, 0x72, 0x6f, 0x6e, 0x67, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_107[] =
+ static const JJChar tokenImage_arr_107[] =
{0x22, 0x73, 0x75, 0x62, 0x74, 0x79, 0x70, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_108[] =
+ static const JJChar tokenImage_arr_108[] =
{0x22, 0x74, 0x68, 0x65, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_109[] =
+ static const JJChar tokenImage_arr_109[] =
{0x22, 0x74, 0x6f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_110[] =
+ static const JJChar tokenImage_arr_110[] =
{0x22, 0x74, 0x72, 0x61, 0x6e, 0x73, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_111[] =
+ static const JJChar tokenImage_arr_111[] =
{0x22, 0x74, 0x79, 0x70, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_112[] =
+ static const JJChar tokenImage_arr_112[] =
{0x22, 0x75, 0x6e, 0x61, 0x66, 0x66, 0x65, 0x63, 0x74, 0x65, 0x64, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_113[] =
+ static const JJChar tokenImage_arr_113[] =
{0x22, 0x75, 0x6e, 0x69, 0x74, 0x73, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_114[] =
+ static const JJChar tokenImage_arr_114[] =
{0x22, 0x75, 0x6e, 0x74, 0x69, 0x6c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_115[] =
+ static const JJChar tokenImage_arr_115[] =
{0x22, 0x75, 0x73, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_116[] =
+ static const JJChar tokenImage_arr_116[] =
{0x22, 0x76, 0x61, 0x72, 0x69, 0x61, 0x62, 0x6c, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_117[] =
+ static const JJChar tokenImage_arr_117[] =
{0x22, 0x76, 0x6d, 0x6f, 0x64, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_118[] =
+ static const JJChar tokenImage_arr_118[] =
{0x22, 0x76, 0x70, 0x72, 0x6f, 0x70, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_119[] =
+ static const JJChar tokenImage_arr_119[] =
{0x22, 0x76, 0x75, 0x6e, 0x69, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_120[] =
+ static const JJChar tokenImage_arr_120[] =
{0x22, 0x77, 0x61, 0x69, 0x74, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_121[] =
+ static const JJChar tokenImage_arr_121[] =
{0x22, 0x77, 0x68, 0x65, 0x6e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_122[] =
+ static const JJChar tokenImage_arr_122[] =
{0x22, 0x77, 0x68, 0x69, 0x6c, 0x65, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_123[] =
+ static const JJChar tokenImage_arr_123[] =
{0x22, 0x77, 0x69, 0x74, 0x68, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_124[] =
+ static const JJChar tokenImage_arr_124[] =
{0x22, 0x78, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_125[] =
+ static const JJChar tokenImage_arr_125[] =
{0x22, 0x78, 0x6e, 0x6f, 0x72, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_126[] =
+ static const JJChar tokenImage_arr_126[] =
{0x22, 0x26, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_127[] =
+ static const JJChar tokenImage_arr_127[] =
{0x22, 0x27, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_128[] =
+ static const JJChar tokenImage_arr_128[] =
{0x22, 0x28, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_129[] =
+ static const JJChar tokenImage_arr_129[] =
{0x22, 0x29, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_130[] =
+ static const JJChar tokenImage_arr_130[] =
{0x22, 0x2a, 0x2a, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_131[] =
+ static const JJChar tokenImage_arr_131[] =
{0x22, 0x2a, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_132[] =
+ static const JJChar tokenImage_arr_132[] =
{0x22, 0x2b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_133[] =
+ static const JJChar tokenImage_arr_133[] =
{0x22, 0x2d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_134[] =
+ static const JJChar tokenImage_arr_134[] =
{0x22, 0x2c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_135[] =
+ static const JJChar tokenImage_arr_135[] =
{0x22, 0x3a, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_136[] =
+ static const JJChar tokenImage_arr_136[] =
{0x22, 0x3a, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_137[] =
+ static const JJChar tokenImage_arr_137[] =
{0x22, 0x3b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_138[] =
+ static const JJChar tokenImage_arr_138[] =
{0x22, 0x3c, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_139[] =
+ static const JJChar tokenImage_arr_139[] =
{0x22, 0x3e, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_140[] =
+ static const JJChar tokenImage_arr_140[] =
{0x22, 0x3c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_141[] =
+ static const JJChar tokenImage_arr_141[] =
{0x22, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_142[] =
+ static const JJChar tokenImage_arr_142[] =
{0x22, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_143[] =
+ static const JJChar tokenImage_arr_143[] =
{0x22, 0x2f, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_144[] =
+ static const JJChar tokenImage_arr_144[] =
{0x22, 0x3d, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_145[] =
+ static const JJChar tokenImage_arr_145[] =
{0x22, 0x3c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_146[] =
+ static const JJChar tokenImage_arr_146[] =
{0x22, 0x3c, 0x3c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_147[] =
+ static const JJChar tokenImage_arr_147[] =
{0x22, 0x3e, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_148[] =
+ static const JJChar tokenImage_arr_148[] =
{0x22, 0x3f, 0x3f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_149[] =
+ static const JJChar tokenImage_arr_149[] =
{0x22, 0x3f, 0x3e, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_150[] =
+ static const JJChar tokenImage_arr_150[] =
{0x22, 0x3f, 0x3c, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_151[] =
+ static const JJChar tokenImage_arr_151[] =
{0x22, 0x3f, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_152[] =
+ static const JJChar tokenImage_arr_152[] =
{0x22, 0x3f, 0x3c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_153[] =
+ static const JJChar tokenImage_arr_153[] =
{0x22, 0x3f, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_154[] =
+ static const JJChar tokenImage_arr_154[] =
{0x22, 0x3f, 0x2f, 0x3d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_155[] =
+ static const JJChar tokenImage_arr_155[] =
{0x22, 0x3f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_156[] =
+ static const JJChar tokenImage_arr_156[] =
{0x22, 0x7c, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_157[] =
+ static const JJChar tokenImage_arr_157[] =
{0x22, 0x2e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_158[] =
+ static const JJChar tokenImage_arr_158[] =
{0x22, 0x2f, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_159[] =
+ static const JJChar tokenImage_arr_159[] =
{0x22, 0x40, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_160[] =
+ static const JJChar tokenImage_arr_160[] =
{0x22, 0x5e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_161[] =
+ static const JJChar tokenImage_arr_161[] =
{0x22, 0x5b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_162[] =
+ static const JJChar tokenImage_arr_162[] =
{0x22, 0x5d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_163[] =
+ static const JJChar tokenImage_arr_163[] =
{0x22, 0x7b, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_164[] =
+ static const JJChar tokenImage_arr_164[] =
{0x22, 0x7d, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_165[] =
+ static const JJChar tokenImage_arr_165[] =
{0x22, 0x3c, 0x49, 0x4e, 0x54, 0x45, 0x47, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_166[] =
+ static const JJChar tokenImage_arr_166[] =
{0x22, 0x3c, 0x53, 0x54, 0x52, 0x49, 0x4e, 0x47, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_167[] =
+ static const JJChar tokenImage_arr_167[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x49, 0x43, 0x5f, 0x49, 0x44, 0x45, 0x4e, 0x54, 0x49, 0x46, 0x49, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_168[] =
+ static const JJChar tokenImage_arr_168[] =
{0x22, 0x3c, 0x45, 0x58, 0x54, 0x45, 0x4e, 0x44, 0x45, 0x44, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_169[] =
+ static const JJChar tokenImage_arr_169[] =
{0x22, 0x3c, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_170[] =
+ static const JJChar tokenImage_arr_170[] =
{0x22, 0x3c, 0x44, 0x45, 0x43, 0x49, 0x4d, 0x41, 0x4c, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_171[] =
+ static const JJChar tokenImage_arr_171[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x44, 0x5f, 0x49, 0x4e, 0x54, 0x45, 0x47, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_172[] =
+ static const JJChar tokenImage_arr_172[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x44, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_173[] =
+ static const JJChar tokenImage_arr_173[] =
{0x22, 0x3c, 0x45, 0x58, 0x50, 0x4f, 0x4e, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_174[] =
+ static const JJChar tokenImage_arr_174[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x49, 0x43, 0x5f, 0x47, 0x52, 0x41, 0x50, 0x48, 0x49, 0x43, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_175[] =
+ static const JJChar tokenImage_arr_175[] =
{0x22, 0x3c, 0x47, 0x52, 0x41, 0x50, 0x48, 0x49, 0x43, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_176[] =
+ static const JJChar tokenImage_arr_176[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x5f, 0x4f, 0x52, 0x5f, 0x44, 0x49, 0x47, 0x49, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_177[] =
+ static const JJChar tokenImage_arr_177[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x5f, 0x4f, 0x52, 0x5f, 0x44, 0x49, 0x47, 0x49, 0x54, 0x5f, 0x4f, 0x52, 0x5f, 0x53, 0x54, 0x44, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_178[] =
+ static const JJChar tokenImage_arr_178[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_179[] =
+ static const JJChar tokenImage_arr_179[] =
{0x22, 0x3c, 0x55, 0x50, 0x50, 0x45, 0x52, 0x5f, 0x43, 0x41, 0x53, 0x45, 0x5f, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_180[] =
+ static const JJChar tokenImage_arr_180[] =
{0x22, 0x3c, 0x42, 0x49, 0x54, 0x5f, 0x53, 0x54, 0x52, 0x49, 0x4e, 0x47, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_181[] =
+ static const JJChar tokenImage_arr_181[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x5f, 0x53, 0x50, 0x45, 0x43, 0x49, 0x46, 0x49, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_182[] =
+ static const JJChar tokenImage_arr_182[] =
{0x22, 0x3c, 0x53, 0x54, 0x44, 0x5f, 0x4c, 0x4f, 0x47, 0x49, 0x43, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_183[] =
+ static const JJChar tokenImage_arr_183[] =
{0x22, 0x3c, 0x44, 0x49, 0x47, 0x49, 0x54, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_184[] =
+ static const JJChar tokenImage_arr_184[] =
{0x22, 0x3c, 0x53, 0x50, 0x45, 0x43, 0x49, 0x41, 0x4c, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_185[] =
+ static const JJChar tokenImage_arr_185[] =
{0x22, 0x3c, 0x4f, 0x54, 0x48, 0x45, 0x52, 0x5f, 0x53, 0x50, 0x45, 0x43, 0x49, 0x41, 0x4c, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_186[] =
+ static const JJChar tokenImage_arr_186[] =
{0x22, 0x3c, 0x53, 0x50, 0x41, 0x43, 0x45, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_187[] =
+ static const JJChar tokenImage_arr_187[] =
{0x22, 0x3c, 0x4c, 0x4f, 0x57, 0x45, 0x52, 0x5f, 0x43, 0x41, 0x53, 0x45, 0x5f, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_188[] =
+ static const JJChar tokenImage_arr_188[] =
{0x22, 0x3c, 0x51, 0x55, 0x4f, 0x54, 0x45, 0x3e, 0x22, 0};
- static JAVACC_CHAR_TYPE tokenImage_arr_189[] =
+ static const JJChar tokenImage_arr_189[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x32, 0x30, 0x30, 0x38, 0x54, 0x4f, 0x4f, 0x4c, 0x44, 0x49, 0x52, 0x3e, 0x22, 0};
- static JAVACC_STRING_TYPE tokenImage[] = {
+ static const JJChar* const tokenImage[] = {
tokenImage_arr_0,
tokenImage_arr_1,
tokenImage_arr_2,
diff --git a/vhdlparser/VhdlParserTokenManager.cc b/vhdlparser/VhdlParserTokenManager.cc
index 11e0ced..29ce7cb 100644
--- a/vhdlparser/VhdlParserTokenManager.cc
+++ b/vhdlparser/VhdlParserTokenManager.cc
@@ -18,227 +18,227 @@ static const int jjnextStates[] = {
40, 42, 56, 57, 58, 61, 60, 59, 61, 65, 66, 67, 68, 69, 71, 9,
10, 28, 29, 45, 47, 50, 52, 27, 30,
};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_0[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_1[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_2[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_3[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_4[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_5[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_6[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_7[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_8[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_9[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_10[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_11[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_12[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_13[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_14[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_15[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_16[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_17[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_18[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_19[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_20[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_21[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_22[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_23[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_24[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_25[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_26[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_27[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_28[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_29[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_30[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_31[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_32[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_33[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_34[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_35[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_36[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_37[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_38[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_39[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_40[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_41[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_42[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_43[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_44[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_45[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_46[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_47[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_48[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_49[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_50[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_51[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_52[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_53[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_54[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_55[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_56[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_57[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_58[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_59[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_60[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_61[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_62[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_63[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_64[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_65[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_66[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_67[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_68[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_69[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_70[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_71[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_72[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_73[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_74[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_75[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_76[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_77[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_78[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_79[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_80[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_81[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_82[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_83[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_84[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_85[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_86[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_87[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_88[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_89[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_90[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_91[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_92[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_93[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_94[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_95[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_96[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_97[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_98[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_99[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_100[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_101[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_102[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_103[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_104[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_105[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_106[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_107[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_108[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_109[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_110[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_111[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_112[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_113[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_114[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_115[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_116[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_117[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_118[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_119[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_120[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_121[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_122[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_123[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_124[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_125[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_126[] = {0x26, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_127[] = {0x27, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_128[] = {0x28, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_129[] = {0x29, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_130[] = {0x2a, 0x2a, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_131[] = {0x2a, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_132[] = {0x2b, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_133[] = {0x2d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_134[] = {0x2c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_135[] = {0x3a, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_136[] = {0x3a, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_137[] = {0x3b, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_138[] = {0x3c, 0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_139[] = {0x3e, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_140[] = {0x3c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_141[] = {0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_142[] = {0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_143[] = {0x2f, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_144[] = {0x3d, 0x3e, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_145[] = {0x3c, 0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_146[] = {0x3c, 0x3c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_147[] = {0x3e, 0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_148[] = {0x3f, 0x3f, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_149[] = {0x3f, 0x3e, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_150[] = {0x3f, 0x3c, 0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_151[] = {0x3f, 0x3e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_152[] = {0x3f, 0x3c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_153[] = {0x3f, 0x3d, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_154[] = {0x3f, 0x2f, 0x3d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_155[] = {0x3f, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_156[] = {0x7c, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_157[] = {0x2e, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_158[] = {0x2f, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_159[] = {0x40, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_160[] = {0x5e, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_161[] = {0x5b, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_162[] = {0x5d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_163[] = {0x7b, 0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_164[] = {0x7d, 0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_165[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_166[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_167[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_168[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_169[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_170[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_171[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_172[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_173[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_174[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_175[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_176[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_177[] = {0};
-
-static JAVACC_CHAR_TYPE jjstrLiteralChars_178[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_179[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_180[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_181[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_182[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_183[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_184[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_185[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_186[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_187[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_188[] = {0};
-static JAVACC_CHAR_TYPE jjstrLiteralChars_189[] = {0};
-static const JAVACC_STRING_TYPE jjstrLiteralImages[] = {
+static JJChar jjstrLiteralChars_0[] = {0};
+static JJChar jjstrLiteralChars_1[] = {0};
+static JJChar jjstrLiteralChars_2[] = {0};
+static JJChar jjstrLiteralChars_3[] = {0};
+static JJChar jjstrLiteralChars_4[] = {0};
+static JJChar jjstrLiteralChars_5[] = {0};
+static JJChar jjstrLiteralChars_6[] = {0};
+
+static JJChar jjstrLiteralChars_7[] = {0};
+static JJChar jjstrLiteralChars_8[] = {0};
+static JJChar jjstrLiteralChars_9[] = {0};
+static JJChar jjstrLiteralChars_10[] = {0};
+static JJChar jjstrLiteralChars_11[] = {0};
+static JJChar jjstrLiteralChars_12[] = {0};
+static JJChar jjstrLiteralChars_13[] = {0};
+static JJChar jjstrLiteralChars_14[] = {0};
+static JJChar jjstrLiteralChars_15[] = {0};
+static JJChar jjstrLiteralChars_16[] = {0};
+static JJChar jjstrLiteralChars_17[] = {0};
+static JJChar jjstrLiteralChars_18[] = {0};
+static JJChar jjstrLiteralChars_19[] = {0};
+static JJChar jjstrLiteralChars_20[] = {0};
+
+static JJChar jjstrLiteralChars_21[] = {0};
+static JJChar jjstrLiteralChars_22[] = {0};
+static JJChar jjstrLiteralChars_23[] = {0};
+static JJChar jjstrLiteralChars_24[] = {0};
+static JJChar jjstrLiteralChars_25[] = {0};
+static JJChar jjstrLiteralChars_26[] = {0};
+static JJChar jjstrLiteralChars_27[] = {0};
+static JJChar jjstrLiteralChars_28[] = {0};
+static JJChar jjstrLiteralChars_29[] = {0};
+static JJChar jjstrLiteralChars_30[] = {0};
+static JJChar jjstrLiteralChars_31[] = {0};
+static JJChar jjstrLiteralChars_32[] = {0};
+static JJChar jjstrLiteralChars_33[] = {0};
+static JJChar jjstrLiteralChars_34[] = {0};
+
+static JJChar jjstrLiteralChars_35[] = {0};
+static JJChar jjstrLiteralChars_36[] = {0};
+static JJChar jjstrLiteralChars_37[] = {0};
+static JJChar jjstrLiteralChars_38[] = {0};
+static JJChar jjstrLiteralChars_39[] = {0};
+static JJChar jjstrLiteralChars_40[] = {0};
+static JJChar jjstrLiteralChars_41[] = {0};
+static JJChar jjstrLiteralChars_42[] = {0};
+static JJChar jjstrLiteralChars_43[] = {0};
+static JJChar jjstrLiteralChars_44[] = {0};
+static JJChar jjstrLiteralChars_45[] = {0};
+static JJChar jjstrLiteralChars_46[] = {0};
+static JJChar jjstrLiteralChars_47[] = {0};
+static JJChar jjstrLiteralChars_48[] = {0};
+
+static JJChar jjstrLiteralChars_49[] = {0};
+static JJChar jjstrLiteralChars_50[] = {0};
+static JJChar jjstrLiteralChars_51[] = {0};
+static JJChar jjstrLiteralChars_52[] = {0};
+static JJChar jjstrLiteralChars_53[] = {0};
+static JJChar jjstrLiteralChars_54[] = {0};
+static JJChar jjstrLiteralChars_55[] = {0};
+static JJChar jjstrLiteralChars_56[] = {0};
+static JJChar jjstrLiteralChars_57[] = {0};
+static JJChar jjstrLiteralChars_58[] = {0};
+static JJChar jjstrLiteralChars_59[] = {0};
+static JJChar jjstrLiteralChars_60[] = {0};
+static JJChar jjstrLiteralChars_61[] = {0};
+static JJChar jjstrLiteralChars_62[] = {0};
+
+static JJChar jjstrLiteralChars_63[] = {0};
+static JJChar jjstrLiteralChars_64[] = {0};
+static JJChar jjstrLiteralChars_65[] = {0};
+static JJChar jjstrLiteralChars_66[] = {0};
+static JJChar jjstrLiteralChars_67[] = {0};
+static JJChar jjstrLiteralChars_68[] = {0};
+static JJChar jjstrLiteralChars_69[] = {0};
+static JJChar jjstrLiteralChars_70[] = {0};
+static JJChar jjstrLiteralChars_71[] = {0};
+static JJChar jjstrLiteralChars_72[] = {0};
+static JJChar jjstrLiteralChars_73[] = {0};
+static JJChar jjstrLiteralChars_74[] = {0};
+static JJChar jjstrLiteralChars_75[] = {0};
+static JJChar jjstrLiteralChars_76[] = {0};
+
+static JJChar jjstrLiteralChars_77[] = {0};
+static JJChar jjstrLiteralChars_78[] = {0};
+static JJChar jjstrLiteralChars_79[] = {0};
+static JJChar jjstrLiteralChars_80[] = {0};
+static JJChar jjstrLiteralChars_81[] = {0};
+static JJChar jjstrLiteralChars_82[] = {0};
+static JJChar jjstrLiteralChars_83[] = {0};
+static JJChar jjstrLiteralChars_84[] = {0};
+static JJChar jjstrLiteralChars_85[] = {0};
+static JJChar jjstrLiteralChars_86[] = {0};
+static JJChar jjstrLiteralChars_87[] = {0};
+static JJChar jjstrLiteralChars_88[] = {0};
+static JJChar jjstrLiteralChars_89[] = {0};
+static JJChar jjstrLiteralChars_90[] = {0};
+
+static JJChar jjstrLiteralChars_91[] = {0};
+static JJChar jjstrLiteralChars_92[] = {0};
+static JJChar jjstrLiteralChars_93[] = {0};
+static JJChar jjstrLiteralChars_94[] = {0};
+static JJChar jjstrLiteralChars_95[] = {0};
+static JJChar jjstrLiteralChars_96[] = {0};
+static JJChar jjstrLiteralChars_97[] = {0};
+static JJChar jjstrLiteralChars_98[] = {0};
+static JJChar jjstrLiteralChars_99[] = {0};
+static JJChar jjstrLiteralChars_100[] = {0};
+static JJChar jjstrLiteralChars_101[] = {0};
+static JJChar jjstrLiteralChars_102[] = {0};
+static JJChar jjstrLiteralChars_103[] = {0};
+static JJChar jjstrLiteralChars_104[] = {0};
+
+static JJChar jjstrLiteralChars_105[] = {0};
+static JJChar jjstrLiteralChars_106[] = {0};
+static JJChar jjstrLiteralChars_107[] = {0};
+static JJChar jjstrLiteralChars_108[] = {0};
+static JJChar jjstrLiteralChars_109[] = {0};
+static JJChar jjstrLiteralChars_110[] = {0};
+static JJChar jjstrLiteralChars_111[] = {0};
+static JJChar jjstrLiteralChars_112[] = {0};
+static JJChar jjstrLiteralChars_113[] = {0};
+static JJChar jjstrLiteralChars_114[] = {0};
+static JJChar jjstrLiteralChars_115[] = {0};
+static JJChar jjstrLiteralChars_116[] = {0};
+static JJChar jjstrLiteralChars_117[] = {0};
+static JJChar jjstrLiteralChars_118[] = {0};
+
+static JJChar jjstrLiteralChars_119[] = {0};
+static JJChar jjstrLiteralChars_120[] = {0};
+static JJChar jjstrLiteralChars_121[] = {0};
+static JJChar jjstrLiteralChars_122[] = {0};
+static JJChar jjstrLiteralChars_123[] = {0};
+static JJChar jjstrLiteralChars_124[] = {0};
+static JJChar jjstrLiteralChars_125[] = {0};
+
+static JJChar jjstrLiteralChars_126[] = {0x26, 0};
+static JJChar jjstrLiteralChars_127[] = {0x27, 0};
+
+static JJChar jjstrLiteralChars_128[] = {0x28, 0};
+static JJChar jjstrLiteralChars_129[] = {0x29, 0};
+
+static JJChar jjstrLiteralChars_130[] = {0x2a, 0x2a, 0};
+static JJChar jjstrLiteralChars_131[] = {0x2a, 0};
+
+static JJChar jjstrLiteralChars_132[] = {0x2b, 0};
+static JJChar jjstrLiteralChars_133[] = {0x2d, 0};
+
+static JJChar jjstrLiteralChars_134[] = {0x2c, 0};
+static JJChar jjstrLiteralChars_135[] = {0x3a, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_136[] = {0x3a, 0};
+static JJChar jjstrLiteralChars_137[] = {0x3b, 0};
+
+static JJChar jjstrLiteralChars_138[] = {0x3c, 0x3d, 0};
+static JJChar jjstrLiteralChars_139[] = {0x3e, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_140[] = {0x3c, 0};
+static JJChar jjstrLiteralChars_141[] = {0x3e, 0};
+
+static JJChar jjstrLiteralChars_142[] = {0x3d, 0};
+static JJChar jjstrLiteralChars_143[] = {0x2f, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_144[] = {0x3d, 0x3e, 0};
+static JJChar jjstrLiteralChars_145[] = {0x3c, 0x3e, 0};
+
+static JJChar jjstrLiteralChars_146[] = {0x3c, 0x3c, 0};
+static JJChar jjstrLiteralChars_147[] = {0x3e, 0x3e, 0};
+
+static JJChar jjstrLiteralChars_148[] = {0x3f, 0x3f, 0};
+static JJChar jjstrLiteralChars_149[] = {0x3f, 0x3e, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_150[] = {0x3f, 0x3c, 0x3d, 0};
+static JJChar jjstrLiteralChars_151[] = {0x3f, 0x3e, 0};
+
+static JJChar jjstrLiteralChars_152[] = {0x3f, 0x3c, 0};
+static JJChar jjstrLiteralChars_153[] = {0x3f, 0x3d, 0};
+
+static JJChar jjstrLiteralChars_154[] = {0x3f, 0x2f, 0x3d, 0};
+static JJChar jjstrLiteralChars_155[] = {0x3f, 0};
+
+static JJChar jjstrLiteralChars_156[] = {0x7c, 0};
+static JJChar jjstrLiteralChars_157[] = {0x2e, 0};
+
+static JJChar jjstrLiteralChars_158[] = {0x2f, 0};
+static JJChar jjstrLiteralChars_159[] = {0x40, 0};
+
+static JJChar jjstrLiteralChars_160[] = {0x5e, 0};
+static JJChar jjstrLiteralChars_161[] = {0x5b, 0};
+
+static JJChar jjstrLiteralChars_162[] = {0x5d, 0};
+static JJChar jjstrLiteralChars_163[] = {0x7b, 0};
+
+static JJChar jjstrLiteralChars_164[] = {0x7d, 0};
+static JJChar jjstrLiteralChars_165[] = {0};
+static JJChar jjstrLiteralChars_166[] = {0};
+static JJChar jjstrLiteralChars_167[] = {0};
+static JJChar jjstrLiteralChars_168[] = {0};
+static JJChar jjstrLiteralChars_169[] = {0};
+static JJChar jjstrLiteralChars_170[] = {0};
+static JJChar jjstrLiteralChars_171[] = {0};
+static JJChar jjstrLiteralChars_172[] = {0};
+static JJChar jjstrLiteralChars_173[] = {0};
+static JJChar jjstrLiteralChars_174[] = {0};
+static JJChar jjstrLiteralChars_175[] = {0};
+static JJChar jjstrLiteralChars_176[] = {0};
+static JJChar jjstrLiteralChars_177[] = {0};
+
+static JJChar jjstrLiteralChars_178[] = {0};
+static JJChar jjstrLiteralChars_179[] = {0};
+static JJChar jjstrLiteralChars_180[] = {0};
+static JJChar jjstrLiteralChars_181[] = {0};
+static JJChar jjstrLiteralChars_182[] = {0};
+static JJChar jjstrLiteralChars_183[] = {0};
+static JJChar jjstrLiteralChars_184[] = {0};
+static JJChar jjstrLiteralChars_185[] = {0};
+static JJChar jjstrLiteralChars_186[] = {0};
+static JJChar jjstrLiteralChars_187[] = {0};
+static JJChar jjstrLiteralChars_188[] = {0};
+static JJChar jjstrLiteralChars_189[] = {0};
+static const JJString jjstrLiteralImages[] = {
jjstrLiteralChars_0,
jjstrLiteralChars_1,
jjstrLiteralChars_2,
@@ -432,9 +432,9 @@ jjstrLiteralChars_189,
};
/** Lexer state names. */
-static const JAVACC_CHAR_TYPE lexStateNames_arr_0[] =
+static const JJChar lexStateNames_arr_0[] =
{0x44, 0x45, 0x46, 0x41, 0x55, 0x4c, 0x54, 0};
-static const JAVACC_STRING_TYPE lexStateNames[] = {
+static const JJString lexStateNames[] = {
lexStateNames_arr_0,
};
static const unsigned long long jjtoToken[] = {
@@ -444,7 +444,7 @@ static const unsigned long long jjtoSkip[] = {
0x7deULL, 0x0ULL, 0x0ULL,
};
-void VhdlParserTokenManager::setDebugStream(FILE *ds){ debugStream = ds; }
+ void VhdlParserTokenManager::setDebugStream(FILE *ds){ debugStream = ds; }
int VhdlParserTokenManager::jjStopAtPos(int pos, int kind){
jjmatchedKind = kind;
@@ -3321,12 +3321,12 @@ bool VhdlParserTokenManager::jjCanMove_1(int hiByte, int i1, int i2, unsigned lo
Token * VhdlParserTokenManager::jjFillToken(){
Token *t;
- JAVACC_STRING_TYPE curTokenImage;
+ JJString curTokenImage;
int beginLine = -1;
int endLine = -1;
int beginColumn = -1;
int endColumn = -1;
- JAVACC_STRING_TYPE im = jjstrLiteralImages[jjmatchedKind];
+ JJString im = jjstrLiteralImages[jjmatchedKind];
curTokenImage = (im.length() == 0) ? input_stream->GetImage() : im;
if (input_stream->getTrackLineColumn()) {
beginLine = input_stream->getBeginLine();
@@ -3337,8 +3337,8 @@ Token * VhdlParserTokenManager::jjFillToken(){
t = Token::newToken(jjmatchedKind);
t->kind = jjmatchedKind;
t->image = curTokenImage;
- t->specialToken = NULL;
- t->next = NULL;
+ t->specialToken = nullptr;
+ t->next = nullptr;
if (input_stream->getTrackLineColumn()) {
t->beginLine = beginLine;
@@ -3353,7 +3353,7 @@ const int defaultLexState = 0;
/** Get the next Token. */
Token * VhdlParserTokenManager::getNextToken(){
- Token *matchedToken;
+ Token *matchedToken = nullptr;
int curPos = 0;
for (;;)
@@ -3386,13 +3386,13 @@ Token * VhdlParserTokenManager::getNextToken(){
}
else
{
- SkipLexicalActions(NULL);
+ SkipLexicalActions(nullptr);
goto EOFLoop;
}
}
int error_line = input_stream->getEndLine();
int error_column = input_stream->getEndColumn();
- JAVACC_STRING_TYPE error_after;
+ JJString error_after;
bool EOFSeen = false;
if (input_stream->endOfInput()) {
EOFSeen = true;
@@ -3593,7 +3593,7 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
}
}
/** Reinitialise parser. */
- void VhdlParserTokenManager::ReInit(JAVACC_CHARSTREAM *stream, int lexState, VhdlParser *parserArg) {
+ void VhdlParserTokenManager::ReInit(JAVACC_CHARSTREAM *stream, int lexState) {
clear();
jjmatchedPos = jjnewStateCnt = 0;
curLexState = lexState;
@@ -3601,9 +3601,7 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
ReInitRounds();
debugStream = stdout; // init
SwitchTo(lexState);
- parser = parserArg;
errorHandler = new TokenManagerErrorHandler();
- errorHandlerCreated = true;
}
void VhdlParserTokenManager::ReInitRounds() {
@@ -3616,7 +3614,7 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
/** Switch to specified lex state. */
void VhdlParserTokenManager::SwitchTo(int lexState) {
if (lexState >= 1 || lexState < 0) {
- JAVACC_STRING_TYPE message;
+ JJString message;
#ifdef WIDE_CHAR
message += L"Error: Ignoring invalid lexical state : ";
message += lexState; message += L". State unchanged.";
@@ -3630,11 +3628,10 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
}
/** Constructor. */
- VhdlParserTokenManager::VhdlParserTokenManager (JAVACC_CHARSTREAM *stream, int lexState, VhdlParser *parserArg)
+ VhdlParserTokenManager::VhdlParserTokenManager (JAVACC_CHARSTREAM *stream, int lexState)
{
- input_stream = NULL;
- errorHandlerCreated = false;
- ReInit(stream, lexState, parserArg);
+ input_stream = nullptr;
+ ReInit(stream, lexState);
}
// Destructor
@@ -3647,7 +3644,7 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
//Since input_stream was generated outside of TokenManager
//TokenManager should not take care of deleting it
//if (input_stream) delete input_stream;
- if (errorHandlerCreated) delete errorHandler;
+ if (errorHandler) delete errorHandler, errorHandler = nullptr;
}
diff --git a/vhdlparser/VhdlParserTokenManager.h b/vhdlparser/VhdlParserTokenManager.h
index 8109bf0..5100369 100644
--- a/vhdlparser/VhdlParserTokenManager.h
+++ b/vhdlparser/VhdlParserTokenManager.h
@@ -9,77 +9,50 @@
#include "VhdlParserConstants.h"
#include "VhdlParser.h"
+
namespace vhdl {
namespace parser {
class VhdlParser;
/** Token Manager. */
class VhdlParserTokenManager : public TokenManager {
- public:
+public:
- /** Debug output. */
FILE *debugStream;
- /** Set debug output. */
-
-void setDebugStream(FILE *ds);
-
+ void setDebugStream(FILE *ds);
int jjStopAtPos(int pos, int kind);
-
int jjMoveStringLiteralDfa0_0();
-
int jjMoveStringLiteralDfa1_0(unsigned long long active0, unsigned long long active1, unsigned long long active2);
-
int jjMoveStringLiteralDfa2_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1, unsigned long long old2, unsigned long long active2);
-
int jjMoveStringLiteralDfa3_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1, unsigned long long old2, unsigned long long active2);
-
int jjMoveStringLiteralDfa4_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa5_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa6_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa7_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa8_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa9_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa10_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa11_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa12_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa13_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa14_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa15_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa16_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
-
int jjMoveStringLiteralDfa17_0(unsigned long long old1, unsigned long long active1);
-
int jjMoveNfa_0(int startState, int curPos);
-
bool jjCanMove_0(int hiByte, int i1, int i2, unsigned long long l1, unsigned long long l2);
-
bool jjCanMove_1(int hiByte, int i1, int i2, unsigned long long l1, unsigned long long l2);
-
Token * jjFillToken();
-public: int curLexState;
-public: int jjnewStateCnt;
-public: int jjround;
-public: int jjmatchedPos;
-public: int jjmatchedKind;
-
+public:
+ int curLexState;
+ int jjnewStateCnt;
+ int jjround;
+ int jjmatchedPos;
+ int jjmatchedKind;
Token * getNextToken();
-
void SkipLexicalActions(Token *matchedToken);
-
void TokenLexicalActions(Token *matchedToken);
#define jjCheckNAdd(state)\
{\
@@ -111,31 +84,33 @@ void TokenLexicalActions(Token *matchedToken);
#ifndef JAVACC_CHARSTREAM
#define JAVACC_CHARSTREAM CharStream
#endif
- private: VhdlParser*parser;
- private: void ReInitRounds();
- public: VhdlParserTokenManager(JAVACC_CHARSTREAM *stream, int lexState = 0, VhdlParser *parserArg = NULL);
- public: virtual ~VhdlParserTokenManager();
- void ReInit(JAVACC_CHARSTREAM *stream, int lexState = 0, VhdlParser *parserArg = NULL);
+
+private:
+ void ReInitRounds();
+
+public:
+ VhdlParserTokenManager(JAVACC_CHARSTREAM *stream, int lexState = 0);
+ virtual ~VhdlParserTokenManager();
+ void ReInit(JAVACC_CHARSTREAM *stream, int lexState = 0);
void SwitchTo(int lexState);
void clear();
- const JAVACC_SIMPLE_STRING jjKindsForBitVector(int i, unsigned long long vec);
- const JAVACC_SIMPLE_STRING jjKindsForStateVector(int lexState, int vec[], int start, int end);
+ const JJSimpleString jjKindsForBitVector(int i, unsigned long long vec);
+ const JJSimpleString jjKindsForStateVector(int lexState, int vec[], int start, int end);
+
JAVACC_CHARSTREAM* input_stream;
int jjrounds[77];
int jjstateSet[2 * 77];
- JAVACC_STRING_TYPE jjimage;
- JAVACC_STRING_TYPE image;
+ JJString jjimage;
+ JJString image;
int jjimageLen;
int lengthOfMatch;
- JAVACC_CHAR_TYPE curChar;
- TokenManagerErrorHandler* errorHandler;
- bool errorHandlerCreated;
+ JJChar curChar;
+ TokenManagerErrorHandler* errorHandler = nullptr;
public:
void setErrorHandler(TokenManagerErrorHandler *eh) {
- if (errorHandlerCreated && errorHandler != NULL) delete errorHandler;
+ if (errorHandler) delete errorHandler, errorHandler = nullptr;
errorHandler = eh;
- errorHandlerCreated = false;
}
};