summaryrefslogtreecommitdiffstats
path: root/vhdlparser
diff options
context:
space:
mode:
authorDimitri van Heesch <doxygen@gmail.com>2019-12-12 19:17:22 (GMT)
committerDimitri van Heesch <doxygen@gmail.com>2019-12-12 19:17:22 (GMT)
commit0e4dc3b329e8010bbff4a43761027f60fb61ecd3 (patch)
tree0edd8b72f4d875092c52a07fddbe5da9b0637822 /vhdlparser
parent2ed458302d43a6385c310c685fa4174818f0b67e (diff)
downloadDoxygen-0e4dc3b329e8010bbff4a43761027f60fb61ecd3.zip
Doxygen-0e4dc3b329e8010bbff4a43761027f60fb61ecd3.tar.gz
Doxygen-0e4dc3b329e8010bbff4a43761027f60fb61ecd3.tar.bz2
Revert to use of javacc-6.1.3 due to regression
Diffstat (limited to 'vhdlparser')
-rw-r--r--vhdlparser/CharStream.cc22
-rw-r--r--vhdlparser/CharStream.h246
-rw-r--r--vhdlparser/ErrorHandler.h23
-rw-r--r--vhdlparser/ParseException.cc50
-rw-r--r--vhdlparser/ParseException.h22
-rw-r--r--vhdlparser/Token.cc37
-rw-r--r--vhdlparser/Token.h21
-rw-r--r--vhdlparser/TokenManager.h21
-rw-r--r--vhdlparser/TokenMgrError.cc26
-rw-r--r--vhdlparser/TokenMgrError.h33
-rw-r--r--vhdlparser/VhdlParser.cc5661
-rw-r--r--vhdlparser/VhdlParser.h4630
-rw-r--r--vhdlparser/VhdlParserConstants.h384
-rw-r--r--vhdlparser/VhdlParserTokenManager.cc516
-rw-r--r--vhdlparser/VhdlParserTokenManager.h91
15 files changed, 7059 insertions, 4724 deletions
diff --git a/vhdlparser/CharStream.cc b/vhdlparser/CharStream.cc
index 05003ef..bcc83ba 100644
--- a/vhdlparser/CharStream.cc
+++ b/vhdlparser/CharStream.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. CharStream.cc Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. CharStream.cc Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "CharStream.h"
@@ -12,8 +12,8 @@ void ArrayCopy(T* src, int src_offset, T* dest, int dest_offset, int len) {
class StringReaderStream : public ReaderStream {
public:
- StringReaderStream(const JJString& str) : str_(str), cur_(0), max_(str.size()) {}
- virtual size_t read(JJChar *bufptr, int offset, size_t len) {
+ StringReaderStream(const JAVACC_STRING_TYPE& str) : str_(str), cur_(0), max_(str.size()) {}
+ virtual size_t read(JAVACC_CHAR_TYPE *bufptr, int offset, size_t len) {
size_t count = str_.copy(bufptr + offset, len > max_ ? max_ : len, cur_);
cur_ += count;
max_ -= count;
@@ -25,7 +25,7 @@ class StringReaderStream : public ReaderStream {
}
private:
- const JJString str_;
+ const JAVACC_STRING_TYPE str_;
size_t cur_;
size_t max_;
};
@@ -33,7 +33,7 @@ class StringReaderStream : public ReaderStream {
namespace vhdl {
namespace parser {
-void CharStream::ReInit(const JJString& str, int startline,
+void CharStream::ReInit(const JAVACC_STRING_TYPE& str, int startline,
int startcolumn, int buffersize) {
StringReaderStream *stream = new StringReaderStream(str);
ReInit(stream, startline, startcolumn, buffersize);
@@ -46,12 +46,12 @@ void CharStream::ReInit(ReaderStream *input_stream, int startline,
delete inputStream;
}
- if (buffer) {
+ if (buffer != NULL) {
DeleteBuffers();
}
available = bufsize = buffersize;
- buffer = new JJChar[buffersize];
+ buffer = new JAVACC_CHAR_TYPE[buffersize];
bufline = new int[buffersize];
bufcolumn = new int[buffersize];
@@ -111,7 +111,7 @@ void CharStream::adjustBeginLineColumn(int newLine, int newCol) {
}
void CharStream::ExpandBuff(bool wrapAround) {
- JJChar *newbuffer = new JJChar[bufsize + 2048];
+ JAVACC_CHAR_TYPE *newbuffer = new JAVACC_CHAR_TYPE[bufsize + 2048];
int *newbufline = new int[bufsize + 2048];
int *newbufcolumn = new int[bufsize + 2048];
@@ -160,7 +160,7 @@ void CharStream::FillBuff() {
}
}
- size_t i = inputStream->read(buffer, maxNextCharInd, available - maxNextCharInd);
+ int i = inputStream->read(buffer, maxNextCharInd, available - maxNextCharInd);
if (i > 0) {
maxNextCharInd += i;
} else {
@@ -172,7 +172,7 @@ void CharStream::FillBuff() {
}
}
-void CharStream::UpdateLineColumn(JJChar c) {
+void CharStream::UpdateLineColumn(JAVACC_CHAR_TYPE c) {
column++;
if (prevCharIsLF) {
prevCharIsLF = false;
@@ -209,4 +209,4 @@ void CharStream::UpdateLineColumn(JJChar c) {
}
}
-/* JavaCC - OriginalChecksum=0ba78d6c493d812eba61de6fa794fd15 (do not edit this line) */
+/* JavaCC - OriginalChecksum=eff57228f86b403d970758af731465ce (do not edit this line) */
diff --git a/vhdlparser/CharStream.h b/vhdlparser/CharStream.h
index a955d8e..12b58b8 100644
--- a/vhdlparser/CharStream.h
+++ b/vhdlparser/CharStream.h
@@ -1,8 +1,7 @@
-/* Generated By:JavaCC: Do not edit this line. CharStream.h Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. CharStream.h Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef JAVACC_CHARSTREAM_H_
-#define JAVACC_CHARSTREAM_H_
-
+#ifndef CHARSTREAM_H
+#define CHARSTREAM_H
#include "JavaCC.h"
#ifndef INITIAL_BUFFER_SIZE
@@ -29,35 +28,17 @@ namespace parser {
class CharStream {
-public:
- void setTabSize(int i) { tabSize = i; }
- int getTabSize(int i) { return tabSize; }
-
-private:
- int getBufcolumn(int pos) {
- if (trackLineColumn && pos>=0) {
- return bufcolumn[pos];
- } else {
- return -1;
- }
- }
- int getBufline(int pos) {
- if (trackLineColumn && pos>=0) {
- return bufline[pos];
- } else {
- return -1;
- }
- }
-
-public:
- virtual int getColumn() { return getBufcolumn(bufpos); }
- virtual int getLine() { return getBufline(bufpos); }
- virtual int getEndColumn() { return getBufcolumn(bufpos); }
- virtual int getEndLine() { return getBufline(bufpos); }
- virtual int getBeginColumn() { return getBufcolumn(tokenBegin); }
- virtual int getBeginLine() { return getBufline(tokenBegin); }
-
- virtual bool getTrackLineColumn() { return trackLineColumn; }
+ public:
+ void setTabSize(int i) { tabSize = i; }
+ int getTabSize(int i) { return tabSize; }
+ virtual int getColumn() { return trackLineColumn ? bufcolumn[bufpos] : -1; }
+ virtual int getLine() { return trackLineColumn ? bufline[bufpos] : -1; }
+ virtual int getEndColumn() { return trackLineColumn ? bufcolumn[bufpos] : -1; }
+ virtual int getEndLine() { return trackLineColumn ? bufline[bufpos] : -1; }
+ virtual int getBeginColumn() { return trackLineColumn ? bufcolumn[tokenBegin] : -1; }
+ virtual int getBeginLine() { return trackLineColumn ? bufline[tokenBegin] : -1; }
+
+ virtual bool getTrackLineColumn() { return trackLineColumn; }
virtual void setTrackLineColumn(bool val) { trackLineColumn = val; }
/**
@@ -66,25 +47,25 @@ public:
* (longer) token. So, they will be used again as the prefix of the next
* token and it is the implemetation's responsibility to do this right.
*/
- virtual inline void backup(int amount) {
- inBuf += amount;
- bufpos -= amount;
- if (bufpos < 0) {
- bufpos += bufsize;
- }
+virtual inline void backup(int amount) {
+ inBuf += amount;
+ bufpos -= amount;
+ if (bufpos < 0) {
+ bufpos += bufsize;
}
+}
/**
* Returns the next character that marks the beginning of the next token.
* All characters must remain in the buffer between two successive calls
* to this method to implement backup correctly.
*/
- virtual inline JJChar BeginToken() {
- tokenBegin = -1;
- JJChar c = readChar();
- tokenBegin = bufpos;
- return c;
- }
+virtual inline JAVACC_CHAR_TYPE BeginToken() {
+ tokenBegin = -1;
+ JAVACC_CHAR_TYPE c = readChar();
+ tokenBegin = bufpos;
+ return c;
+}
/**
@@ -92,30 +73,31 @@ public:
* of selecting the input is the responsibility of the class
* implementing this class.
*/
- virtual inline JJChar readChar() {
- if (inBuf > 0) {
- --inBuf;
- ++bufpos;
- if (bufpos == bufsize) {
- bufpos = 0;
- }
- return buffer[bufpos];
- }
-
+virtual inline JAVACC_CHAR_TYPE readChar() {
+ if (inBuf > 0) {
+ --inBuf;
++bufpos;
- if (bufpos >= maxNextCharInd) {
- FillBuff();
+ if (bufpos == bufsize) {
+ bufpos = 0;
}
- JJChar c = buffer[bufpos];
+ return buffer[bufpos];
+ }
+
+ ++bufpos;
+ if (bufpos >= maxNextCharInd) {
+ FillBuff();
+ }
- if (trackLineColumn) {
- UpdateLineColumn(c);
- }
+ JAVACC_CHAR_TYPE c = buffer[bufpos];
- return c;
+ if (trackLineColumn) {
+ UpdateLineColumn(c);
}
+ return c;
+}
+
virtual void ExpandBuff(bool wrapAround);
virtual void FillBuff();
@@ -126,11 +108,12 @@ public:
* anything that they want to. For example, for efficiency, one might decide
* to just return NULL, which is a valid implementation.
*/
- virtual JJString GetImage() {
+ virtual JAVACC_STRING_TYPE GetImage() {
if (bufpos >= tokenBegin)
- return JJString(buffer + tokenBegin, bufpos - tokenBegin + 1);
+ return JAVACC_STRING_TYPE(buffer + tokenBegin, bufpos - tokenBegin + 1);
else
- return JJString(buffer + tokenBegin, bufsize - tokenBegin).append(buffer, bufpos + 1);
+ return JAVACC_STRING_TYPE(buffer + tokenBegin, bufsize - tokenBegin)
+ .append(buffer, bufpos + 1);
}
/**
@@ -139,11 +122,12 @@ public:
* for use in actions in the case of MORE. A simple and inefficient
* implementation of this is as follows :
*/
- virtual JJString GetSuffix(int len) {
+ virtual JAVACC_STRING_TYPE GetSuffix(int len) {
if ((bufpos + 1) >= len) {
- return JJString(buffer + bufpos - len + 1, len);
+ return JAVACC_STRING_TYPE(buffer + bufpos - len + 1, len);
}
- return JJString(buffer + bufsize - (len - bufpos - 1), len - bufpos - 1).append(buffer, bufpos + 1);
+ return JAVACC_STRING_TYPE(buffer + bufsize - (len - bufpos - 1), len - bufpos - 1)
+ .append(buffer, bufpos + 1);
}
/**
@@ -160,71 +144,74 @@ public:
}
bool endOfInput() {
- return inBuf == 0 && bufpos + 1 >= maxNextCharInd && inputStream->endOfInput();
+ return inBuf == 0 && bufpos + 1 >= maxNextCharInd &&
+ inputStream->endOfInput();
}
- CharStream(const JJChar *buf, int sz, int startline,
+ CharStream(const JAVACC_CHAR_TYPE *buf, int sz, int startline,
int startcolumn, int buffersize) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
- ReInit(JJString(buf, sz), startline, startcolumn, buffersize);
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0),tabSize(1), trackLineColumn(true) {
+ ReInit(JAVACC_STRING_TYPE(buf, sz), startline, startcolumn, buffersize);
}
- CharStream(const JJChar *buf, int sz, int startline, int startcolumn) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
- ReInit(JJString(buf, sz), startline, startcolumn, INITIAL_BUFFER_SIZE);
+ CharStream(const JAVACC_CHAR_TYPE *buf, int sz, int startline, int startcolumn) :
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0),tabSize(1), trackLineColumn(true) {
+ ReInit(JAVACC_STRING_TYPE(buf, sz), startline, startcolumn, INITIAL_BUFFER_SIZE);
}
- CharStream(const JJString& str, int startline,
+ CharStream(const JAVACC_STRING_TYPE& str, int startline,
int startcolumn, int buffersize) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0),tabSize(1), trackLineColumn(true) {
ReInit(str, startline, startcolumn, buffersize);
}
- CharStream(const JJString& str, int startline, int startcolumn) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
+ CharStream(const JAVACC_STRING_TYPE& str, int startline, int startcolumn) :
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0) ,tabSize(1), trackLineColumn(true){
ReInit(str, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream, int startline,
int startcolumn, int buffersize) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
- ReInit(input_stream, startline, startcolumn, buffersize);
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0),tabSize(1), trackLineColumn(true) {
+ ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream, int startline, int startcolumn) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0),tabSize(1), trackLineColumn(true) {
ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
CharStream(ReaderStream *input_stream) :
- bufline(nullptr), bufcolumn(nullptr), buffer(nullptr), bufpos(0), bufsize(0),
- tokenBegin(0), column(0), line(0), prevCharIsCR(false), prevCharIsLF(false),
- available(0), maxNextCharInd(0), inBuf(0), tabSize(1), trackLineColumn(true),
- inputStream(nullptr), deleteStream(false) {
+ bufline(NULL), bufcolumn(NULL), inputStream(NULL), deleteStream(false),
+ buffer(NULL), bufpos(0), bufsize(0), tokenBegin(0), column(0), line(0),
+ prevCharIsCR (false), prevCharIsLF (false), available(0), maxNextCharInd(0),
+ inBuf(0),tabSize(1), trackLineColumn(true) {
ReInit(input_stream, 1, 1, INITIAL_BUFFER_SIZE);
}
- virtual void ReInit(ReaderStream *input_stream, int startline, int startcolumn, int buffersize);
+ virtual void ReInit(ReaderStream *input_stream, int startline, int startcolumn,
+ int buffersize);
- virtual void ReInit(ReaderStream *input_stream, int startline, int startcolumn) {
+ virtual void ReInit(ReaderStream *input_stream, int startline,
+ int startcolumn) {
ReInit(input_stream, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
@@ -232,40 +219,39 @@ public:
ReInit(input_stream, 1, 1, INITIAL_BUFFER_SIZE);
}
- virtual void ReInit(const JJString& str, int startline,
+ virtual void ReInit(const JAVACC_STRING_TYPE& str, int startline,
int startcolumn, int buffersize);
- virtual void ReInit(const JJString& str, int startline,
+ virtual void ReInit(const JAVACC_STRING_TYPE& str, int startline,
int startcolumn) {
ReInit(str, startline, startcolumn, INITIAL_BUFFER_SIZE);
}
virtual void adjustBeginLineColumn(int newLine, int newCol);
-protected:
- virtual void UpdateLineColumn(JJChar c);
-
- int* bufline;
- int* bufcolumn;
- JJChar* buffer;
- int bufpos;
- int bufsize;
- int tokenBegin;
- int column;
- int line;
- bool prevCharIsCR;
- bool prevCharIsLF;
- int available;
- int maxNextCharInd;
- int inBuf;
- int tabSize;
- bool trackLineColumn;
- ReaderStream* inputStream;
- bool deleteStream;
+ protected:
+ virtual void UpdateLineColumn(JAVACC_CHAR_TYPE c);
+
+ int *bufline;
+ int *bufcolumn;
+ ReaderStream *inputStream;
+ bool deleteStream;
+ JAVACC_CHAR_TYPE * buffer;
+ int bufpos;
+ int bufsize;
+ int tokenBegin;
+ int column;
+ int line;
+ bool prevCharIsCR ;
+ bool prevCharIsLF ;
+ int available;
+ int maxNextCharInd;
+ int inBuf ;
+ int tabSize ;
+ bool trackLineColumn;
};
}
}
-
#endif
-/* JavaCC - OriginalChecksum=c5b4b2e72393f865547f405cc9def169 (do not edit this line) */
+/* JavaCC - OriginalChecksum=f8c313c692e78dec0bf9c85d18cb3b56 (do not edit this line) */
diff --git a/vhdlparser/ErrorHandler.h b/vhdlparser/ErrorHandler.h
index d5535e8..d3778c3 100644
--- a/vhdlparser/ErrorHandler.h
+++ b/vhdlparser/ErrorHandler.h
@@ -1,9 +1,7 @@
-/* Generated By:JavaCC: Do not edit this line. ErrorHandler.h Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. ErrorHandler.h Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,BUILD_PARSER=true,BUILD_TOKEN_MANAGER=true */
-#ifndef JAVACC_ERRORHANDLER_H
-#define JAVACC_ERRORHANDLER_H
-
-#include <stdio.h>
+#ifndef ERRORHANDLER_H
+#define ERRORHANDLER_H
#include <string>
#include "JavaCC.h"
#include "Token.h"
@@ -11,7 +9,7 @@
namespace vhdl {
namespace parser {
-JJSimpleString addUnicodeEscapes(const JJString& str);
+JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str);
class VhdlParser;
class ErrorHandler {
@@ -25,7 +23,7 @@ JJSimpleString addUnicodeEscapes(const JJString& str);
// expectedKind - token kind that the parser was trying to consume.
// expectedToken - the image of the token - tokenImages[expectedKind].
// actual - the actual token that the parser got instead.
- virtual void handleUnexpectedToken(int expectedKind, const JJString& expectedToken, Token *actual, VhdlParser *parser) {
+ virtual void handleUnexpectedToken(int expectedKind, JAVACC_STRING_TYPE expectedToken, Token *actual, VhdlParser *parser) {
error_count++;
fprintf(stderr, "Expecting %s at: %d:%d but got %s\n", addUnicodeEscapes(expectedToken).c_str(), actual->beginLine, actual->beginColumn, addUnicodeEscapes(actual->image).c_str());
}
@@ -33,14 +31,14 @@ JJSimpleString addUnicodeEscapes(const JJString& str);
// last - the last token successfully parsed.
// unexpected - the token at which the error occurs.
// production - the production in which this error occurrs.
- virtual void handleParseError(Token *last, Token *unexpected, const JJSimpleString& production, VhdlParser *parser) {
+ virtual void handleParseError(Token *last, Token *unexpected, JAVACC_SIMPLE_STRING production, VhdlParser *parser) {
error_count++;
fprintf(stderr, "Encountered: %s at: %d:%d while parsing: %s\n", addUnicodeEscapes(unexpected->image).c_str(), unexpected->beginLine, unexpected->beginColumn, production.c_str());
}
virtual int getErrorCount() {
return error_count;
}
- virtual void handleOtherError(const JJString& message, VhdlParser *parser) {
+ virtual void handleOtherError(JAVACC_STRING_TYPE message, VhdlParser *parser) {
fprintf(stderr, "Error: %s\n", (char*)message.c_str());
}
virtual ~ErrorHandler() {}
@@ -63,11 +61,11 @@ JJSimpleString addUnicodeEscapes(const JJString& str);
// errorAfter : prefix that was seen before this error occurred
// curchar : the offending character
//
- virtual void lexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, VhdlParserTokenManager* token_manager) {
+ virtual void lexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, VhdlParserTokenManager* token_manager) {
// by default, we just print an error message and return.
fprintf(stderr, "Lexical error at: %d:%d. Encountered: %c after: %s.\n", errorLine, errorColumn, curChar, (EOFSeen? "EOF" : (const char*)errorAfter.c_str()));
}
- virtual void lexicalError(const JJString& errorMessage, VhdlParserTokenManager* token_manager) {
+ virtual void lexicalError(JAVACC_STRING_TYPE errorMessage, VhdlParserTokenManager* token_manager) {
fprintf(stderr, "%s\n", (char*)errorMessage.c_str());
}
virtual ~TokenManagerErrorHandler() {}
@@ -77,5 +75,4 @@ JJSimpleString addUnicodeEscapes(const JJString& str);
}
#endif
-
-/* JavaCC - OriginalChecksum=5361b31ac6530c6c23511012deb15394 (do not edit this line) */
+/* JavaCC - OriginalChecksum=0dce21f9359cd0e5094357ad5cbc315d (do not edit this line) */
diff --git a/vhdlparser/ParseException.cc b/vhdlparser/ParseException.cc
index 9f256d9..2ebb875 100644
--- a/vhdlparser/ParseException.cc
+++ b/vhdlparser/ParseException.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. ParseException.cc Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. ParseException.cc Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "ParseException.h"
@@ -23,7 +23,7 @@ namespace parser {
*/
ParseException::ParseException(Token currentTokenVal,
int** expectedTokenSequencesVal,
- JJString* tokenImageVal
+ JAVACC_STRING_TYPE* tokenImageVal
)
{
initialise(currentTokenVal, expectedTokenSequencesVal, tokenImageVal);
@@ -46,7 +46,7 @@ namespace parser {
}
/** Constructor with message. */
- ParseException::ParseException(const JJString& message) {
+ ParseException::ParseException(JAVACC_STRING_TYPE message) {
}
@@ -69,7 +69,7 @@ namespace parser {
* parser within which the parse error occurred. This array is
* defined in the generated ...Constants class.
*/
- JJString* tokenImage;
+ JAVACC_STRING_TYPE* tokenImage;
/**
* It uses "currentToken" and "expectedTokenSequences" to generate a parse
@@ -78,12 +78,12 @@ namespace parser {
* from the parser) the correct error message
* gets displayed.
*/
- JJString ParseException::initialise(Token currentToken,
+ JAVACC_STRING_TYPE ParseException::initialise(Token currentToken,
int** expectedTokenSequences,
- JJString* tokenImage) {
+ JAVACC_STRING_TYPE* tokenImage) {
#if 0
- //JJString eol = System.getProperty("line.separator", "\n");
- expected = new JJString();
+ //JAVACC_STRING_TYPE eol = System.getProperty("line.separator", "\n");
+ expected = new JAVACC_STRING_TYPE();
int maxSize = 0;
for (int i = 0; i < expectedTokenSequences.length; i++) {
if (maxSize < expectedTokenSequences[i].length) {
@@ -93,39 +93,39 @@ namespace parser {
expected.append(tokenImage[expectedTokenSequences[i][j]]).append(' ');
}
if (expectedTokenSequences[i][expectedTokenSequences[i].length - 1] != 0) {
- expected.append((JJChar*)"...");
+ expected.append((JAVACC_CHAR_TYPE*)"...");
}
expected.append(eol).append(" ");
}
- JJString retval = (JJChar*)"Encountered \"";
+ JAVACC_STRING_TYPE retval = (JAVACC_CHAR_TYPE*)"Encountered \"";
Token tok = currentToken.next;
for (int i = 0; i < maxSize; i++) {
- if (i != 0) retval += (JJChar*)" ";
+ if (i != 0) retval += (JAVACC_CHAR_TYPE*)" ";
if (tok.kind == 0) {
retval += tokenImage[0];
break;
}
- retval += (JJChar*)" " + tokenImage[tok.kind];
- retval += (JJChar*)" \"";
+ retval += (JAVACC_CHAR_TYPE*)" " + tokenImage[tok.kind];
+ retval += (JAVACC_CHAR_TYPE*)" \"";
retval += add_escapes(tok.image);
- retval += (JJChar*)" \"";
+ retval += (JAVACC_CHAR_TYPE*)" \"";
tok = tok.next;
}
- retval += (JJChar*)"\" at line " + currentToken.next.beginLine + (JJChar*)", column " + currentToken.next.beginColumn;
- retval += (JJChar*)"." + eol;
+ retval += (JAVACC_CHAR_TYPE*)"\" at line " + currentToken.next.beginLine + (JAVACC_CHAR_TYPE*)", column " + currentToken.next.beginColumn;
+ retval += (JAVACC_CHAR_TYPE*)"." + eol;
if (expectedTokenSequences.length == 1) {
- retval += (JJChar*)"Was expecting:" + eol + (JJChar*)" ";
+ retval += (JAVACC_CHAR_TYPE*)"Was expecting:" + eol + (JAVACC_CHAR_TYPE*)" ";
} else {
- retval += (JJChar*)"Was expecting one of:" + eol + (JJChar*)" ";
+ retval += (JAVACC_CHAR_TYPE*)"Was expecting one of:" + eol + (JAVACC_CHAR_TYPE*)" ";
}
retval += expected.toString();
return retval;
#endif
- return (JJChar*)"Parse exception";
+ return (JAVACC_CHAR_TYPE*)"Parse exception";
}
/**
- * The end of line JJString for this machine.
+ * The end of line JAVACC_STRING_TYPE for this machine.
*/
#define eol "\n"
@@ -134,10 +134,10 @@ namespace parser {
* when these raw version cannot be used as part of an ASCII
* string literal.
*/
- JJString ParseException::add_escapes(const JJString& str) {
+ JAVACC_STRING_TYPE ParseException::add_escapes(JAVACC_STRING_TYPE str) {
/*
- JJString *retval = new JJString();
- JJChar ch;
+ JAVACC_STRING_TYPE *retval = new JAVACC_STRING_TYPE();
+ JAVACC_CHAR_TYPE ch;
for (int i = 0; i < str.length(); i++) {
switch (str.charAt(i))
{
@@ -167,7 +167,7 @@ namespace parser {
continue;
default:
if ((ch = str.charAt(i)) < 0x20 || ch > 0x7e) {
- JJString s = "0000" + Integer.toString(ch, 16);
+ JAVACC_STRING_TYPE s = "0000" + Integer.toString(ch, 16);
retval.append("\\u" + s.substring(s.length() - 4, s.length()));
} else {
retval.append(ch);
@@ -181,4 +181,4 @@ namespace parser {
}
}
-/* JavaCC - OriginalChecksum=7a72405661a136830ccf7f3cab0fffdc (do not edit this line) */
+/* JavaCC - OriginalChecksum=e25164d58610a09ceb227db80376beba (do not edit this line) */
diff --git a/vhdlparser/ParseException.h b/vhdlparser/ParseException.h
index c4afc27..d69c391 100644
--- a/vhdlparser/ParseException.h
+++ b/vhdlparser/ParseException.h
@@ -1,8 +1,7 @@
-/* Generated By:JavaCC: Do not edit this line. ParseException.h Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. ParseException.h Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef JAVACC_PARSE_EXCEPTION_H
-#define JAVACC_PARSE_EXCEPTION_H
-
+#ifndef _PARSE_EXCEPTION_H
+#define _PARSE_EXCEPTION_H
#include "JavaCC.h"
#include "Token.h"
@@ -29,7 +28,7 @@ class ParseException {
*/
ParseException(Token currentTokenVal,
int** expectedTokenSequencesVal,
- JJString* tokenImageVal
+ JAVACC_STRING_TYPE* tokenImageVal
);
/**
@@ -45,7 +44,7 @@ class ParseException {
ParseException();
/** Constructor with message. */
- ParseException(const JJString& message);
+ ParseException(JAVACC_STRING_TYPE message);
/**
@@ -67,7 +66,7 @@ class ParseException {
* parser within which the parse error occurred. This array is
* defined in the generated ...Constants class.
*/
- JJString* tokenImage;
+ JAVACC_STRING_TYPE* tokenImage;
/**
* It uses "currentToken" and "expectedTokenSequences" to generate a parse
@@ -76,9 +75,9 @@ class ParseException {
* from the parser) the correct error message
* gets displayed.
*/
- private: JJString initialise(Token currentToken,
+ private: JAVACC_STRING_TYPE initialise(Token currentToken,
int** expectedTokenSequences,
- JJString* tokenImage);
+ JAVACC_STRING_TYPE* tokenImage);
/**
* The end of line string for this machine.
@@ -90,12 +89,11 @@ class ParseException {
* when these raw version cannot be used as part of an ASCII
* string literal.
*/
- JJString add_escapes(const JJString& str);
+ JAVACC_STRING_TYPE add_escapes(JAVACC_STRING_TYPE str);
};
}
}
-
#endif
-/* JavaCC - OriginalChecksum=6705523c0ea4e83f3c38da57253c0aea (do not edit this line) */
+/* JavaCC - OriginalChecksum=339b52f10b77d027ae2838b74a4934e1 (do not edit this line) */
diff --git a/vhdlparser/Token.cc b/vhdlparser/Token.cc
index d47dc68..d6fdebd 100644
--- a/vhdlparser/Token.cc
+++ b/vhdlparser/Token.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. Token.cc Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. Token.cc Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,TOKEN_INCLUDES=,TOKEN_EXTENDS= */
#include "Token.h"
@@ -18,46 +18,42 @@ namespace parser {
* override this method as appropriate.
*/
void * Token::getValue() {
- return nullptr;
+ return NULL;
}
/**
* No-argument constructor
*/
- Token::Token() :
- beginLine(0), beginColumn(0), endLine(0), endColumn(0)
- {
- this->next = nullptr;
- this->specialToken = nullptr;
+ Token::Token() {
+ this->next = NULL;
+ this->specialToken = NULL;
}
/**
* Constructs a new token for the specified Image.
*/
- Token::Token(int kind) :
- beginLine(0), beginColumn(0), endLine(0), endColumn(0)
+ Token::Token(int kind)
{
this->kind = kind;
- this->next = nullptr;
- this->specialToken = nullptr;
+ this->next = NULL;
+ this->specialToken = NULL;
}
/**
* Constructs a new token for the specified Image and Kind.
*/
- Token::Token(int kind, const JJString& image)
- : beginLine(0), beginColumn(0), endLine(0), endColumn(0)
+ Token::Token(int kind, JAVACC_STRING_TYPE image)
{
this->kind = kind;
this->image = image;
- this->next = nullptr;
- this->specialToken = nullptr;
+ this->next = NULL;
+ this->specialToken = NULL;
}
/**
* Returns the image.
*/
- const JJString& Token::toString()
+ JAVACC_STRING_TYPE Token::toString()
{
return image;
}
@@ -74,7 +70,7 @@ namespace parser {
* to the following switch statement. Then you can cast matchedToken
* variable to the appropriate type and use sit in your lexical actions.
*/
- Token *Token::newToken(int ofKind, const JJString& image)
+ Token *Token::newToken(int ofKind, JAVACC_STRING_TYPE image)
{
switch(ofKind)
{
@@ -84,16 +80,13 @@ namespace parser {
Token *Token::newToken(int ofKind)
{
- return newToken(ofKind, JJString());
+ return newToken(ofKind, JAVACC_STRING_TYPE((JAVACC_CHAR_TYPE*)""));
}
Token::~Token() {
if (specialToken) delete specialToken;
- this->kind = 0;
- this->next = nullptr;
- this->specialToken = nullptr;
}
}
}
-/* JavaCC - OriginalChecksum=01131f3b3906a670aa8fee6224233701 (do not edit this line) */
+/* JavaCC - OriginalChecksum=ad49760663454c3609232308a7bb7379 (do not edit this line) */
diff --git a/vhdlparser/Token.h b/vhdlparser/Token.h
index 3575005..a1ac519 100644
--- a/vhdlparser/Token.h
+++ b/vhdlparser/Token.h
@@ -1,8 +1,7 @@
-/* Generated By:JavaCC: Do not edit this line. Token.h Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. Token.h Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true,TOKEN_INCLUDES=,TOKEN_EXTENDS= */
-#ifndef JAVACC_TOKEN_H
-#define JAVACC_TOKEN_H
-
+#ifndef TOKEN_H
+#define TOKEN_H
#include "JavaCC.h"
@@ -36,7 +35,7 @@ class Token
/**
* The string image of the token.
*/
- JJString image;
+ JAVACC_STRING_TYPE image;
/**
* A reference to the next regular (non-special) token from the input
@@ -85,15 +84,14 @@ class Token
/**
* Constructs a new token for the specified Image and Kind.
*/
- Token(int kind, const JJString& image);
-
- virtual ~Token();
+ Token(int kind, JAVACC_STRING_TYPE image);
/**
* Returns the image.
*/
- const JJString& toString();
+ JAVACC_STRING_TYPE toString();
+ public: virtual ~Token();
/**
* Returns a new Token void *, by default. However, if you want, you
@@ -107,13 +105,12 @@ class Token
* to the following switch statement. Then you can cast matchedToken
* variable to the appropriate type and use sit in your lexical actions.
*/
- static Token *newToken(int ofKind, const JJString& image);
+ static Token *newToken(int ofKind, JAVACC_STRING_TYPE image);
static Token *newToken(int ofKind);
};
}
}
-
#endif
-/* JavaCC - OriginalChecksum=8c237423e3d9695c3bfcf539bcf92375 (do not edit this line) */
+/* JavaCC - OriginalChecksum=d80e40280c93973eed89266ba3cfbdca (do not edit this line) */
diff --git a/vhdlparser/TokenManager.h b/vhdlparser/TokenManager.h
index 6b158ae..9aed782 100644
--- a/vhdlparser/TokenManager.h
+++ b/vhdlparser/TokenManager.h
@@ -1,12 +1,11 @@
-/* Generated By:JavaCC: Do not edit this line. TokenManager.h Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. TokenManager.h Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef JAVACC_TOKENMANAGER_H
-#define JAVACC_TOKENMANAGER_H
-
-#include <iostream>
+#ifndef TOKENMANAGER_H
+#define TOKENMANAGER_H
#include "JavaCC.h"
#include "Token.h"
+
namespace vhdl {
namespace parser {
/**
@@ -20,17 +19,15 @@ public:
/** This gets the next token from the input stream.
* A token of kind 0 (<EOF>) should be returned on EOF.
*/
- virtual ~TokenManager() { }
- virtual Token *getNextToken() = 0;
- virtual void setParser(void* parser) {};
- virtual void lexicalError() {
- std::cerr << "Lexical error encountered." << std::endl;
+ public: virtual Token *getNextToken() = 0;
+ public: virtual ~TokenManager() { }
+ public: virtual void lexicalError() {
+ fprintf(stderr, "Lexical error encountered.\n");
}
};
}
}
-
#endif
-/* JavaCC - OriginalChecksum=c5e389e7ee25f48da34e517ae429ac2a (do not edit this line) */
+/* JavaCC - OriginalChecksum=c9208158050f6de2e723c580fee8011c (do not edit this line) */
diff --git a/vhdlparser/TokenMgrError.cc b/vhdlparser/TokenMgrError.cc
index 3560805..d6c43ee 100644
--- a/vhdlparser/TokenMgrError.cc
+++ b/vhdlparser/TokenMgrError.cc
@@ -1,4 +1,4 @@
-/* Generated By:JavaCC: Do not edit this line. TokenMgrError.cc Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. TokenMgrError.cc Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
#include "TokenMgrError.h"
@@ -14,17 +14,17 @@ namespace parser {
* errorLine : line number when the error occurred
* errorColumn : column number when the error occurred
* errorAfter : prefix that was seen before this error occurred
- * curJJChar : the offending character
+ * curJAVACC_CHAR_TYPE : the offending character
* Note: You can customize the lexical error message by modifying this method.
*/
- JJString TokenMgrError::LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar) {
+ JAVACC_STRING_TYPE TokenMgrError::LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar) {
#if 0
- JJString s;
- stringstream<JJString> ss;
+ JAVACC_STRING_TYPE s;
+ stringstream<JAVACC_STRING_TYPE> ss;
ss << "Lexical error at line " << errorLine << " column " << errorColumn
<< ". Encountered: " << curChar << "(" << (int)curChar
<< ") after : \"" << errorAfter.c_str() << "\"";
- return (JJString)ss.rdbuf()->str();
+ return (JAVACC_STRING_TYPE)ss.rdbuf()->str();
#endif
return EMPTY;
}
@@ -38,7 +38,7 @@ namespace parser {
*
* from this method for such cases in the release version of your parser.
*/
- JJString TokenMgrError::getMessage() {
+ JAVACC_STRING_TYPE TokenMgrError::getMessage() {
return message;
}
@@ -51,12 +51,12 @@ namespace parser {
}
/** Constructor with message and reason. */
- TokenMgrError::TokenMgrError(const JJString& message, int reason) {
+ TokenMgrError::TokenMgrError(JAVACC_STRING_TYPE message, int reason) {
errorCode = reason;
}
/** Full Constructor. */
- TokenMgrError::TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, int reason) {
+ TokenMgrError::TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, int reason) {
message = LexicalError(EOFSeen, lexState, errorLine, errorColumn, errorAfter, curChar);
errorCode = reason;
}
@@ -73,10 +73,10 @@ char hexChar(int i) {
* Replaces unprintable characters by their escaped (or unicode escaped)
* equivalents in the given string
*/
-JJSimpleString addUnicodeEscapes(const JJString& str) {
- JJSimpleString retval;
+JAVACC_SIMPLE_STRING addUnicodeEscapes(JAVACC_STRING_TYPE str) {
+ JAVACC_SIMPLE_STRING retval;
for (size_t i = 0; i < str.size(); i++) {
- JJChar ch = str[i];
+ JAVACC_CHAR_TYPE ch = str[i];
switch (ch)
{
case '\b':
@@ -115,4 +115,4 @@ JJSimpleString addUnicodeEscapes(const JJString& str) {
}
}
-/* JavaCC - OriginalChecksum=ed66d80b94c73435ce2644e44f7b4d49 (do not edit this line) */
+/* JavaCC - OriginalChecksum=c94a22f5e55a710d715f7e0d07db2460 (do not edit this line) */
diff --git a/vhdlparser/TokenMgrError.h b/vhdlparser/TokenMgrError.h
index 90c7530..32905ec 100644
--- a/vhdlparser/TokenMgrError.h
+++ b/vhdlparser/TokenMgrError.h
@@ -1,15 +1,14 @@
-/* Generated By:JavaCC: Do not edit this line. TokenMgrError.h Version 7.0 */
+/* Generated By:JavaCC: Do not edit this line. TokenMgrError.h Version 6.1 */
/* JavaCCOptions:STATIC=false,SUPPORT_CLASS_VISIBILITY_PUBLIC=true */
-#ifndef JAVACC_TOKENMGRERROR_H
-#define JAVACC_TOKENMGRERROR_H
-
+#ifndef _TOKENMGRERROR_H
+#define _TOKENMGRERROR_H
#include "JavaCC.h"
namespace vhdl {
namespace parser {
-enum LexerErrors {
+ enum LexerErrors {
/**
* Lexical error occurred.
*/
@@ -29,10 +28,11 @@ enum LexerErrors {
* Detected (and bailed out of) an infinite loop in the token manager.
*/
LOOP_DETECTED = 3,
-};
+ };
-class TokenMgrError {
-public:
+class TokenMgrError
+{
+ public:
/*
* Ordinals for various reasons why an Error of this type can be thrown.
*/
@@ -55,10 +55,9 @@ public:
* curchar : the offending character
* Note: You can customize the lexical error message by modifying this method.
*/
- JJString LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar);
+ JAVACC_STRING_TYPE LexicalError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar);
-private:
- JJString message;
+ private: JAVACC_STRING_TYPE message;
/**
* You can also modify the body of this method to customize your error messages.
@@ -69,25 +68,23 @@ private:
*
* from this method for such cases in the release version of your parser.
*/
-public:
- JJString getMessage() ;
+ JAVACC_STRING_TYPE getMessage() ;
/*
* Constructors of various flavors follow.
*/
/** No arg constructor. */
- TokenMgrError() ;
+ public: TokenMgrError() ;
/** Constructor with message and reason. */
- TokenMgrError(const JJString& message, int reason) ;
+ public: TokenMgrError(JAVACC_STRING_TYPE message, int reason) ;
/** Full Constructor. */
- TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, const JJString& errorAfter, JJChar curChar, int reason) ;
+ public: TokenMgrError(bool EOFSeen, int lexState, int errorLine, int errorColumn, JAVACC_STRING_TYPE errorAfter, JAVACC_CHAR_TYPE curChar, int reason) ;
};
}
}
-
#endif
-/* JavaCC - OriginalChecksum=4ab4cdcdef6a3feec22fd9c00ba86e07 (do not edit this line) */
+/* JavaCC - OriginalChecksum=a97a71ddb969ae96c94e5d370217e1a8 (do not edit this line) */
diff --git a/vhdlparser/VhdlParser.cc b/vhdlparser/VhdlParser.cc
index 35f2ff6..1c36d01 100644
--- a/vhdlparser/VhdlParser.cc
+++ b/vhdlparser/VhdlParser.cc
@@ -1,6 +1,5 @@
/* VhdlParser.cc */
-#include "VhdlParser.h"
-#include "TokenMgrError.h"
+#include "/Users/dimitri/doxygen/vhdlparser/VhdlParser.h"
namespace vhdl {
namespace parser {
unsigned int jj_la1_0[] = {
@@ -23,31 +22,37 @@ namespace parser {
QCString VhdlParser::abstract_literal() {Token *tok;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DECIMAL_LITERAL:{
- if (!hasError) {
+ case DECIMAL_LITERAL:{if (!hasError) {
+
tok = jj_consume_token(DECIMAL_LITERAL);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case INTEGER:{
- if (!hasError) {
+ case INTEGER:{if (!hasError) {
+
tok = jj_consume_token(INTEGER);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case BASED_LITERAL:{
- if (!hasError) {
+ case BASED_LITERAL:{if (!hasError) {
+
tok = jj_consume_token(BASED_LITERAL);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -56,104 +61,122 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;
- if (!hasError) {
+QCString VhdlParser::access_type_definition() {Token *tok=0;QCString str,str1;if (!hasError) {
+
tok = jj_consume_token(ACCESS_T);
}
if (!hasError) {
+
str1 = subtype_indication();
}
+
str=tok->image.c_str(); return str+str1;
assert(false);
-}
+ }
QCString VhdlParser::actual_designator() {QCString str;Token *t=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OPEN_T:{
- if (!hasError) {
+ case OPEN_T:{if (!hasError) {
+
t = jj_consume_token(OPEN_T);
}
if (!hasError) {
+
return t->image.c_str();
}
+
break;
}
default:
jj_la1[1] = jj_gen;
- if (jj_2_1(2147483647)) {
- if (!hasError) {
+ if (jj_2_1(2147483647)) {if (!hasError) {
+
str = expression();
}
if (!hasError) {
+
return str;
}
- } else if (jj_2_2(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_2(2147483647)) {if (!hasError) {
+
str = name();
}
if (!hasError) {
+
return str;
}
+
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::actual_parameter_part() {QCString s;
- if (!hasError) {
+QCString VhdlParser::actual_parameter_part() {QCString s;if (!hasError) {
+
s = association_list();
}
+
return s;
assert(false);
-}
+ }
QCString VhdlParser::actual_part() {QCString s,s1;
- if (jj_2_3(2147483647)) {
- if (!hasError) {
+ if (jj_2_3(2147483647)) {if (!hasError) {
+
s = actual_designator();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BOX_T:{
- if (!hasError) {
+ case BOX_T:{if (!hasError) {
+
jj_consume_token(BOX_T);
}
if (!hasError) {
+
return "<>";
}
+
break;
}
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = actual_designator();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+="(";s+=s1+")";return s;
}
+
break;
}
default:
@@ -163,36 +186,42 @@ s+="(";s+=s1+")";return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::adding_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PLUS_T:{
- if (!hasError) {
+ case PLUS_T:{if (!hasError) {
+
jj_consume_token(PLUS_T);
}
if (!hasError) {
+
return "+";
}
+
break;
}
- case MINUS_T:{
- if (!hasError) {
+ case MINUS_T:{if (!hasError) {
+
jj_consume_token(MINUS_T);
}
if (!hasError) {
+
return "-";
}
+
break;
}
- case AMPERSAND_T:{
- if (!hasError) {
+ case AMPERSAND_T:{if (!hasError) {
+
jj_consume_token(AMPERSAND_T);
}
if (!hasError) {
+
return "&";
}
+
break;
}
default:
@@ -201,17 +230,19 @@ return "&";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::aggregate() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::aggregate() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s = element_association();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -221,49 +252,60 @@ QCString VhdlParser::aggregate() {QCString s,s1,s2;
default:
jj_la1[4] = jj_gen;
goto end_label_1;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = element_association();
}
if (!hasError) {
+
s+=","+s1;
}
+
}
end_label_1: ;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return "("+s+")";
assert(false);
-}
+ }
-QCString VhdlParser::alias_declaration() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::alias_declaration() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(ALIAS_T);
}
if (!hasError) {
+
s2 = alias_designator();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COLON_T:{
- if (!hasError) {
+ case COLON_T:{if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
if (!hasError) {
+
s1 = subtype_indication();
}
if (!hasError) {
+
s+=s1;
}
+
break;
}
default:
@@ -272,26 +314,33 @@ s+=s1;
}
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
s+=" is ";
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s+=s1;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LBRACKET_T:{
- if (!hasError) {
+ case LBRACKET_T:{if (!hasError) {
+
s1 = signature();
}
if (!hasError) {
+
s+=s1;
}
+
break;
}
default:
@@ -300,43 +349,51 @@ s+=s1;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
addVhdlType(s2.data(),getLine(ALIAS_T),Entry::VARIABLE_SEC,VhdlDocGen::ALIAS,0,s.data(),Public);
return s2+" "+s+";";
assert(false);
-}
+ }
QCString VhdlParser::alias_designator() {Token *tok=0;QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CHARACTER_LITERAL:{
- if (!hasError) {
+ case CHARACTER_LITERAL:{if (!hasError) {
+
tok = jj_consume_token(CHARACTER_LITERAL);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case STRINGLITERAL:{
- if (!hasError) {
+ case STRINGLITERAL:{if (!hasError) {
+
s = operator_symbol();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -345,26 +402,30 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::allocator() {
- if (jj_2_4(3)) {
- if (!hasError) {
+ if (jj_2_4(3)) {if (!hasError) {
+
jj_consume_token(NEW_T);
}
if (!hasError) {
+
qualified_expression();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case NEW_T:{
- if (!hasError) {
+ case NEW_T:{if (!hasError) {
+
jj_consume_token(NEW_T);
}
if (!hasError) {
+
subtype_indication();
}
+
break;
}
default:
@@ -373,26 +434,31 @@ void VhdlParser::allocator() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
-void VhdlParser::architecture_body() {QCString s,s1;
- if (!hasError) {
+void VhdlParser::architecture_body() {QCString s,s1;if (!hasError) {
+
jj_consume_token(ARCHITECTURE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(OF_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
QCString t=s1+"::"+s;
genLabels.resize(0);
pushLabel(genLabels,s1);
@@ -400,29 +466,36 @@ QCString t=s1+"::"+s;
addVhdlType(t,getLine(ARCHITECTURE_T),Entry::CLASS_SEC,VhdlDocGen::ARCHITECTURE,0,0,Private);
}
if (!hasError) {
- try {
- if (!hasError) {
+
+ try {if (!hasError) {
+
architecture_declarative_part();
}
+
} catch ( ...) {
error_skipto(BEGIN_T);
}
}
if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
if (!hasError) {
+
architecture_statement_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARCHITECTURE_T:{
- if (!hasError) {
+ case ARCHITECTURE_T:{if (!hasError) {
+
jj_consume_token(ARCHITECTURE_T);
}
+
break;
}
default:
@@ -431,14 +504,16 @@ error_skipto(BEGIN_T);
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -447,14 +522,16 @@ error_skipto(BEGIN_T);
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
lastEntity=0;lastCompound=0; genLabels.resize(0);
-}
+ }
-void VhdlParser::architecture_declarative_part() {
- if (!hasError) {
+void VhdlParser::architecture_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -481,18 +558,20 @@ void VhdlParser::architecture_declarative_part() {
default:
jj_la1[11] = jj_gen;
goto end_label_2;
- }
- if (!hasError) {
+ }if (!hasError) {
+
block_declarative_item();
}
+
}
end_label_2: ;
}
-}
+
+ }
-void VhdlParser::architecture_statement_part() {
- if (!hasError) {
+void VhdlParser::architecture_statement_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -512,33 +591,39 @@ void VhdlParser::architecture_statement_part() {
default:
jj_la1[12] = jj_gen;
goto end_label_3;
- }
- if (!hasError) {
+ }if (!hasError) {
+
concurrent_statement();
}
+
}
end_label_3: ;
}
-}
+
+ }
QCString VhdlParser::array_type_definition() {QCString s;
- if (jj_2_5(2147483647)) {
- if (!hasError) {
+ if (jj_2_5(2147483647)) {if (!hasError) {
+
s = unconstraint_array_definition();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARRAY_T:{
- if (!hasError) {
+ case ARRAY_T:{if (!hasError) {
+
s = constraint_array_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -548,25 +633,29 @@ return s;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;
- if (!hasError) {
+QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
+
jj_consume_token(ASSERT_T);
}
if (!hasError) {
+
s = condition();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REPORT_T:{
- if (!hasError) {
+ case REPORT_T:{if (!hasError) {
+
t = jj_consume_token(REPORT_T);
}
if (!hasError) {
+
s1 = expression();
}
+
break;
}
default:
@@ -575,14 +664,17 @@ QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SEVERITY_T:{
- if (!hasError) {
+ case SEVERITY_T:{if (!hasError) {
+
t1 = jj_consume_token(SEVERITY_T);
}
if (!hasError) {
+
s2 = expression();
}
+
break;
}
default:
@@ -590,25 +682,28 @@ QCString VhdlParser::assertion() {QCString s,s1,s2;Token *t=0;Token *t1=0;
;
}
}
+
s.prepend("assert ");
if(t) s1.prepend(" report ");
if(t1) s2.prepend(" report ");
return s+s1+s2;
assert(false);
-}
+ }
-QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;
- if (!hasError) {
+QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = label();
}
if (!hasError) {
+
t = jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -617,43 +712,51 @@ QCString VhdlParser::assertion_statement() {QCString s,s1,s2;Token *t=0;
}
}
if (!hasError) {
+
s1 = assertion();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(t) s+=":";
return s+s1+";";
assert(false);
-}
+ }
-QCString VhdlParser::association_element() {QCString s,s1;
- if (!hasError) {
- if (jj_2_6(2147483647)) {
- if (!hasError) {
+QCString VhdlParser::association_element() {QCString s,s1;if (!hasError) {
+
+ if (jj_2_6(2147483647)) {if (!hasError) {
+
s = formal_part();
}
if (!hasError) {
+
jj_consume_token(ARROW_T);
}
+
} else {
;
}
}
if (!hasError) {
+
s1 = actual_part();
}
+
return s+" => "+s1;
assert(false);
-}
+ }
-QCString VhdlParser::association_list() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::association_list() {QCString s,s1;if (!hasError) {
+
s = association_element();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -663,65 +766,78 @@ QCString VhdlParser::association_list() {QCString s,s1;
default:
jj_la1[17] = jj_gen;
goto end_label_4;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = association_element();
}
if (!hasError) {
+
s+=","+s1;
}
+
}
end_label_4: ;
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::attribute_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::attribute_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(ATTRIBUTE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = type_mark();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
addVhdlType(s.data(),getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,s1.data(),Public);
return " attribute "+s+":"+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::attribute_designator() {QCString s;Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case RANGE_T:{
- if (!hasError) {
+ case RANGE_T:{if (!hasError) {
+
tok = jj_consume_token(RANGE_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -730,37 +846,45 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::attribute_name() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::attribute_name() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s+="'"+s1;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+"("+s1+")";
}
+
break;
}
default:
@@ -768,104 +892,120 @@ s+"("+s1+")";
;
}
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::attribute_specification() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::attribute_specification() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(ATTRIBUTE_T);
}
if (!hasError) {
+
s = attribute_designator();
}
if (!hasError) {
+
jj_consume_token(OF_T);
}
if (!hasError) {
+
s1 = entity_specification();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
s2 = expression();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
QCString t= s1+" is "+s2;
addVhdlType(s.data(),getLine(ATTRIBUTE_T),Entry::VARIABLE_SEC,VhdlDocGen::ATTRIBUTE,0,t.data(),Public);
return " attribute "+s+" of "+s1+ " is "+s2+";";
assert(false);
-}
+ }
-QCString VhdlParser::base() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::base() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(INTEGER);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-QCString VhdlParser::base_specifier() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::base_specifier() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(BASIC_IDENTIFIER);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-QCString VhdlParser::base_unit_declaration() {QCString s;
- if (!hasError) {
+QCString VhdlParser::base_unit_declaration() {QCString s;if (!hasError) {
+
s = identifier();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::based_integer() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::based_integer() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(BASIC_IDENTIFIER);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-QCString VhdlParser::based_literal() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::based_literal() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(BASED_LITERAL);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-QCString VhdlParser::basic_identifier() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::basic_identifier() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(BASIC_IDENTIFIER);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-void VhdlParser::binding_indication() {
- if (!hasError) {
+void VhdlParser::binding_indication() {if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
jj_consume_token(USE_T);
}
if (!hasError) {
+
entity_aspect();
}
+
break;
}
default:
@@ -874,11 +1014,13 @@ void VhdlParser::binding_indication() {
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
generic_map_aspect();
}
+
break;
}
default:
@@ -887,11 +1029,13 @@ void VhdlParser::binding_indication() {
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{
- if (!hasError) {
+ case PORT_T:{if (!hasError) {
+
port_map_aspect();
}
+
break;
}
default:
@@ -899,35 +1043,40 @@ void VhdlParser::binding_indication() {
;
}
}
-}
+
+ }
-QCString VhdlParser::bit_string_literal() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::bit_string_literal() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(BIT_STRING_LITERAL);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-QCString VhdlParser::bit_value() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::bit_value() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(BASIC_IDENTIFIER);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-void VhdlParser::block_configuration() {
- if (!hasError) {
+void VhdlParser::block_configuration() {if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
block_specification();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case USE_T:{
@@ -937,14 +1086,16 @@ void VhdlParser::block_configuration() {
default:
jj_la1[23] = jj_gen;
goto end_label_5;
- }
- if (!hasError) {
+ }if (!hasError) {
+
use_clause();
}
+
}
end_label_5: ;
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FOR_T:{
@@ -954,23 +1105,28 @@ void VhdlParser::block_configuration() {
default:
jj_la1[24] = jj_gen;
goto end_label_6;
- }
- if (!hasError) {
+ }if (!hasError) {
+
configuration_item();
}
+
}
end_label_6: ;
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
void VhdlParser::block_declarative_item() {
@@ -978,105 +1134,121 @@ void VhdlParser::block_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
type_declaration();
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
subtype_declaration();
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
constant_declaration();
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
signal_declaration();
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
variable_declaration();
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
file_declaration();
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
alias_declaration();
}
+
break;
}
- case COMPONENT_T:{
- if (!hasError) {
+ case COMPONENT_T:{if (!hasError) {
+
component_declaration();
}
+
break;
}
default:
jj_la1[25] = jj_gen;
- if (jj_2_7(2147483647)) {
- if (!hasError) {
+ if (jj_2_7(2147483647)) {if (!hasError) {
+
attribute_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
attribute_specification();
}
+
break;
}
- case FOR_T:{
- if (!hasError) {
+ case FOR_T:{if (!hasError) {
+
configuration_specification();
}
+
break;
}
- case DISCONNECT_T:{
- if (!hasError) {
+ case DISCONNECT_T:{if (!hasError) {
+
disconnection_specification();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
jj_la1[26] = jj_gen;
- if (jj_2_8(3)) {
- if (!hasError) {
+ if (jj_2_8(3)) {if (!hasError) {
+
group_template_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
group_declaration();
}
+
break;
}
default:
@@ -1088,11 +1260,11 @@ void VhdlParser::block_declarative_item() {
}
}
}
-}
+ }
-void VhdlParser::block_declarative_part() {
- if (!hasError) {
+void VhdlParser::block_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -1119,31 +1291,36 @@ void VhdlParser::block_declarative_part() {
default:
jj_la1[28] = jj_gen;
goto end_label_7;
- }
- if (!hasError) {
+ }if (!hasError) {
+
block_declarative_item();
}
+
}
end_label_7: ;
}
-}
+
+ }
-void VhdlParser::block_header() {
- if (!hasError) {
- if (jj_2_9(2147483647)) {
- if (!hasError) {
+void VhdlParser::block_header() {if (!hasError) {
+
+ if (jj_2_9(2147483647)) {if (!hasError) {
+
generic_clause();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
generic_map_aspect();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -1151,25 +1328,30 @@ void VhdlParser::block_header() {
;
}
}
+
} else {
;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{
- if (!hasError) {
+ case PORT_T:{if (!hasError) {
+
port_clause();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{
- if (!hasError) {
+ case PORT_T:{if (!hasError) {
+
port_map_aspect();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -1177,6 +1359,7 @@ void VhdlParser::block_header() {
;
}
}
+
break;
}
default:
@@ -1184,25 +1367,30 @@ void VhdlParser::block_header() {
;
}
}
-}
+
+ }
-void VhdlParser::block_specification() {
- if (!hasError) {
+void VhdlParser::block_specification() {if (!hasError) {
+
name();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
index_specification();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
break;
}
default:
@@ -1210,34 +1398,42 @@ void VhdlParser::block_specification() {
;
}
}
-}
+
+ }
-void VhdlParser::block_statement() {QCString s;
- if (!hasError) {
+void VhdlParser::block_statement() {QCString s;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
jj_consume_token(BLOCK_T);
}
if (!hasError) {
+
pushLabel(genLabels,s);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
break;
}
default:
@@ -1246,11 +1442,13 @@ pushLabel(genLabels,s);
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
jj_consume_token(IS_T);
}
+
break;
}
default:
@@ -1259,30 +1457,38 @@ pushLabel(genLabels,s);
}
}
if (!hasError) {
+
block_header();
}
if (!hasError) {
+
block_declarative_part();
}
if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
if (!hasError) {
+
block_statement_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(BLOCK_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -1291,14 +1497,16 @@ pushLabel(genLabels,s);
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
genLabels=popLabel(genLabels);
-}
+ }
-void VhdlParser::block_statement_part() {
- if (!hasError) {
+void VhdlParser::block_statement_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -1318,27 +1526,31 @@ void VhdlParser::block_statement_part() {
default:
jj_la1[36] = jj_gen;
goto end_label_8;
- }
- if (!hasError) {
+ }if (!hasError) {
+
concurrent_statement();
}
+
}
end_label_8: ;
}
-}
+
+ }
-void VhdlParser::case_statement() {QCString s;
- if (!hasError) {
+void VhdlParser::case_statement() {QCString s;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -1347,22 +1559,28 @@ void VhdlParser::case_statement() {QCString s;
}
}
if (!hasError) {
+
jj_consume_token(CASE_T);
}
if (!hasError) {
+
s = expression();
}
if (!hasError) {
+
QCString ca="case "+s;
FlowChart::addFlowChart(FlowChart::CASE_NO,0,ca);
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
case_statement_alternative();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case WHEN_T:{
@@ -1372,26 +1590,31 @@ QCString ca="case "+s;
default:
jj_la1[38] = jj_gen;
goto end_label_9;
- }
- if (!hasError) {
+ }if (!hasError) {
+
case_statement_alternative();
}
+
}
end_label_9: ;
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(CASE_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -1400,75 +1623,91 @@ QCString ca="case "+s;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::END_CASE,"end case",0);
-}
+ }
-void VhdlParser::case_statement_alternative() {QCString s;
- if (!hasError) {
+void VhdlParser::case_statement_alternative() {QCString s;if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
s = choices();
}
if (!hasError) {
+
jj_consume_token(ARROW_T);
}
if (!hasError) {
+
QCString t="when ";
t+=s+"=> ";
FlowChart::addFlowChart(FlowChart::WHEN_NO,s.data(),t);
}
if (!hasError) {
+
sequence_of_statement();
}
+
FlowChart::moveToPrevLevel();
-}
+ }
-QCString VhdlParser::character_literal() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::character_literal() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(CHARACTER_LITERAL);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::choice() {QCString s;
- if (jj_2_10(2147483647)) {
- if (!hasError) {
+ if (jj_2_10(2147483647)) {if (!hasError) {
+
s = discrete_range();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_11(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_11(2147483647)) {if (!hasError) {
+
s = simple_expression();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_12(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_12(2147483647)) {if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OTHER_T:{
- if (!hasError) {
+ case OTHER_T:{if (!hasError) {
+
jj_consume_token(OTHER_T);
}
if (!hasError) {
+
return " others ";
}
+
break;
}
default:
@@ -1478,14 +1717,15 @@ return " others ";
}
}
assert(false);
-}
+ }
-QCString VhdlParser::choices() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::choices() {QCString s,s1;if (!hasError) {
+
s = choice();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BAR_T:{
@@ -1495,43 +1735,51 @@ QCString VhdlParser::choices() {QCString s,s1;
default:
jj_la1[41] = jj_gen;
goto end_label_10;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(BAR_T);
}
if (!hasError) {
+
s1 = choice();
}
if (!hasError) {
+
s+="|";s+=s1;
}
+
}
end_label_10: ;
}
+
return s;
assert(false);
-}
+ }
-void VhdlParser::component_configuration() {
- if (!hasError) {
+void VhdlParser::component_configuration() {if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
component_specification();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case GENERIC_T:
case PORT_T:
case USE_T:
- case SEMI_T:{
- if (!hasError) {
+ case SEMI_T:{if (!hasError) {
+
binding_indication();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -1540,11 +1788,13 @@ void VhdlParser::component_configuration() {
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{
- if (!hasError) {
+ case FOR_T:{if (!hasError) {
+
block_configuration();
}
+
break;
}
default:
@@ -1553,30 +1803,37 @@ void VhdlParser::component_configuration() {
}
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::component_declaration() {QCString s;
- if (!hasError) {
+void VhdlParser::component_declaration() {QCString s;if (!hasError) {
+
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
jj_consume_token(IS_T);
}
+
break;
}
default:
@@ -1585,14 +1842,17 @@ void VhdlParser::component_declaration() {QCString s;
}
}
if (!hasError) {
+
currP=VhdlDocGen::COMPONENT;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
generic_clause();
}
+
break;
}
default:
@@ -1601,11 +1861,13 @@ currP=VhdlDocGen::COMPONENT;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{
- if (!hasError) {
+ case PORT_T:{if (!hasError) {
+
port_clause();
}
+
break;
}
default:
@@ -1614,22 +1876,27 @@ currP=VhdlDocGen::COMPONENT;
}
}
if (!hasError) {
+
addVhdlType(s.data(),getLine(COMPONENT_T),Entry::VARIABLE_SEC,VhdlDocGen::COMPONENT,0,0,Public);
currP=0;
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -1638,22 +1905,27 @@ addVhdlType(s.data(),getLine(COMPONENT_T),Entry::VARIABLE_SEC,VhdlDocGen::COMPON
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::component_instantiation_statement() {QCString s,s1;
- if (!hasError) {
+void VhdlParser::component_instantiation_statement() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = instantiation_unit();
}
if (!hasError) {
+
QCString s3;
if (s1.contains("|")) {
s3=VhdlDocGen::getIndexWord(s1.data(),0);
@@ -1663,20 +1935,24 @@ QCString s3;
addCompInst(s.lower().data(),s1.lower().data(),s3.data(),getLine());
}
if (!hasError) {
- if (jj_2_13(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_13(2147483647)) {if (!hasError) {
+
generic_map_aspect();
}
+
} else {
;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{
- if (!hasError) {
+ case PORT_T:{if (!hasError) {
+
port_map_aspect();
}
+
break;
}
default:
@@ -1685,42 +1961,51 @@ QCString s3;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::component_specification() {
- if (!hasError) {
+void VhdlParser::component_specification() {if (!hasError) {
+
instantiation_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
name();
}
-}
+
+ }
QCString VhdlParser::composite_type_definition() {QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARRAY_T:{
- if (!hasError) {
+ case ARRAY_T:{if (!hasError) {
+
s = array_type_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case RECORD_T:{
- if (!hasError) {
+ case RECORD_T:{if (!hasError) {
+
record_type_definition();
}
if (!hasError) {
+
return s+"#";
}
+
break;
}
default:
@@ -1729,28 +2014,32 @@ return s+"#";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-void VhdlParser::concurrent_assertion_statement() {
- if (!hasError) {
- if (jj_2_14(2)) {
- if (!hasError) {
+void VhdlParser::concurrent_assertion_statement() {if (!hasError) {
+
+ if (jj_2_14(2)) {if (!hasError) {
+
identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
} else {
;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{
- if (!hasError) {
+ case POSTPONED_T:{if (!hasError) {
+
jj_consume_token(POSTPONED_T);
}
+
break;
}
default:
@@ -1759,33 +2048,40 @@ void VhdlParser::concurrent_assertion_statement() {
}
}
if (!hasError) {
+
assertion();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::concurrent_procedure_call_statement() {
- if (!hasError) {
- if (jj_2_15(2)) {
- if (!hasError) {
+void VhdlParser::concurrent_procedure_call_statement() {if (!hasError) {
+
+ if (jj_2_15(2)) {if (!hasError) {
+
identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
} else {
;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{
- if (!hasError) {
+ case POSTPONED_T:{if (!hasError) {
+
jj_consume_token(POSTPONED_T);
}
+
break;
}
default:
@@ -1794,33 +2090,40 @@ void VhdlParser::concurrent_procedure_call_statement() {
}
}
if (!hasError) {
+
procedure_call();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::concurrent_signal_assignment_statement() {
- if (!hasError) {
- if (jj_2_16(2)) {
- if (!hasError) {
+void VhdlParser::concurrent_signal_assignment_statement() {if (!hasError) {
+
+ if (jj_2_16(2)) {if (!hasError) {
+
identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
} else {
;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{
- if (!hasError) {
+ case POSTPONED_T:{if (!hasError) {
+
jj_consume_token(POSTPONED_T);
}
+
break;
}
default:
@@ -1829,16 +2132,19 @@ void VhdlParser::concurrent_signal_assignment_statement() {
}
}
if (!hasError) {
- if (jj_2_17(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_17(2147483647)) {if (!hasError) {
+
conditional_signal_assignment();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WITH_T:{
- if (!hasError) {
+ case WITH_T:{if (!hasError) {
+
selected_signal_assignment();
}
+
break;
}
default:
@@ -1848,54 +2154,64 @@ void VhdlParser::concurrent_signal_assignment_statement() {
}
}
}
-}
+
+ }
void VhdlParser::concurrent_statement() {
- if (jj_2_18(2147483647)) {
- if (!hasError) {
+ if (jj_2_18(2147483647)) {if (!hasError) {
+
block_statement();
}
- } else if (jj_2_19(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_19(2147483647)) {if (!hasError) {
+
process_statement();
}
- } else if (jj_2_20(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_20(2147483647)) {if (!hasError) {
+
generate_statement();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CASE_T:{
- if (!hasError) {
+ case CASE_T:{if (!hasError) {
+
case_scheme();
}
+
break;
}
default:
jj_la1[54] = jj_gen;
- if (jj_2_21(2147483647)) {
- if (!hasError) {
+ if (jj_2_21(2147483647)) {if (!hasError) {
+
concurrent_assertion_statement();
}
- } else if (jj_2_22(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_22(2147483647)) {if (!hasError) {
+
concurrent_signal_assignment_statement();
}
- } else if (jj_2_23(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_23(2147483647)) {if (!hasError) {
+
component_instantiation_statement();
}
- } else if (jj_2_24(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_24(2147483647)) {if (!hasError) {
+
concurrent_procedure_call_statement();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VHDL2008TOOLDIR:{
- if (!hasError) {
+ case VHDL2008TOOLDIR:{if (!hasError) {
+
jj_consume_token(VHDL2008TOOLDIR);
}
+
break;
}
default:
@@ -1906,84 +2222,100 @@ void VhdlParser::concurrent_statement() {
}
}
}
-}
+ }
-QCString VhdlParser::condition() {QCString s;
- if (!hasError) {
+QCString VhdlParser::condition() {QCString s;if (!hasError) {
+
s = expression();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::condition_clause() {QCString s;
- if (!hasError) {
+QCString VhdlParser::condition_clause() {QCString s;if (!hasError) {
+
jj_consume_token(UNTIL_T);
}
if (!hasError) {
+
s = condition();
}
+
return " until "+s;
assert(false);
-}
+ }
-void VhdlParser::conditional_signal_assignment() {
- if (!hasError) {
+void VhdlParser::conditional_signal_assignment() {if (!hasError) {
+
target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
options();
}
if (!hasError) {
+
conditional_waveforms();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::conditional_waveforms() {
- if (!hasError) {
+void VhdlParser::conditional_waveforms() {if (!hasError) {
+
waveform();
}
if (!hasError) {
+
while (!hasError) {
if (jj_2_25(2147483647)) {
;
} else {
goto end_label_11;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
condition();
}
if (!hasError) {
+
jj_consume_token(ELSE_T);
}
if (!hasError) {
+
waveform();
}
+
}
end_label_11: ;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{
- if (!hasError) {
+ case WHEN_T:{if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
condition();
}
+
break;
}
default:
@@ -1991,44 +2323,55 @@ void VhdlParser::conditional_waveforms() {
;
}
}
-}
+
+ }
-void VhdlParser::configuration_declaration() {QCString s,s1;
- if (!hasError) {
+void VhdlParser::configuration_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(OF_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
confName=s+"::"+s1;
addVhdlType(s.data(),getLine(CONFIGURATION_T),Entry::VARIABLE_SEC,VhdlDocGen::CONFIG,"configuration",s1.data(),Public);
}
if (!hasError) {
+
configuration_declarative_part();
}
if (!hasError) {
+
block_configuration();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONFIGURATION_T:{
- if (!hasError) {
+ case CONFIGURATION_T:{if (!hasError) {
+
jj_consume_token(CONFIGURATION_T);
}
+
break;
}
default:
@@ -2037,14 +2380,16 @@ confName=s+"::"+s1;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -2053,30 +2398,35 @@ confName=s+"::"+s1;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
genLabels.resize(0); confName="";
-}
+ }
void VhdlParser::configuration_declarative_item() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
attribute_specification();
}
+
break;
}
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
group_declaration();
}
+
break;
}
default:
@@ -2084,11 +2434,11 @@ void VhdlParser::configuration_declarative_item() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-void VhdlParser::configuration_declarative_part() {
- if (!hasError) {
+void VhdlParser::configuration_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ATTRIBUTE_T:
@@ -2100,27 +2450,31 @@ void VhdlParser::configuration_declarative_part() {
default:
jj_la1[60] = jj_gen;
goto end_label_12;
- }
- if (!hasError) {
+ }if (!hasError) {
+
configuration_declarative_item();
}
+
}
end_label_12: ;
}
-}
+
+ }
void VhdlParser::configuration_item() {
- if (jj_2_26(2147483647)) {
- if (!hasError) {
+ if (jj_2_26(2147483647)) {if (!hasError) {
+
component_configuration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{
- if (!hasError) {
+ case FOR_T:{if (!hasError) {
+
block_configuration();
}
+
break;
}
default:
@@ -2129,47 +2483,57 @@ void VhdlParser::configuration_item() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
-void VhdlParser::configuration_specification() {
- if (!hasError) {
+void VhdlParser::configuration_specification() {if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
component_specification();
}
if (!hasError) {
+
binding_indication();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;
- if (!hasError) {
+QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;if (!hasError) {
+
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{
- if (!hasError) {
+ case VARASSIGN_T:{if (!hasError) {
+
t = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
+
s2 = expression();
}
+
break;
}
default:
@@ -2178,8 +2542,10 @@ QCString VhdlParser::constant_declaration() {QCString s,s1,s2;Token *t=0;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(t)
s2.prepend(":=");
QCString it=s1+s2;
@@ -2187,29 +2553,33 @@ if(t)
it.prepend("constant ");
return it;
assert(false);
-}
+ }
-QCString VhdlParser::constraint_array_definition() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::constraint_array_definition() {QCString s,s1;if (!hasError) {
+
jj_consume_token(ARRAY_T);
}
if (!hasError) {
+
s = index_constraint();
}
if (!hasError) {
+
jj_consume_token(OF_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
+
return s+" "+s1;
assert(false);
-}
+ }
-void VhdlParser::context_clause() {
- if (!hasError) {
+void VhdlParser::context_clause() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LIBRARY_T:
@@ -2220,51 +2590,59 @@ void VhdlParser::context_clause() {
default:
jj_la1[63] = jj_gen;
goto end_label_13;
- }
- if (!hasError) {
+ }if (!hasError) {
+
context_item();
}
+
}
end_label_13: ;
}
-}
+
+ }
QCString VhdlParser::constraint() {QCString s;
- if (jj_2_27(2147483647)) {
- if (!hasError) {
+ if (jj_2_27(2147483647)) {if (!hasError) {
+
s = range_constraint();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_28(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_28(2147483647)) {if (!hasError) {
+
s = index_constraint();
}
if (!hasError) {
+
return s;
}
+
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::context_item() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LIBRARY_T:{
- if (!hasError) {
+ case LIBRARY_T:{if (!hasError) {
+
library_clause();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
@@ -2272,43 +2650,49 @@ void VhdlParser::context_item() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-QCString VhdlParser::decimal_literal() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::decimal_literal() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(DECIMAL_LITERAL);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::delay_mechanism() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TRANSPORT_T:{
- if (!hasError) {
+ case TRANSPORT_T:{if (!hasError) {
+
jj_consume_token(TRANSPORT_T);
}
if (!hasError) {
+
return " transport ";
}
+
break;
}
case INERTIAL_T:
- case REJECT_T:{
- if (!hasError) {
+ case REJECT_T:{if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REJECT_T:{
- if (!hasError) {
+ case REJECT_T:{if (!hasError) {
+
jj_consume_token(REJECT_T);
}
if (!hasError) {
+
s = expression();
}
if (!hasError) {
+
s.prepend(" reject ");
}
+
break;
}
default:
@@ -2317,11 +2701,14 @@ s.prepend(" reject ");
}
}
if (!hasError) {
+
jj_consume_token(INERTIAL_T);
}
if (!hasError) {
+
return s+" inertial ";
}
+
break;
}
default:
@@ -2330,7 +2717,7 @@ return s+" inertial ";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::design_file() {
@@ -2341,12 +2728,13 @@ void VhdlParser::design_file() {
case ENTITY_T:
case LIBRARY_T:
case PACKAGE_T:
- case USE_T:{
- if (!hasError) {
- while (!hasError) {
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
+ while (!hasError) {if (!hasError) {
+
design_unit();
}
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ARCHITECTURE_T:
case CONFIGURATION_T:
@@ -2366,14 +2754,17 @@ void VhdlParser::design_file() {
end_label_14: ;
}
if (!hasError) {
+
}
+
break;
}
- case 0:{
- if (!hasError) {
+ case 0:{if (!hasError) {
+
jj_consume_token(0);
}
+
break;
}
default:
@@ -2381,38 +2772,44 @@ void VhdlParser::design_file() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-void VhdlParser::design_unit() {
- if (!hasError) {
+void VhdlParser::design_unit() {if (!hasError) {
+
context_clause();
}
if (!hasError) {
+
library_unit();
}
-}
+
+ }
QCString VhdlParser::designator() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case STRINGLITERAL:{
- if (!hasError) {
+ case STRINGLITERAL:{if (!hasError) {
+
s = operator_symbol();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -2421,27 +2818,31 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::direction() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TO_T:{
- if (!hasError) {
+ case TO_T:{if (!hasError) {
+
tok = jj_consume_token(TO_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case DOWNTO_T:{
- if (!hasError) {
+ case DOWNTO_T:{if (!hasError) {
+
tok = jj_consume_token(DOWNTO_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -2450,131 +2851,157 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-void VhdlParser::disconnection_specification() {
- if (!hasError) {
+void VhdlParser::disconnection_specification() {if (!hasError) {
+
jj_consume_token(DISCONNECT_T);
}
if (!hasError) {
+
guarded_signal_specificatio();
}
if (!hasError) {
+
jj_consume_token(AFTER_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::guarded_signal_specificatio() {
- if (!hasError) {
+void VhdlParser::guarded_signal_specificatio() {if (!hasError) {
+
signal_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
name();
}
-}
+
+ }
QCString VhdlParser::discrete_range() {QCString s;
- if (jj_2_29(2147483647)) {
- if (!hasError) {
+ if (jj_2_29(2147483647)) {if (!hasError) {
+
s = range();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_30(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_30(2147483647)) {if (!hasError) {
+
s = subtype_indication();
}
if (!hasError) {
+
return s;
}
+
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::element_association() {QCString s,s1;
- if (!hasError) {
- if (jj_2_31(2147483647)) {
- if (!hasError) {
+QCString VhdlParser::element_association() {QCString s,s1;if (!hasError) {
+
+ if (jj_2_31(2147483647)) {if (!hasError) {
+
s = choices();
}
if (!hasError) {
+
jj_consume_token(ARROW_T);
}
+
} else {
;
}
}
if (!hasError) {
+
s1 = expression();
}
+
if(!s.isEmpty())
return s+"=>"+s1;
return s1;
assert(false);
-}
+ }
-QCString VhdlParser::element_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::element_declaration() {QCString s,s1;if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,s1.data(),Public);
//addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return s+":"+s1;
assert(false);
-}
+ }
QCString VhdlParser::entity_aspect() {Token *tok=0;QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{
- if (!hasError) {
+ case ENTITY_T:{if (!hasError) {
+
tok = jj_consume_token(ENTITY_T);
}
if (!hasError) {
+
s = name();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = identifier();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+="("+s1+")";
}
+
break;
}
default:
@@ -2583,29 +3010,36 @@ s+="("+s1+")";
}
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CONFIGURATION_T:{
- if (!hasError) {
+ case CONFIGURATION_T:{if (!hasError) {
+
tok = jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return tok->image.c_str()+s;
}
+
break;
}
- case OPEN_T:{
- if (!hasError) {
+ case OPEN_T:{if (!hasError) {
+
tok = jj_consume_token(OPEN_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -2614,162 +3048,196 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::entity_class() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{
- if (!hasError) {
+ case ENTITY_T:{if (!hasError) {
+
jj_consume_token(ENTITY_T);
}
if (!hasError) {
+
return "entity";
}
+
break;
}
- case ARCHITECTURE_T:{
- if (!hasError) {
+ case ARCHITECTURE_T:{if (!hasError) {
+
jj_consume_token(ARCHITECTURE_T);
}
if (!hasError) {
+
return "architecture";
}
+
break;
}
- case CONFIGURATION_T:{
- if (!hasError) {
+ case CONFIGURATION_T:{if (!hasError) {
+
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
+
return "configuration";
}
+
break;
}
- case PROCEDURE_T:{
- if (!hasError) {
+ case PROCEDURE_T:{if (!hasError) {
+
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
+
return "procedure";
}
+
break;
}
- case FUNCTION_T:{
- if (!hasError) {
+ case FUNCTION_T:{if (!hasError) {
+
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
+
return "function";
}
+
break;
}
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
+
return "package";
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
jj_consume_token(TYPE_T);
}
if (!hasError) {
+
return "type";
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
+
return "subtype";
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
+
return "constant";
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
+
return "signal";
}
+
break;
}
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
+
return "variable";
}
+
break;
}
- case COMPONENT_T:{
- if (!hasError) {
+ case COMPONENT_T:{if (!hasError) {
+
jj_consume_token(COMPONENT_T);
}
if (!hasError) {
+
return "component";
}
+
break;
}
- case LABEL_T:{
- if (!hasError) {
+ case LABEL_T:{if (!hasError) {
+
jj_consume_token(LABEL_T);
}
if (!hasError) {
+
return "label";
}
+
break;
}
- case LITERAL_T:{
- if (!hasError) {
+ case LITERAL_T:{if (!hasError) {
+
jj_consume_token(LITERAL_T);
}
if (!hasError) {
+
return "literal";
}
+
break;
}
- case UNITS_T:{
- if (!hasError) {
+ case UNITS_T:{if (!hasError) {
+
jj_consume_token(UNITS_T);
}
if (!hasError) {
+
return "units";
}
+
break;
}
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
jj_consume_token(GROUP_T);
}
if (!hasError) {
+
return "group";
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
jj_consume_token(FILE_T);
}
if (!hasError) {
+
return "file";
}
+
break;
}
default:
@@ -2778,22 +3246,25 @@ return "file";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::entity_class_entry() {QCString s;
- if (!hasError) {
+QCString VhdlParser::entity_class_entry() {QCString s;if (!hasError) {
+
s = entity_class();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BOX_T:{
- if (!hasError) {
+ case BOX_T:{if (!hasError) {
+
jj_consume_token(BOX_T);
}
if (!hasError) {
+
s+="<>";
}
+
break;
}
default:
@@ -2801,21 +3272,25 @@ s+="<>";
;
}
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::entity_class_entry_list() {QCString s,s1,s2;if (!hasError) {
if (!hasError) {
+
s1 = entity_class_entry();
}
if (!hasError) {
+
s+=s1;
}
+
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -2825,54 +3300,66 @@ s+=s1;
default:
jj_la1[75] = jj_gen;
goto end_label_15;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s = entity_class_entry();
}
if (!hasError) {
+
s2+=",";s2+=s;
}
+
}
end_label_15: ;
}
+
return s1+s2;
assert(false);
-}
+ }
-void VhdlParser::entity_declaration() {QCString s;
- if (!hasError) {
+void VhdlParser::entity_declaration() {QCString s;if (!hasError) {
+
jj_consume_token(ENTITY_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
lastEntity=current.get();
lastCompound=0;
addVhdlType(s.data(),getLine(ENTITY_T),Entry::CLASS_SEC,VhdlDocGen::ENTITY,0,0,Public);
}
if (!hasError) {
+
entity_header();
}
if (!hasError) {
+
entity_declarative_part();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BEGIN_T:{
- if (!hasError) {
+ case BEGIN_T:{if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
if (!hasError) {
+
entity_statement_part();
}
+
break;
}
default:
@@ -2881,14 +3368,17 @@ lastEntity=current.get();
}
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{
- if (!hasError) {
+ case ENTITY_T:{if (!hasError) {
+
jj_consume_token(ENTITY_T);
}
+
break;
}
default:
@@ -2897,14 +3387,16 @@ lastEntity=current.get();
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -2913,10 +3405,12 @@ lastEntity=current.get();
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
lastEntity=0;lastCompound=0; genLabels.resize(0);
-}
+ }
void VhdlParser::entity_declarative_item() {
@@ -2924,113 +3418,130 @@ void VhdlParser::entity_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
type_declaration();
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
subtype_declaration();
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
constant_declaration();
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
signal_declaration();
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
variable_declaration();
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
file_declaration();
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
alias_declaration();
}
+
break;
}
default:
jj_la1[79] = jj_gen;
- if (jj_2_32(2147483647)) {
- if (!hasError) {
+ if (jj_2_32(2147483647)) {if (!hasError) {
+
attribute_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
attribute_specification();
}
+
break;
}
- case DISCONNECT_T:{
- if (!hasError) {
+ case DISCONNECT_T:{if (!hasError) {
+
disconnection_specification();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
jj_la1[80] = jj_gen;
- if (jj_2_33(3)) {
- if (!hasError) {
+ if (jj_2_33(3)) {if (!hasError) {
+
group_template_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
group_declaration();
}
+
break;
}
default:
jj_la1[81] = jj_gen;
- if (jj_2_34(5)) {
- if (!hasError) {
+ if (jj_2_34(5)) {if (!hasError) {
+
package_instantiation_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
package_declaration();
}
+
break;
}
- case VHDL2008TOOLDIR:{
- if (!hasError) {
+ case VHDL2008TOOLDIR:{if (!hasError) {
+
jj_consume_token(VHDL2008TOOLDIR);
}
+
break;
}
default:
@@ -3044,11 +3555,11 @@ void VhdlParser::entity_declarative_item() {
}
}
}
-}
+ }
-void VhdlParser::entity_declarative_part() {
- if (!hasError) {
+void VhdlParser::entity_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -3075,26 +3586,30 @@ void VhdlParser::entity_declarative_part() {
default:
jj_la1[83] = jj_gen;
goto end_label_16;
- }
- if (!hasError) {
+ }if (!hasError) {
+
entity_declarative_item();
}
+
}
end_label_16: ;
}
-}
+
+ }
-QCString VhdlParser::entity_designator() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::entity_designator() {QCString s,s1;if (!hasError) {
+
s = entity_tag();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LBRACKET_T:{
- if (!hasError) {
+ case LBRACKET_T:{if (!hasError) {
+
s1 = signature();
}
+
break;
}
default:
@@ -3102,21 +3617,24 @@ QCString VhdlParser::entity_designator() {QCString s,s1;
;
}
}
+
return s+s1;
assert(false);
-}
+ }
-void VhdlParser::entity_header() {
- if (!hasError) {
+void VhdlParser::entity_header() {if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
currP=VhdlDocGen::GENERIC;parse_sec=GEN_SEC;
}
if (!hasError) {
+
generic_clause();
}
+
break;
}
default:
@@ -3125,14 +3643,17 @@ currP=VhdlDocGen::GENERIC;parse_sec=GEN_SEC;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PORT_T:{
- if (!hasError) {
+ case PORT_T:{if (!hasError) {
+
currP=VhdlDocGen::PORT;
}
if (!hasError) {
+
port_clause();
}
+
break;
}
default:
@@ -3140,7 +3661,8 @@ currP=VhdlDocGen::PORT;
;
}
}
-}
+
+ }
QCString VhdlParser::entity_name_list() {QCString s,s1;
@@ -3149,15 +3671,17 @@ QCString VhdlParser::entity_name_list() {QCString s,s1;
case STRINGLITERAL:
case BASIC_IDENTIFIER:
case EXTENDED_CHARACTER:
- case CHARACTER_LITERAL:{
- if (!hasError) {
- while (!hasError) {
- if (!hasError) {
+ case CHARACTER_LITERAL:{if (!hasError) {
+
+ while (!hasError) {if (!hasError) {
+
s1 = entity_designator();
}
if (!hasError) {
+
s+=s1;
}
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
@@ -3175,26 +3699,32 @@ s+=s1;
end_label_17: ;
}
if (!hasError) {
+
return s;
}
+
break;
}
- case OTHER_T:{
- if (!hasError) {
+ case OTHER_T:{if (!hasError) {
+
jj_consume_token(OTHER_T);
}
if (!hasError) {
+
return "other";
}
+
break;
}
- case ALL_T:{
- if (!hasError) {
+ case ALL_T:{if (!hasError) {
+
jj_consume_token(ALL_T);
}
if (!hasError) {
+
return "all";
}
+
break;
}
default:
@@ -3203,43 +3733,49 @@ return "all";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::entity_specification() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::entity_specification() {QCString s,s1;if (!hasError) {
+
s = entity_name_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = entity_class();
}
+
return s+":"+s1;
assert(false);
-}
+ }
void VhdlParser::entity_statement() {
- if (jj_2_35(2147483647)) {
- if (!hasError) {
+ if (jj_2_35(2147483647)) {if (!hasError) {
+
concurrent_assertion_statement();
}
- } else if (jj_2_36(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_36(2147483647)) {if (!hasError) {
+
process_statement();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case POSTPONED_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
concurrent_procedure_call_statement();
}
+
break;
}
default:
@@ -3248,11 +3784,11 @@ void VhdlParser::entity_statement() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
-void VhdlParser::entity_statement_part() {
- if (!hasError) {
+void VhdlParser::entity_statement_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -3268,14 +3804,16 @@ void VhdlParser::entity_statement_part() {
default:
jj_la1[90] = jj_gen;
goto end_label_18;
- }
- if (!hasError) {
+ }if (!hasError) {
+
entity_statement();
}
+
}
end_label_18: ;
}
-}
+
+ }
QCString VhdlParser::entity_tag() {QCString s;
@@ -3283,22 +3821,26 @@ QCString VhdlParser::entity_tag() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CHARACTER_LITERAL:{
- if (!hasError) {
+ case CHARACTER_LITERAL:{if (!hasError) {
+
s = character_literal();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -3307,28 +3849,32 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::enumeration_literal() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CHARACTER_LITERAL:{
- if (!hasError) {
+ case CHARACTER_LITERAL:{if (!hasError) {
+
s = character_literal();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -3337,17 +3883,19 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::enumeration_type_definition() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::enumeration_type_definition() {QCString s,s1;if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s = enumeration_literal();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -3357,38 +3905,45 @@ QCString VhdlParser::enumeration_type_definition() {QCString s,s1;
default:
jj_la1[93] = jj_gen;
goto end_label_19;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = enumeration_literal();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_19: ;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return "("+s+")";
assert(false);
-}
+ }
-QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
- if (!hasError) {
+QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
t = jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -3397,15 +3952,18 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
jj_consume_token(EXIT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s1 = identifier();
}
+
break;
}
default:
@@ -3414,14 +3972,17 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{
- if (!hasError) {
+ case WHEN_T:{if (!hasError) {
+
t1 = jj_consume_token(WHEN_T);
}
if (!hasError) {
+
s2 = condition();
}
+
break;
}
default:
@@ -3430,8 +3991,10 @@ QCString VhdlParser::exit_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
lab.resize(0);
if(t) s+=":";
if(t1) s2.prepend(" when ");
@@ -3439,14 +4002,15 @@ lab.resize(0);
return s+s1+s2+";";
assert(false);
-}
+ }
-QCString VhdlParser::expression() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::expression() {QCString s,s1,s2;if (!hasError) {
+
s = relation();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case AND_T:
@@ -3461,78 +4025,94 @@ QCString VhdlParser::expression() {QCString s,s1,s2;
default:
jj_la1[97] = jj_gen;
goto end_label_20;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = logop();
}
if (!hasError) {
+
s2 = relation();
}
if (!hasError) {
+
s+=s1;s+=s2;
}
+
}
end_label_20: ;
}
+
return s;
assert(false);
-}
+ }
QCString VhdlParser::logop() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case AND_T:{
- if (!hasError) {
+ case AND_T:{if (!hasError) {
+
jj_consume_token(AND_T);
}
if (!hasError) {
+
return "and" ;
}
+
break;
}
- case NAND_T:{
- if (!hasError) {
+ case NAND_T:{if (!hasError) {
+
jj_consume_token(NAND_T);
}
if (!hasError) {
+
return "nand" ;
}
+
break;
}
- case NOR_T:{
- if (!hasError) {
+ case NOR_T:{if (!hasError) {
+
jj_consume_token(NOR_T);
}
if (!hasError) {
+
return "nor" ;
}
+
break;
}
- case XNOR_T:{
- if (!hasError) {
+ case XNOR_T:{if (!hasError) {
+
jj_consume_token(XNOR_T);
}
if (!hasError) {
+
return "xnor" ;
}
+
break;
}
- case XOR_T:{
- if (!hasError) {
+ case XOR_T:{if (!hasError) {
+
jj_consume_token(XOR_T);
}
if (!hasError) {
+
return "xor" ;
}
+
break;
}
- case OR_T:{
- if (!hasError) {
+ case OR_T:{if (!hasError) {
+
jj_consume_token(OR_T);
}
if (!hasError) {
+
return "or" ;
}
+
break;
}
default:
@@ -3541,16 +4121,17 @@ return "or" ;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::extended_identifier() {Token *t;
- if (!hasError) {
+QCString VhdlParser::extended_identifier() {Token *t;if (!hasError) {
+
t = jj_consume_token(EXTENDED_CHARACTER);
}
+
return t->image.c_str();
assert(false);
-}
+ }
QCString VhdlParser::factor() {QCString s,s1;
@@ -3566,22 +4147,26 @@ QCString VhdlParser::factor() {QCString s,s1;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{
- if (!hasError) {
+ case BIT_STRING_LITERAL:{if (!hasError) {
+
s = primary();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOUBLEMULT_T:{
- if (!hasError) {
+ case DOUBLEMULT_T:{if (!hasError) {
+
jj_consume_token(DOUBLEMULT_T);
}
if (!hasError) {
+
s1 = primary();
}
if (!hasError) {
+
s+="**";s+=s1;
}
+
break;
}
default:
@@ -3590,32 +4175,40 @@ s+="**";s+=s1;
}
}
if (!hasError) {
+
return s;
}
+
break;
}
- case ABS_T:{
- if (!hasError) {
+ case ABS_T:{if (!hasError) {
+
jj_consume_token(ABS_T);
}
if (!hasError) {
+
s = primary();
}
if (!hasError) {
+
s1 = "abs "; return s1+s;
}
+
break;
}
- case NOT_T:{
- if (!hasError) {
+ case NOT_T:{if (!hasError) {
+
jj_consume_token(NOT_T);
}
if (!hasError) {
+
s = primary();
}
if (!hasError) {
+
s1="not ";return s1+s;
}
+
break;
}
default:
@@ -3624,29 +4217,34 @@ s1="not ";return s1+s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;
- if (!hasError) {
+QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;if (!hasError) {
+
jj_consume_token(FILE_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s2 = subtype_indication();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IS_T:
- case OPEN_T:{
- if (!hasError) {
+ case OPEN_T:{if (!hasError) {
+
s3 = file_open_information();
}
+
break;
}
default:
@@ -3655,34 +4253,39 @@ QCString VhdlParser::file_declaration() {QCString s,s1,s2,s3;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
QCString t1=s2+" "+s3;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,t1.data(),Public);
return " file "+s+":"+s2+" "+s3+";";
assert(false);
-}
+ }
-QCString VhdlParser::file_logical_name() {QCString s;
- if (!hasError) {
+QCString VhdlParser::file_logical_name() {QCString s;if (!hasError) {
+
s = expression();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::file_open_information() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::file_open_information() {QCString s,s1,s2;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case OPEN_T:{
- if (!hasError) {
+ case OPEN_T:{if (!hasError) {
+
jj_consume_token(OPEN_T);
}
if (!hasError) {
+
s = expression();
}
+
break;
}
default:
@@ -3691,38 +4294,45 @@ QCString VhdlParser::file_open_information() {QCString s,s1,s2;
}
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
s1 = file_logical_name();
}
+
s2="open "+s+" is "+s1; return s2;
assert(false);
-}
+ }
-QCString VhdlParser::file_type_definition() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::file_type_definition() {QCString s,s1;if (!hasError) {
+
jj_consume_token(FILE_T);
}
if (!hasError) {
+
jj_consume_token(OF_T);
}
if (!hasError) {
+
s = type_mark();
}
+
s1=" file of "+s; return s1;
assert(false);
-}
+ }
-QCString VhdlParser::floating_type_definition() {QCString s;
- if (!hasError) {
+QCString VhdlParser::floating_type_definition() {QCString s;if (!hasError) {
+
s = range_constraint();
}
+
return s;
assert(false);
-}
+ }
QCString VhdlParser::formal_designator() {QCString s;Token *tok=0;
@@ -3730,22 +4340,26 @@ QCString VhdlParser::formal_designator() {QCString s;Token *tok=0;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case INTEGER:{
- if (!hasError) {
+ case INTEGER:{if (!hasError) {
+
tok = jj_consume_token(INTEGER);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -3754,37 +4368,43 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::formal_parameter_list() {QCString s;
- if (!hasError) {
+QCString VhdlParser::formal_parameter_list() {QCString s;if (!hasError) {
+
s = interface_list();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::formal_part() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::formal_part() {QCString s,s1;if (!hasError) {
+
s = name();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
formal_designator();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+"("+s1+")";
}
+
break;
}
default:
@@ -3792,37 +4412,45 @@ s+"("+s1+")";
;
}
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::full_type_declaration() {Entry *tmpEntry;QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::full_type_declaration() {Entry *tmpEntry;QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(TYPE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
tmpEntry=current.get();
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::RECORD,0,0,Public);
}
if (!hasError) {
- try {
- if (!hasError) {
+
+ try {if (!hasError) {
+
s2 = type_definition();
}
+
} catch ( ...) {
error_skipto(SEMI_T);
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if (s2.contains("#")) {
VhdlDocGen::deleteAllChars(s2,'#');
tmpEntry->spec=VhdlDocGen::RECORD;
@@ -3843,65 +4471,79 @@ if (s2.contains("#")) {
tmpEntry=0;
return "type "+s+" is "+s2+";";
assert(false);
-}
+ }
-QCString VhdlParser::function_call() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::function_call() {QCString s,s1;if (!hasError) {
+
s = name();
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = actual_parameter_part();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return s+"("+s1+")";
assert(false);
-}
+ }
-void VhdlParser::generate_statement() {QCString s;
- if (!hasError) {
+void VhdlParser::generate_statement() {QCString s;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
- try {
- if (!hasError) {
+
+ try {if (!hasError) {
+
generate_scheme();
}
if (!hasError) {
+
jj_consume_token(GENERATE_T);
}
if (!hasError) {
+
pushLabel(genLabels,s);
}
if (!hasError) {
+
generate_statement_body1();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
+
} catch ( ...) {
error_skipto(GENERATE_T);
}
}
if (!hasError) {
+
jj_consume_token(GENERATE_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -3910,30 +4552,36 @@ error_skipto(GENERATE_T);
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
genLabels=popLabel(genLabels);
-}
+ }
void VhdlParser::generate_scheme() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{
- if (!hasError) {
+ case FOR_T:{if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
parameter_specification();
}
+
break;
}
- case IF_T:{
- if (!hasError) {
+ case IF_T:{if (!hasError) {
+
jj_consume_token(IF_T);
}
if (!hasError) {
+
condition();
}
+
break;
}
default:
@@ -3941,58 +4589,70 @@ void VhdlParser::generate_scheme() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-void VhdlParser::generic_clause() {QCString s;
- if (!hasError) {
+void VhdlParser::generic_clause() {QCString s;if (!hasError) {
+
jj_consume_token(GENERIC_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
parse_sec=GEN_SEC;
}
if (!hasError) {
+
s = generic_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
parse_sec=0;
-}
+ }
-QCString VhdlParser::generic_list() {QCString s;
- if (!hasError) {
+QCString VhdlParser::generic_list() {QCString s;if (!hasError) {
+
s = interface_list();
}
+
return s;
assert(false);
-}
+ }
-void VhdlParser::generic_map_aspect() {
- if (!hasError) {
+void VhdlParser::generic_map_aspect() {if (!hasError) {
+
jj_consume_token(GENERIC_T);
}
if (!hasError) {
+
jj_consume_token(MAP_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
association_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
-}
+
+ }
QCString VhdlParser::group_constituent() {QCString s;
@@ -4000,22 +4660,26 @@ QCString VhdlParser::group_constituent() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CHARACTER_LITERAL:{
- if (!hasError) {
+ case CHARACTER_LITERAL:{if (!hasError) {
+
s = character_literal();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -4024,16 +4688,18 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;if (!hasError) {
if (!hasError) {
+
s1 = group_constituent();
}
+
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4043,112 +4709,138 @@ QCString VhdlParser::group_constituent_list() {QCString s,s1,s2;
default:
jj_la1[108] = jj_gen;
goto end_label_21;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s = group_constituent();
}
if (!hasError) {
+
s2+=",";s2+=s1;
}
+
}
end_label_21: ;
}
+
return s+s2;
assert(false);
-}
+ }
-QCString VhdlParser::group_declaration() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::group_declaration() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(GROUP_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = identifier();
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s2 = group_constituent_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return "group "+s+":"+s1+"("+s2+");";
assert(false);
-}
+ }
-QCString VhdlParser::group_template_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::group_template_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(GROUP_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = entity_class_entry_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return "group "+s+ "is ("+s1+");";
assert(false);
-}
+ }
-void VhdlParser::guarded_signal_specification() {
- if (!hasError) {
+void VhdlParser::guarded_signal_specification() {if (!hasError) {
+
signal_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
type_mark();
}
-}
+
+ }
QCString VhdlParser::identifier() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
tok = jj_consume_token(EXTENDED_CHARACTER);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case BASIC_IDENTIFIER:{
- if (!hasError) {
+ case BASIC_IDENTIFIER:{if (!hasError) {
+
tok = jj_consume_token(BASIC_IDENTIFIER);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -4157,14 +4849,15 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::identifier_list() {QCString str,str1;
- if (!hasError) {
+QCString VhdlParser::identifier_list() {QCString str,str1;if (!hasError) {
+
str = identifier();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4174,35 +4867,41 @@ QCString VhdlParser::identifier_list() {QCString str,str1;
default:
jj_la1[110] = jj_gen;
goto end_label_22;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
str1 = identifier();
}
if (!hasError) {
+
str+=",";str+=str1;
}
+
}
end_label_22: ;
}
+
return str;
assert(false);
-}
+ }
-void VhdlParser::if_statement() {QCString s,s1;
- if (!hasError) {
+void VhdlParser::if_statement() {QCString s,s1;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -4211,22 +4910,28 @@ void VhdlParser::if_statement() {QCString s,s1;
}
}
if (!hasError) {
+
jj_consume_token(IF_T);
}
if (!hasError) {
+
s = condition();
}
if (!hasError) {
+
jj_consume_token(THEN_T);
}
if (!hasError) {
+
s.prepend("if ");
FlowChart::addFlowChart(FlowChart::IF_NO,0,s);
}
if (!hasError) {
+
sequence_of_statement();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ELSIF_T:{
@@ -4236,38 +4941,47 @@ s.prepend("if ");
default:
jj_la1[112] = jj_gen;
goto end_label_23;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(ELSIF_T);
}
if (!hasError) {
+
s1 = condition();
}
if (!hasError) {
+
jj_consume_token(THEN_T);
}
if (!hasError) {
+
s1.prepend("elsif ");
FlowChart::addFlowChart(FlowChart::ELSIF_NO,0,s1.data());
}
if (!hasError) {
+
sequence_of_statement();
}
+
}
end_label_23: ;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ELSE_T:{
- if (!hasError) {
+ case ELSE_T:{if (!hasError) {
+
jj_consume_token(ELSE_T);
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
if (!hasError) {
+
sequence_of_statement();
}
+
break;
}
default:
@@ -4276,18 +4990,22 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(IF_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -4296,39 +5014,47 @@ FlowChart::addFlowChart(FlowChart::ELSE_NO,0,0);
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::ENDIF_NO,0,0);
-}
+ }
-QCString VhdlParser::incomplete_type_declaration() {QCString s;
- if (!hasError) {
+QCString VhdlParser::incomplete_type_declaration() {QCString s;if (!hasError) {
+
jj_consume_token(TYPE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return "type "+s+";";
assert(false);
-}
+ }
-QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;
- if (!hasError) {
+QCString VhdlParser::index_constraint() {QCString s="("; QCString s1,s2;if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s2 = discrete_range();
}
if (!hasError) {
+
s+=s2;
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -4338,35 +5064,42 @@ s+=s2;
default:
jj_la1[115] = jj_gen;
goto end_label_24;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = discrete_range();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_24: ;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return s+")";
assert(false);
-}
+ }
QCString VhdlParser::index_specification() {QCString s;
- if (jj_2_37(2147483647)) {
- if (!hasError) {
+ if (jj_2_37(2147483647)) {if (!hasError) {
+
s = discrete_range();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
@@ -4384,13 +5117,15 @@ return s;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{
- if (!hasError) {
+ case BIT_STRING_LITERAL:{if (!hasError) {
+
s = expression();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -4400,35 +5135,39 @@ return s;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::index_subtype_definition() {QCString s;
- if (!hasError) {
+QCString VhdlParser::index_subtype_definition() {QCString s;if (!hasError) {
+
s = type_mark();
}
if (!hasError) {
+
jj_consume_token(RANGE_T);
}
if (!hasError) {
+
jj_consume_token(BOX_T);
}
+
return s+" range <> ";
assert(false);
-}
+ }
QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMPONENT_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMPONENT_T:{
- if (!hasError) {
+ case COMPONENT_T:{if (!hasError) {
+
jj_consume_token(COMPONENT_T);
}
+
break;
}
default:
@@ -4437,50 +5176,63 @@ QCString VhdlParser::instantiation_unit() {QCString s,s1,s2;Token *tok;
}
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
s1="component "; return s;
}
+
break;
}
- case ENTITY_T:{
- if (!hasError) {
+ case ENTITY_T:{if (!hasError) {
+
jj_consume_token(ENTITY_T);
}
if (!hasError) {
- if (jj_2_38(2)) {
- if (!hasError) {
+
+ if (jj_2_38(2)) {if (!hasError) {
+
jj_consume_token(BASIC_IDENTIFIER);
}
if (!hasError) {
+
jj_consume_token(DOT_T);
}
+
} else {
;
}
}
if (!hasError) {
+
s2 = name();
}
if (!hasError) {
+
s="entity|"+s2;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = identifier();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+="(";s+=s1;s+=")" ;
}
+
break;
}
default:
@@ -4489,20 +5241,25 @@ s+="(";s+=s1;s+=")" ;
}
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CONFIGURATION_T:{
- if (!hasError) {
+ case CONFIGURATION_T:{if (!hasError) {
+
jj_consume_token(CONFIGURATION_T);
}
if (!hasError) {
+
s = name();
}
if (!hasError) {
+
s1="configuration ";return s;
}
+
break;
}
default:
@@ -4511,37 +5268,43 @@ s1="configuration ";return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::instantiation_list() {QCString s;Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case OTHER_T:{
- if (!hasError) {
+ case OTHER_T:{if (!hasError) {
+
tok = jj_consume_token(OTHER_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case ALL_T:{
- if (!hasError) {
+ case ALL_T:{if (!hasError) {
+
tok = jj_consume_token(ALL_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -4550,69 +5313,81 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::integer() {Token *t;
- if (!hasError) {
+QCString VhdlParser::integer() {Token *t;if (!hasError) {
+
t = jj_consume_token(INTEGER);
}
+
return t->image.c_str();
assert(false);
-}
+ }
-QCString VhdlParser::integer_type_definition() {QCString s;
- if (!hasError) {
+QCString VhdlParser::integer_type_definition() {QCString s;if (!hasError) {
+
s = range_constraint();
}
+
return s;
assert(false);
-}
+ }
QCString VhdlParser::interface_declaration() {QCString s,s1;
- if (jj_2_39(5)) {
- if (!hasError) {
+ if (jj_2_39(5)) {if (!hasError) {
+
s = interface_subprogram_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
interface_package_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
jj_la1[121] = jj_gen;
- if (jj_2_40(5)) {
- if (!hasError) {
+ if (jj_2_40(5)) {if (!hasError) {
+
s = interface_variable_declaration();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_41(5)) {
- if (!hasError) {
+
+ } else if (jj_2_41(5)) {if (!hasError) {
+
interface_file_declaration();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_42(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_42(2147483647)) {if (!hasError) {
+
subprogram_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONSTANT_T:
@@ -4620,19 +5395,22 @@ return s;
case SIGNAL_T:
case SHARED_T:
case TYPE_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
s = object_class();
}
if (!hasError) {
+
s1 = identifier();
}
if (!hasError) {
+
if (parse_sec==GEN_SEC)
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,currP,s1.data(),0,Public);
return s;
}
+
break;
}
default:
@@ -4644,42 +5422,48 @@ if (parse_sec==GEN_SEC)
}
}
assert(false);
-}
+ }
-QCString VhdlParser::interface_element() {QCString s;
- if (!hasError) {
+QCString VhdlParser::interface_element() {QCString s;if (!hasError) {
+
s = interface_declaration();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::interface_file_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::interface_file_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(FILE_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
+
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::VFILE,0,s1.data(),Public);
return " file "+s+":"+s1;
assert(false);
-}
+ }
-QCString VhdlParser::interface_list() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::interface_list() {QCString s,s1,s2;if (!hasError) {
+
s = interface_element();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SEMI_T:{
@@ -4689,55 +5473,63 @@ QCString VhdlParser::interface_list() {QCString s,s1,s2;
default:
jj_la1[123] = jj_gen;
goto end_label_25;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(SEMI_T);
}
if (!hasError) {
+
s1 = interface_element();
}
if (!hasError) {
+
s2+=";";s2+=s1;
}
+
}
end_label_25: ;
}
+
return s+s2;
assert(false);
-}
+ }
-QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;
- if (!hasError) {
+QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=0;Token *tok2=0;QCString s,s1,s2,s3,s4,s5;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONSTANT_T:
case SIGNAL_T:
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
tok = jj_consume_token(VARIABLE_T);
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
tok = jj_consume_token(SIGNAL_T);
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
tok = jj_consume_token(CONSTANT_T);
}
+
break;
}
- case SHARED_T:{
- if (!hasError) {
+ case SHARED_T:{if (!hasError) {
+
tok = jj_consume_token(SHARED_T);
}
+
break;
}
default:
@@ -4746,6 +5538,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
+
break;
}
default:
@@ -4754,21 +5547,25 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
}
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BUFFER_T:
case IN_T:
case INOUT_T:
case LINKAGE_T:
- case OUT_T:{
- if (!hasError) {
+ case OUT_T:{if (!hasError) {
+
s1 = mode();
}
+
break;
}
default:
@@ -4777,14 +5574,17 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
}
}
if (!hasError) {
+
s2 = subtype_indication();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case BUS_T:{
- if (!hasError) {
+ case BUS_T:{if (!hasError) {
+
tok1 = jj_consume_token(BUS_T);
}
+
break;
}
default:
@@ -4793,14 +5593,17 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{
- if (!hasError) {
+ case VARASSIGN_T:{if (!hasError) {
+
tok2 = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
+
s4 = expression();
}
+
break;
}
default:
@@ -4808,6 +5611,7 @@ QCString VhdlParser::interface_variable_declaration() {Token *tok=0;Token *tok1=
;
}
}
+
if(tok)
s5=tok->image.c_str();
@@ -4836,39 +5640,45 @@ if(tok)
} // if component
return it;
assert(false);
-}
+ }
QCString VhdlParser::iteration_scheme() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHILE_T:{
- if (!hasError) {
+ case WHILE_T:{if (!hasError) {
+
jj_consume_token(WHILE_T);
}
if (!hasError) {
+
s = condition();
}
if (!hasError) {
+
s.prepend("while ");
FlowChart::addFlowChart(FlowChart::WHILE_NO,0,s.data(),lab.data());
lab="";
return s;
}
+
break;
}
- case FOR_T:{
- if (!hasError) {
+ case FOR_T:{if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
s = parameter_specification();
}
if (!hasError) {
+
QCString q=lab+" for "+s;
FlowChart::addFlowChart(FlowChart::FOR_NO,0,q.data(),lab.data());
lab="";
return q;
}
+
break;
}
default:
@@ -4877,30 +5687,35 @@ QCString q=lab+" for "+s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::label() {QCString s;
- if (!hasError) {
+QCString VhdlParser::label() {QCString s;if (!hasError) {
+
s = identifier();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::library_clause() {QCString s;
- if (!hasError) {
+QCString VhdlParser::library_clause() {QCString s;if (!hasError) {
if (!hasError) {
+
jj_consume_token(LIBRARY_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
}
+
if ( parse_sec==0 && Config_getBool(SHOW_INCLUDE_FILES) )
{
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,s.data(),"_library_",Public);
@@ -4908,33 +5723,38 @@ if ( parse_sec==0 && Config_getBool(SHOW_INCLUDE_FILES) )
QCString s1="library "+s;
return s1;
assert(false);
-}
+ }
QCString VhdlParser::library_unit() {QCString s;
- if (jj_2_43(2)) {
- if (!hasError) {
+ if (jj_2_43(2)) {if (!hasError) {
+
primary_unit();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ARCHITECTURE_T:
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
secondary_unit();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CONTEXT_T:{
- if (!hasError) {
+ case CONTEXT_T:{if (!hasError) {
+
context_declaration();
}
+
break;
}
default:
@@ -4944,49 +5764,59 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::literal() {QCString s;
- if (jj_2_44(2147483647)) {
- if (!hasError) {
+ if (jj_2_44(2147483647)) {if (!hasError) {
+
s = bit_string_literal();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_45(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_45(2147483647)) {if (!hasError) {
+
s = numeric_literal();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_46(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_46(2147483647)) {if (!hasError) {
+
s = enumeration_literal();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case STRINGLITERAL:{
- if (!hasError) {
+ case STRINGLITERAL:{if (!hasError) {
+
s = string_literal();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case NULL_T:{
- if (!hasError) {
+ case NULL_T:{if (!hasError) {
+
jj_consume_token(NULL_T);
}
if (!hasError) {
+
return "null";
}
+
break;
}
default:
@@ -4996,32 +5826,36 @@ return "null";
}
}
assert(false);
-}
+ }
-QCString VhdlParser::logical_operator() {QCString s;
- if (!hasError) {
+QCString VhdlParser::logical_operator() {QCString s;if (!hasError) {
+
s = logop();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;
- if (!hasError) {
+QCString VhdlParser::loop_statement() {QCString s,s1,s2,s3;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
+
break;
}
default:
@@ -5030,12 +5864,14 @@ s+=":";
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FOR_T:
- case WHILE_T:{
- if (!hasError) {
+ case WHILE_T:{if (!hasError) {
+
s1 = iteration_scheme();
}
+
break;
}
default:
@@ -5044,28 +5880,35 @@ s+=":";
}
}
if (!hasError) {
+
if(s1.isEmpty())
FlowChart::addFlowChart(FlowChart::LOOP_NO,0,"infinite");
}
if (!hasError) {
+
jj_consume_token(LOOP_T);
}
if (!hasError) {
+
s2 = sequence_of_statement();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(LOOP_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s3 = identifier();
}
+
break;
}
default:
@@ -5074,44 +5917,52 @@ if(s1.isEmpty())
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
QCString q = s+" loop "+s2+" end loop" +s3;
QCString endLoop="end loop" + s3;
FlowChart::moveToPrevLevel();
FlowChart::addFlowChart(FlowChart::END_LOOP,endLoop.data(),0);
return q;
assert(false);
-}
+ }
QCString VhdlParser::miscellaneous_operator() {Token *t=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOUBLEMULT_T:{
- if (!hasError) {
+ case DOUBLEMULT_T:{if (!hasError) {
+
jj_consume_token(DOUBLEMULT_T);
}
if (!hasError) {
+
return "**";
}
+
break;
}
- case ABS_T:{
- if (!hasError) {
+ case ABS_T:{if (!hasError) {
+
jj_consume_token(ABS_T);
}
if (!hasError) {
+
return "abs";
}
+
break;
}
- case NOT_T:{
- if (!hasError) {
+ case NOT_T:{if (!hasError) {
+
jj_consume_token(NOT_T);
}
if (!hasError) {
+
return "not";
}
+
break;
}
default:
@@ -5120,54 +5971,64 @@ return "not";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::mode() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IN_T:{
- if (!hasError) {
+ case IN_T:{if (!hasError) {
+
tok = jj_consume_token(IN_T);
}
if (!hasError) {
+
return "in";
}
+
break;
}
- case OUT_T:{
- if (!hasError) {
+ case OUT_T:{if (!hasError) {
+
tok = jj_consume_token(OUT_T);
}
if (!hasError) {
+
return "out";
}
+
break;
}
- case INOUT_T:{
- if (!hasError) {
+ case INOUT_T:{if (!hasError) {
+
tok = jj_consume_token(INOUT_T);
}
if (!hasError) {
+
return "inout";
}
+
break;
}
- case BUFFER_T:{
- if (!hasError) {
+ case BUFFER_T:{if (!hasError) {
+
tok = jj_consume_token(BUFFER_T);
}
if (!hasError) {
+
return "buffer";
}
+
break;
}
- case LINKAGE_T:{
- if (!hasError) {
+ case LINKAGE_T:{if (!hasError) {
+
tok = jj_consume_token(LINKAGE_T);
}
if (!hasError) {
+
return "linkage";
}
+
break;
}
default:
@@ -5176,45 +6037,53 @@ return "linkage";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::multiplying_operation() {Token *tok=0;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case MULT_T:{
- if (!hasError) {
+ case MULT_T:{if (!hasError) {
+
tok = jj_consume_token(MULT_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case SLASH_T:{
- if (!hasError) {
+ case SLASH_T:{if (!hasError) {
+
tok = jj_consume_token(SLASH_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case MOD_T:{
- if (!hasError) {
+ case MOD_T:{if (!hasError) {
+
tok = jj_consume_token(MOD_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
- case REM_T:{
- if (!hasError) {
+ case REM_T:{if (!hasError) {
+
tok = jj_consume_token(REM_T);
}
if (!hasError) {
+
return tok->image.c_str();
}
+
break;
}
default:
@@ -5223,29 +6092,32 @@ return tok->image.c_str();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::name() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::name() {QCString s,s1;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case STRINGLITERAL:{
- if (!hasError) {
+ case STRINGLITERAL:{if (!hasError) {
+
s = operator_symbol();
}
+
break;
}
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
+
break;
}
- case SLSL_T:{
- if (!hasError) {
+ case SLSL_T:{if (!hasError) {
+
s = external_name();
}
+
break;
}
default:
@@ -5255,90 +6127,123 @@ QCString VhdlParser::name() {QCString s,s1;
}
}
if (!hasError) {
- if (jj_2_47(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_47(2147483647)) {if (!hasError) {
+
s1 = name_ext1();
}
if (!hasError) {
+
s+=s1;
}
+
} else {
;
}
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::name_ext1() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::name_ext1() {QCString s,s1,s2;if (!hasError) {
+
s = name_ext();
}
if (!hasError) {
+
while (!hasError) {
if (jj_2_48(2147483647)) {
;
} else {
goto end_label_26;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = name_ext();
}
if (!hasError) {
+
s+=s1;
}
+
}
end_label_26: ;
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::name_ext() {QCString s,s1,s2;
- if (!hasError) {
- if (jj_2_49(2147483647)) {
+QCString VhdlParser::name_ext() {QCString s,s1,s2;if (!hasError) {
+
+ if (jj_2_49(2147483647)) {if (!hasError) {
+
+ jj_consume_token(APOSTROPHE_T);
+ }
if (!hasError) {
+
+ jj_consume_token(SUBTYPE_T);
+ }
+ if (!hasError) {
+
+s+="'subtype";
+ }
+
+ } else if (jj_2_50(2147483647)) {if (!hasError) {
+
jj_consume_token(DOT_T);
}
if (!hasError) {
+
s1 = suffix();
}
if (!hasError) {
+
s+=".";s+=s1;
}
- } else if (jj_2_50(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_51(2147483647)) {if (!hasError) {
+
s1 = test_att_name();
}
if (!hasError) {
+
s+=s1;
}
- } else if (jj_2_51(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_52(2147483647)) {if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = discrete_range();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+="(";s+=s1;s+=")";
}
- } else if (jj_2_52(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_53(2147483647)) {if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
s+="(";s+=s1;
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -5348,72 +6253,89 @@ s+="(";s+=s1;
default:
jj_la1[139] = jj_gen;
goto end_label_27;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_27: ;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+=")";
}
+
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::test_att_name() {QCString s,s1;
- if (!hasError) {
- if (jj_2_53(2147483647)) {
- if (!hasError) {
+QCString VhdlParser::test_att_name() {QCString s,s1;if (!hasError) {
+
+ if (jj_2_54(2147483647)) {if (!hasError) {
+
s1 = signature();
}
if (!hasError) {
+
s=s1;
}
+
} else {
;
}
}
if (!hasError) {
+
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
+
s1 = attribute_designator();
}
if (!hasError) {
+
s+="'";s+=s1;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+="(";s+=s1;s+=")";
}
+
break;
}
default:
@@ -5421,25 +6343,30 @@ s+="(";s+=s1;s+=")";
;
}
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::indexed_name() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::indexed_name() {QCString s,s1,s2;if (!hasError) {
+
s2 = identifier();
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
s=s2+"("+s1;
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -5449,38 +6376,45 @@ s=s2+"("+s1;
default:
jj_la1[141] = jj_gen;
goto end_label_28;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_28: ;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return s+")";
assert(false);
-}
+ }
-QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
- if (!hasError) {
+QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
t = jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -5489,15 +6423,18 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
jj_consume_token(NEXT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s1 = identifier();
}
+
break;
}
default:
@@ -5506,14 +6443,17 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{
- if (!hasError) {
+ case WHEN_T:{if (!hasError) {
+
t1 = jj_consume_token(WHEN_T);
}
if (!hasError) {
+
s2 = condition();
}
+
break;
}
default:
@@ -5522,31 +6462,36 @@ QCString VhdlParser::next_statement() {QCString s,s1,s2;Token *t=0;Token *t1=0;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(t) s+=":";
FlowChart::addFlowChart(FlowChart::NEXT_NO,"next ",s2.data(),s1.data());
lab.resize(0);
if(t1) s2.prepend("when ");
return s+s1+s2+";";
assert(false);
-}
+ }
-QCString VhdlParser::null_statement() {QCString s;
- if (!hasError) {
+QCString VhdlParser::null_statement() {QCString s;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
+
break;
}
default:
@@ -5555,35 +6500,42 @@ s+=":";
}
}
if (!hasError) {
+
jj_consume_token(NULL_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return s+="null";
assert(false);
-}
+ }
QCString VhdlParser::numeric_literal() {QCString s;
- if (jj_2_54(2147483647)) {
- if (!hasError) {
+ if (jj_2_55(2147483647)) {if (!hasError) {
+
s = physical_literal();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INTEGER:
case DECIMAL_LITERAL:
- case BASED_LITERAL:{
- if (!hasError) {
+ case BASED_LITERAL:{if (!hasError) {
+
s = abstract_literal();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -5593,66 +6545,79 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::object_class() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
jj_consume_token(CONSTANT_T);
}
if (!hasError) {
+
return "constant";
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
+
return "signal";
}
+
break;
}
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
+
return "variable";
}
+
break;
}
- case SHARED_T:{
- if (!hasError) {
+ case SHARED_T:{if (!hasError) {
+
jj_consume_token(SHARED_T);
}
if (!hasError) {
+
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
+
return "shared variable";
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
jj_consume_token(FILE_T);
}
if (!hasError) {
+
return "file";
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
jj_consume_token(TYPE_T);
}
if (!hasError) {
+
return "type";
}
+
break;
}
default:
@@ -5661,25 +6626,27 @@ return "type";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::operator_symbol() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::operator_symbol() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(STRINGLITERAL);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-void VhdlParser::options() {
- if (!hasError) {
+void VhdlParser::options() {if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GUARDED_T:{
- if (!hasError) {
+ case GUARDED_T:{if (!hasError) {
+
jj_consume_token(GUARDED_T);
}
+
break;
}
default:
@@ -5688,13 +6655,15 @@ void VhdlParser::options() {
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{
- if (!hasError) {
+ case TRANSPORT_T:{if (!hasError) {
+
delay_mechanism();
}
+
break;
}
default:
@@ -5702,42 +6671,52 @@ void VhdlParser::options() {
;
}
}
-}
+
+ }
-void VhdlParser::package_body() {QCString s;
- if (!hasError) {
+void VhdlParser::package_body() {QCString s;if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
+
jj_consume_token(BODY_T);
}
if (!hasError) {
+
s = name();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
lastCompound=current.get();
s.prepend("_");
addVhdlType(s,getLine(),Entry::CLASS_SEC,VhdlDocGen::PACKAGE_BODY,0,0,Protected);
}
if (!hasError) {
+
package_body_declarative_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
+
jj_consume_token(BODY_T);
}
+
break;
}
default:
@@ -5746,14 +6725,16 @@ lastCompound=current.get();
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -5762,10 +6743,12 @@ lastCompound=current.get();
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
lastCompound=0; genLabels.resize(0);
-}
+ }
void VhdlParser::package_body_declarative_item() {
@@ -5773,67 +6756,77 @@ void VhdlParser::package_body_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
type_declaration();
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
subtype_declaration();
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
constant_declaration();
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
variable_declaration();
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
file_declaration();
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
alias_declaration();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
jj_la1[152] = jj_gen;
- if (jj_2_55(3)) {
- if (!hasError) {
+ if (jj_2_56(3)) {if (!hasError) {
+
group_template_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
group_declaration();
}
+
break;
}
default:
@@ -5843,11 +6836,11 @@ void VhdlParser::package_body_declarative_item() {
}
}
}
-}
+ }
-void VhdlParser::package_body_declarative_part() {
- if (!hasError) {
+void VhdlParser::package_body_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -5869,27 +6862,32 @@ void VhdlParser::package_body_declarative_part() {
default:
jj_la1[154] = jj_gen;
goto end_label_29;
- }
- if (!hasError) {
+ }if (!hasError) {
+
package_body_declarative_item();
}
+
}
end_label_29: ;
}
-}
+
+ }
-void VhdlParser::package_declaration() {QCString s;
- if (!hasError) {
+void VhdlParser::package_declaration() {QCString s;if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
lastCompound=current.get();
std::shared_ptr<Entry> clone=std::make_shared<Entry>(*current);
clone->section=Entry::NAMESPACE_SEC;
@@ -5902,17 +6900,21 @@ lastCompound=current.get();
addVhdlType(s,getLine(PACKAGE_T),Entry::CLASS_SEC,VhdlDocGen::PACKAGE,0,0,Package);
}
if (!hasError) {
+
package_declarative_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
+
break;
}
default:
@@ -5921,14 +6923,16 @@ lastCompound=current.get();
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -5937,31 +6941,37 @@ lastCompound=current.get();
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
lastEntity=0;lastCompound=0; genLabels.resize(0);
-}
+ }
-void VhdlParser::geninter() {
- if (!hasError) {
+void VhdlParser::geninter() {if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
gen_interface_list();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
gen_assoc_list();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -5969,6 +6979,7 @@ void VhdlParser::geninter() {
;
}
}
+
break;
}
default:
@@ -5976,7 +6987,8 @@ void VhdlParser::geninter() {
;
}
}
-}
+
+ }
void VhdlParser::package_declarative_item() {
@@ -5984,113 +6996,130 @@ void VhdlParser::package_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
type_declaration();
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
subtype_declaration();
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
constant_declaration();
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
signal_declaration();
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
variable_declaration();
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
file_declaration();
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
alias_declaration();
}
+
break;
}
- case COMPONENT_T:{
- if (!hasError) {
+ case COMPONENT_T:{if (!hasError) {
+
component_declaration();
}
+
break;
}
default:
jj_la1[159] = jj_gen;
- if (jj_2_56(2147483647)) {
- if (!hasError) {
+ if (jj_2_57(2147483647)) {if (!hasError) {
+
attribute_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
attribute_specification();
}
+
break;
}
- case DISCONNECT_T:{
- if (!hasError) {
+ case DISCONNECT_T:{if (!hasError) {
+
disconnection_specification();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
jj_la1[160] = jj_gen;
- if (jj_2_57(3)) {
- if (!hasError) {
+ if (jj_2_58(3)) {if (!hasError) {
+
group_template_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
group_declaration();
}
+
break;
}
default:
jj_la1[161] = jj_gen;
- if (jj_2_58(5)) {
- if (!hasError) {
+ if (jj_2_59(5)) {if (!hasError) {
+
package_instantiation_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
package_declaration();
}
+
break;
}
default:
@@ -6104,11 +7133,11 @@ void VhdlParser::package_declarative_item() {
}
}
}
-}
+ }
-void VhdlParser::package_declarative_part() {
- if (!hasError) {
+void VhdlParser::package_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -6135,63 +7164,75 @@ void VhdlParser::package_declarative_part() {
default:
jj_la1[163] = jj_gen;
goto end_label_30;
- }
- if (!hasError) {
+ }if (!hasError) {
+
package_declarative_item();
}
+
}
end_label_30: ;
}
-}
+
+ }
-QCString VhdlParser::parameter_specification() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::parameter_specification() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IN_T);
}
if (!hasError) {
+
s1 = discrete_range();
}
+
return s+" in "+s1;
assert(false);
-}
+ }
-QCString VhdlParser::physical_literal() {QCString s,s1;
- if (!hasError) {
- if (jj_2_59(2147483647)) {
- if (!hasError) {
+QCString VhdlParser::physical_literal() {QCString s,s1;if (!hasError) {
+
+ if (jj_2_60(2147483647)) {if (!hasError) {
+
s = abstract_literal();
}
+
} else {
;
}
}
if (!hasError) {
+
s1 = name();
}
+
s+=" ";s+=s1;s.prepend(" "); return s;
assert(false);
-}
+ }
-QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::physical_type_definition() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(UNITS_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
if (!hasError) {
+
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public);
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
@@ -6202,28 +7243,33 @@ addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public)
default:
jj_la1[164] = jj_gen;
goto end_label_31;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = secondary_unit_declaration();
}
+
}
end_label_31: ;
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(UNITS_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -6231,126 +7277,156 @@ addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,0,Public)
;
}
}
+
return s+"%";
assert(false);
-}
+ }
-void VhdlParser::port_clause() {
- if (!hasError) {
+void VhdlParser::port_clause() {if (!hasError) {
+
jj_consume_token(PORT_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
port_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
currP=0;
-}
+ }
-QCString VhdlParser::port_list() {QCString s;
- if (!hasError) {
+QCString VhdlParser::port_list() {QCString s;if (!hasError) {
+
s = interface_list();
}
+
return s;
assert(false);
-}
+ }
-void VhdlParser::port_map_aspect() {
- if (!hasError) {
+void VhdlParser::port_map_aspect() {if (!hasError) {
+
jj_consume_token(PORT_T);
}
if (!hasError) {
+
jj_consume_token(MAP_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
association_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
-}
+
+ }
QCString VhdlParser::primary() {QCString s,s1;
- if (jj_2_60(2147483647)) {
- if (!hasError) {
+ if (jj_2_61(2147483647)) {if (!hasError) {
+
s = function_call();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_61(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_62(2147483647)) {if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s="("+s1+")"; return s;
}
- } else if (jj_2_62(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_63(2147483647)) {if (!hasError) {
+
s = qualified_expression();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_63(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_64(2147483647)) {if (!hasError) {
+
s = type_conversion();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_64(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_65(2147483647)) {if (!hasError) {
+
s = literal();
}
if (!hasError) {
+
s.prepend(" ");return s;
}
- } else if (jj_2_65(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_66(2147483647)) {if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case NEW_T:{
- if (!hasError) {
+ case NEW_T:{if (!hasError) {
+
allocator();
}
if (!hasError) {
+
return "";
}
+
break;
}
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
s = aggregate();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -6360,39 +7436,44 @@ return s;
}
}
assert(false);
-}
+ }
void VhdlParser::primary_unit() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ENTITY_T:{
- if (!hasError) {
+ case ENTITY_T:{if (!hasError) {
+
entity_declaration();
}
+
break;
}
- case CONFIGURATION_T:{
- if (!hasError) {
+ case CONFIGURATION_T:{if (!hasError) {
+
configuration_declaration();
}
+
break;
}
default:
jj_la1[167] = jj_gen;
- if (jj_2_66(2147483647)) {
- if (!hasError) {
+ if (jj_2_67(2147483647)) {if (!hasError) {
+
package_instantiation_declaration();
}
- } else if (jj_2_67(4)) {
- if (!hasError) {
+
+ } else if (jj_2_68(4)) {if (!hasError) {
+
interface_package_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
package_declaration();
}
+
break;
}
default:
@@ -6402,28 +7483,33 @@ void VhdlParser::primary_unit() {
}
}
}
-}
+ }
-QCString VhdlParser::procedure_call() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::procedure_call() {QCString s,s1;if (!hasError) {
+
s = name();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = actual_parameter_part();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s1.prepend("("); s1.append(")");
}
+
break;
}
default:
@@ -6431,36 +7517,43 @@ s1.prepend("("); s1.append(")");
;
}
}
+
return s+s1;
assert(false);
-}
+ }
-QCString VhdlParser::procedure_call_statement() {QCString s,s1;
- if (!hasError) {
- if (jj_2_68(2)) {
- if (!hasError) {
+QCString VhdlParser::procedure_call_statement() {QCString s,s1;if (!hasError) {
+
+ if (jj_2_69(2)) {if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
+
} else {
;
}
}
if (!hasError) {
+
s1 = procedure_call();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return s+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::process_declarative_item() {QCString s;
@@ -6468,117 +7561,141 @@ QCString VhdlParser::process_declarative_item() {QCString s;
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
if (!hasError) {
+
return "";
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
s = type_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
s = subtype_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
s = constant_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
s = variable_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
s = file_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
s = alias_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
jj_la1[170] = jj_gen;
- if (jj_2_69(3)) {
- if (!hasError) {
+ if (jj_2_70(3)) {if (!hasError) {
+
s = attribute_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
s = attribute_specification();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
s = use_clause();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
jj_la1[171] = jj_gen;
- if (jj_2_70(3)) {
- if (!hasError) {
+ if (jj_2_71(3)) {if (!hasError) {
+
s = group_template_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
s = group_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -6591,11 +7708,11 @@ return s;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::process_declarative_part() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::process_declarative_part() {QCString s,s1;if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -6618,32 +7735,37 @@ QCString VhdlParser::process_declarative_part() {QCString s,s1;
default:
jj_la1[173] = jj_gen;
goto end_label_32;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = process_declarative_item();
}
if (!hasError) {
+
s+=s1;
}
+
}
end_label_32: ;
}
+
return s;
assert(false);
-}
+ }
-void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;
- if (!hasError) {
+void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -6652,11 +7774,13 @@ void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{
- if (!hasError) {
+ case POSTPONED_T:{if (!hasError) {
+
jj_consume_token(POSTPONED_T);
}
+
break;
}
default:
@@ -6665,34 +7789,40 @@ void VhdlParser::process_statement() {QCString s,s1,s2;Token *tok=0;
}
}
if (!hasError) {
+
currP=VhdlDocGen::PROCESS;
current->startLine=getLine();
current->bodyLine=getLine();
}
if (!hasError) {
+
jj_consume_token(PROCESS_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ALL_T:{
- if (!hasError) {
+ case ALL_T:{if (!hasError) {
+
tok = jj_consume_token(ALL_T);
}
+
break;
}
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s1 = sensitivity_list();
}
+
break;
}
default:
@@ -6702,8 +7832,10 @@ currP=VhdlDocGen::PROCESS;
}
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
break;
}
default:
@@ -6712,11 +7844,13 @@ currP=VhdlDocGen::PROCESS;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
jj_consume_token(IS_T);
}
+
break;
}
default:
@@ -6725,28 +7859,35 @@ currP=VhdlDocGen::PROCESS;
}
}
if (!hasError) {
+
s2 = process_declarative_part();
}
if (!hasError) {
+
if (s2.data())
FlowChart::addFlowChart(FlowChart::VARIABLE_NO,s2.data(),0);
FlowChart::addFlowChart(FlowChart::BEGIN_NO,"BEGIN",0);
}
if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
if (!hasError) {
+
process_statement_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case POSTPONED_T:{
- if (!hasError) {
+ case POSTPONED_T:{if (!hasError) {
+
jj_consume_token(POSTPONED_T);
}
+
break;
}
default:
@@ -6755,15 +7896,18 @@ if (s2.data())
}
}
if (!hasError) {
+
jj_consume_token(PROCESS_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -6772,8 +7916,10 @@ if (s2.data())
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(s.isEmpty())
currName=VhdlDocGen::getProcessNumber();
else
@@ -6789,11 +7935,11 @@ if(s.isEmpty())
createFlow();
currName="";
newEntry();
-}
+ }
-void VhdlParser::process_statement_part() {
- if (!hasError) {
+void VhdlParser::process_statement_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -6820,49 +7966,60 @@ void VhdlParser::process_statement_part() {
default:
jj_la1[181] = jj_gen;
goto end_label_33;
- }
- if (!hasError) {
+ }if (!hasError) {
+
sequential_statement();
}
+
}
end_label_33: ;
}
-}
+
+ }
-QCString VhdlParser::qualified_expression() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::qualified_expression() {QCString s,s1;if (!hasError) {
+
s1 = identifier();
}
if (!hasError) {
+
jj_consume_token(APOSTROPHE_T);
}
if (!hasError) {
+
s=s1+"'";
}
if (!hasError) {
- if (jj_2_71(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_72(2147483647)) {if (!hasError) {
+
s1 = aggregate();
}
if (!hasError) {
+
s+=s1;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
s+="(";s+=s1;s+=")";
}
+
break;
}
default:
@@ -6872,61 +8029,72 @@ s+="(";s+=s1;s+=")";
}
}
}
+
return s;
assert(false);
-}
+ }
QCString VhdlParser::range() {QCString s,s1,s2;
- if (jj_2_72(2147483647)) {
- if (!hasError) {
+ if (jj_2_73(2147483647)) {if (!hasError) {
+
s = simple_expression();
}
if (!hasError) {
+
s1 = direction();
}
if (!hasError) {
+
s2 = simple_expression();
}
if (!hasError) {
+
return s+" "+s1+" "+s2;
}
- } else if (jj_2_73(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_74(2147483647)) {if (!hasError) {
+
s = attribute_name();
}
if (!hasError) {
+
return s;
}
+
} else {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::range_constraint() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::range_constraint() {QCString s,s1;if (!hasError) {
+
jj_consume_token(RANGE_T);
}
if (!hasError) {
+
s = range();
}
+
return " range "+s;
assert(false);
-}
+ }
-void VhdlParser::record_type_definition() {
- if (!hasError) {
+void VhdlParser::record_type_definition() {if (!hasError) {
+
jj_consume_token(RECORD_T);
}
if (!hasError) {
- while (!hasError) {
- if (!hasError) {
+
+ while (!hasError) {if (!hasError) {
+
element_declaration();
}
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
case EXTENDED_CHARACTER:{
@@ -6941,20 +8109,24 @@ void VhdlParser::record_type_definition() {
end_label_34: ;
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(RECORD_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -6962,27 +8134,31 @@ void VhdlParser::record_type_definition() {
;
}
}
-}
+
+ }
-QCString VhdlParser::relation() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::relation() {QCString s,s1,s2;if (!hasError) {
+
s = shift_expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LESSTHAN_T:
case GREATERTHAN_T:
case LT_T:
case GT_T:
case EQU_T:
- case NOTEQU_T:{
- if (!hasError) {
+ case NOTEQU_T:{if (!hasError) {
+
s1 = relation_operator();
}
if (!hasError) {
+
s2 = shift_expression();
}
+
break;
}
default:
@@ -6990,65 +8166,78 @@ QCString VhdlParser::relation() {QCString s,s1,s2;
;
}
}
+
return s+s1+s2;
assert(false);
-}
+ }
QCString VhdlParser::relation_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LT_T:{
- if (!hasError) {
+ case LT_T:{if (!hasError) {
+
jj_consume_token(LT_T);
}
if (!hasError) {
+
return "<";
}
+
break;
}
- case GT_T:{
- if (!hasError) {
+ case GT_T:{if (!hasError) {
+
jj_consume_token(GT_T);
}
if (!hasError) {
+
return ">";
}
+
break;
}
- case EQU_T:{
- if (!hasError) {
+ case EQU_T:{if (!hasError) {
+
jj_consume_token(EQU_T);
}
if (!hasError) {
+
return "=";
}
+
break;
}
- case GREATERTHAN_T:{
- if (!hasError) {
+ case GREATERTHAN_T:{if (!hasError) {
+
jj_consume_token(GREATERTHAN_T);
}
if (!hasError) {
+
return ">=";
}
+
break;
}
- case LESSTHAN_T:{
- if (!hasError) {
+ case LESSTHAN_T:{if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
return "<=";
}
+
break;
}
- case NOTEQU_T:{
- if (!hasError) {
+ case NOTEQU_T:{if (!hasError) {
+
jj_consume_token(NOTEQU_T);
}
if (!hasError) {
+
return "/=";
}
+
break;
}
default:
@@ -7057,20 +8246,22 @@ return "/=";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
t = jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -7079,20 +8270,25 @@ QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2
}
}
if (!hasError) {
+
jj_consume_token(REPORT_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SEVERITY_T:{
- if (!hasError) {
+ case SEVERITY_T:{if (!hasError) {
+
t1 = jj_consume_token(SEVERITY_T);
}
if (!hasError) {
+
s2 = expression();
}
+
break;
}
default:
@@ -7101,30 +8297,35 @@ QCString VhdlParser::report_statement() {Token *t=0;Token *t1=0;QCString s,s1,s2
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(t) s.append(":");
s1.prepend(" report ");
if(t1) s2.prepend(" severity ");
return s+s1+s2+";";
assert(false);
-}
+ }
-QCString VhdlParser::return_statement() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::return_statement() {QCString s,s1;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
+
break;
}
default:
@@ -7133,9 +8334,11 @@ s+=":";
}
}
if (!hasError) {
+
jj_consume_token(RETURN_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
case NEW_T:
@@ -7152,10 +8355,11 @@ s+=":";
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{
- if (!hasError) {
+ case BIT_STRING_LITERAL:{if (!hasError) {
+
s1 = expression();
}
+
break;
}
default:
@@ -7164,40 +8368,48 @@ s+=":";
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return s+" return "+s1+";";
assert(false);
-}
+ }
QCString VhdlParser::scalar_type_definition() {QCString s,s1;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
s = enumeration_type_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case RANGE_T:{
- if (!hasError) {
+ case RANGE_T:{if (!hasError) {
+
s = range_constraint();
}
if (!hasError) {
- if (jj_2_74(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_75(2147483647)) {if (!hasError) {
+
s1 = physical_type_definition();
}
+
} else {
;
}
}
if (!hasError) {
+
return s+" "+s1+"%";
}
+
break;
}
default:
@@ -7206,21 +8418,23 @@ return s+" "+s1+"%";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
void VhdlParser::secondary_unit() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ARCHITECTURE_T:{
- if (!hasError) {
+ case ARCHITECTURE_T:{if (!hasError) {
+
architecture_body();
}
+
break;
}
- case PACKAGE_T:{
- if (!hasError) {
+ case PACKAGE_T:{if (!hasError) {
+
package_body();
}
+
break;
}
default:
@@ -7228,84 +8442,102 @@ void VhdlParser::secondary_unit() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::secondary_unit_declaration() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(EQU_T);
}
if (!hasError) {
+
s1 = physical_literal();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
//printf("\n %s %s [%d]",s.data(),s1.data(),getLine());
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::UNITS,0,s1.data(),Public);
return s+"="+s1;
assert(false);
-}
+ }
-QCString VhdlParser::selected_name() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::selected_name() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(DOT_T);
}
if (!hasError) {
+
s1 = suffix();
}
+
return s+"."+s1;
assert(false);
-}
+ }
-void VhdlParser::selected_signal_assignment() {
- if (!hasError) {
+void VhdlParser::selected_signal_assignment() {if (!hasError) {
+
jj_consume_token(WITH_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(SELECT_T);
}
if (!hasError) {
+
target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
options();
}
if (!hasError) {
+
selected_waveforms();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::selected_waveforms() {
- if (!hasError) {
+void VhdlParser::selected_waveforms() {if (!hasError) {
+
waveform();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
choices();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -7315,43 +8547,51 @@ void VhdlParser::selected_waveforms() {
default:
jj_la1[193] = jj_gen;
goto end_label_35;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
waveform();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
choices();
}
+
}
end_label_35: ;
}
-}
+
+ }
-QCString VhdlParser::sensitivity_clause() {QCString s;
- if (!hasError) {
+QCString VhdlParser::sensitivity_clause() {QCString s;if (!hasError) {
+
jj_consume_token(ON_T);
}
if (!hasError) {
+
s = sensitivity_list();
}
+
s.prepend(" on ");
return s;
assert(false);
-}
+ }
-QCString VhdlParser::sensitivity_list() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::sensitivity_list() {QCString s,s1;if (!hasError) {
+
s = name();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -7361,142 +8601,175 @@ QCString VhdlParser::sensitivity_list() {QCString s,s1;
default:
jj_la1[194] = jj_gen;
goto end_label_36;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_36: ;
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::sequence_of_statement() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::sequence_of_statement() {QCString s,s1;if (!hasError) {
+
while (!hasError) {
- if (jj_2_75(3)) {
+ if (jj_2_76(3)) {
;
} else {
goto end_label_37;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = sequential_statement();
}
if (!hasError) {
+
s+=s1;
}
+
}
end_label_37: ;
}
+
return s;
assert(false);
-}
+ }
QCString VhdlParser::sequential_statement() {QCString s;
- if (jj_2_76(2147483647)) {
- if (!hasError) {
+ if (jj_2_77(2147483647)) {if (!hasError) {
+
s = signal_assignment_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
- } else if (jj_2_77(3)) {
- if (!hasError) {
+
+ } else if (jj_2_78(3)) {if (!hasError) {
+
s = assertion_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
- } else if (jj_2_78(3)) {
- if (!hasError) {
+
+ } else if (jj_2_79(3)) {if (!hasError) {
+
s = report_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
- } else if (jj_2_79(3)) {
- if (!hasError) {
+
+ } else if (jj_2_80(3)) {if (!hasError) {
+
s = wait_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
- } else if (jj_2_80(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_81(2147483647)) {if (!hasError) {
+
s = variable_assignment_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
- } else if (jj_2_81(3)) {
- if (!hasError) {
+
+ } else if (jj_2_82(3)) {if (!hasError) {
+
s = procedure_call_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
- } else if (jj_2_82(3)) {
- if (!hasError) {
+
+ } else if (jj_2_83(3)) {if (!hasError) {
+
if_statement();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_83(3)) {
- if (!hasError) {
+
+ } else if (jj_2_84(3)) {if (!hasError) {
+
case_statement();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_84(3)) {
- if (!hasError) {
+
+ } else if (jj_2_85(3)) {if (!hasError) {
+
loop_statement();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_85(3)) {
- if (!hasError) {
+
+ } else if (jj_2_86(3)) {if (!hasError) {
+
s = next_statement();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_86(3)) {
- if (!hasError) {
+
+ } else if (jj_2_87(3)) {if (!hasError) {
+
s = exit_statement();
}
if (!hasError) {
+
return s;
}
- } else if (jj_2_87(3)) {
- if (!hasError) {
+
+ } else if (jj_2_88(3)) {if (!hasError) {
+
s = return_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::RETURN_NO,s.data(),0);return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case NULL_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = null_statement();
}
if (!hasError) {
+
FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
+
break;
}
default:
@@ -7506,27 +8779,30 @@ FlowChart::addFlowChart(FlowChart::TEXT_NO,s.data(),0);return s;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::shift_expression() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::shift_expression() {QCString s,s1,s2;if (!hasError) {
+
s = simple_expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ROL_T:
case ROR_T:
case SLA_T:
case SLL_T:
case SRA_T:
- case SRL_T:{
- if (!hasError) {
+ case SRL_T:{if (!hasError) {
+
s1 = shift_operator();
}
if (!hasError) {
+
s2 = simple_expression();
}
+
break;
}
default:
@@ -7534,65 +8810,78 @@ QCString VhdlParser::shift_expression() {QCString s,s1,s2;
;
}
}
+
return s+s1+s2;
assert(false);
-}
+ }
QCString VhdlParser::shift_operator() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SLL_T:{
- if (!hasError) {
+ case SLL_T:{if (!hasError) {
+
jj_consume_token(SLL_T);
}
if (!hasError) {
+
return "sll";
}
+
break;
}
- case SRL_T:{
- if (!hasError) {
+ case SRL_T:{if (!hasError) {
+
jj_consume_token(SRL_T);
}
if (!hasError) {
+
return "srl";
}
+
break;
}
- case SLA_T:{
- if (!hasError) {
+ case SLA_T:{if (!hasError) {
+
jj_consume_token(SLA_T);
}
if (!hasError) {
+
return "sla";
}
+
break;
}
- case SRA_T:{
- if (!hasError) {
+ case SRA_T:{if (!hasError) {
+
jj_consume_token(SRA_T);
}
if (!hasError) {
+
return "sra";
}
+
break;
}
- case ROL_T:{
- if (!hasError) {
+ case ROL_T:{if (!hasError) {
+
jj_consume_token(ROL_T);
}
if (!hasError) {
+
return "rol";
}
+
break;
}
- case ROR_T:{
- if (!hasError) {
+ case ROR_T:{if (!hasError) {
+
jj_consume_token(ROR_T);
}
if (!hasError) {
+
return "ror";
}
+
break;
}
default:
@@ -7601,27 +8890,31 @@ return "ror";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::sign() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PLUS_T:{
- if (!hasError) {
+ case PLUS_T:{if (!hasError) {
+
jj_consume_token(PLUS_T);
}
if (!hasError) {
+
return "+";
}
+
break;
}
- case MINUS_T:{
- if (!hasError) {
+ case MINUS_T:{if (!hasError) {
+
jj_consume_token(MINUS_T);
}
if (!hasError) {
+
return "-";
}
+
break;
}
default:
@@ -7630,60 +8923,71 @@ return "-";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::signal_assignment_statement() {QCString s,s1,s2,s3;
- if (jj_2_89(2147483647)) {
- if (!hasError) {
+ if (jj_2_90(2147483647)) {if (!hasError) {
+
conditional_signal_assignment_wave();
}
if (!hasError) {
+
return "";
}
- } else if (jj_2_90(2147483647)) {
- if (!hasError) {
+
+ } else if (jj_2_91(2147483647)) {if (!hasError) {
+
selected_signal_assignment_wave();
}
if (!hasError) {
+
return "";
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LPAREN_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
- if (jj_2_88(2)) {
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
+ if (jj_2_89(2)) {if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
+
} else {
;
}
}
if (!hasError) {
+
s1 = target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{
- if (!hasError) {
+ case TRANSPORT_T:{if (!hasError) {
+
s2 = delay_mechanism();
}
+
break;
}
default:
@@ -7692,14 +8996,18 @@ s+=":";
}
}
if (!hasError) {
+
s3 = waveform();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
if (!hasError) {
+
return s+s1+"<="+s2+s3+";";
}
+
break;
}
default:
@@ -7709,36 +9017,42 @@ return s+s1+"<="+s2+s3+";";
}
}
assert(false);
-}
+ }
-void VhdlParser::semi() {
- if (!hasError) {
+void VhdlParser::semi() {if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;
- if (!hasError) {
+void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;if (!hasError) {
+
jj_consume_token(SIGNAL_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BUS_T:
- case REGISTER_T:{
- if (!hasError) {
+ case REGISTER_T:{if (!hasError) {
+
s2 = signal_kind();
}
+
break;
}
default:
@@ -7747,14 +9061,17 @@ void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{
- if (!hasError) {
+ case VARASSIGN_T:{if (!hasError) {
+
tok = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
+
s3 = expression();
}
+
break;
}
default:
@@ -7763,33 +9080,39 @@ void VhdlParser::signal_declaration() {Token* tok=0;QCString s,s1,s2,s3,s4;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(tok)
s3.prepend(":=");
s4=s1+s2+s3;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::SIGNAL,0,s4.data(),Public);
-}
+ }
QCString VhdlParser::signal_kind() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case REGISTER_T:{
- if (!hasError) {
+ case REGISTER_T:{if (!hasError) {
+
jj_consume_token(REGISTER_T);
}
if (!hasError) {
+
return "register";
}
+
break;
}
- case BUS_T:{
- if (!hasError) {
+ case BUS_T:{if (!hasError) {
+
jj_consume_token(BUS_T);
}
if (!hasError) {
+
return "bus";
}
+
break;
}
default:
@@ -7798,7 +9121,7 @@ return "bus";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::signal_list() {QCString s,s1;
@@ -7806,11 +9129,12 @@ QCString VhdlParser::signal_list() {QCString s,s1;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -7820,37 +9144,45 @@ QCString VhdlParser::signal_list() {QCString s,s1;
default:
jj_la1[204] = jj_gen;
goto end_label_38;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_38: ;
}
+
break;
}
- case OTHER_T:{
- if (!hasError) {
+ case OTHER_T:{if (!hasError) {
+
jj_consume_token(OTHER_T);
}
if (!hasError) {
+
return "other";
}
+
break;
}
- case ALL_T:{
- if (!hasError) {
+ case ALL_T:{if (!hasError) {
+
jj_consume_token(ALL_T);
}
if (!hasError) {
+
return "all";
}
+
break;
}
default:
@@ -7859,23 +9191,25 @@ return "all";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::signature() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::signature() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(LBRACKET_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -7885,19 +9219,23 @@ QCString VhdlParser::signature() {QCString s,s1,s2;
default:
jj_la1[206] = jj_gen;
goto end_label_39;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_39: ;
}
+
break;
}
default:
@@ -7906,17 +9244,21 @@ s+=",";s+=s1;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case RETURN_T:{
- if (!hasError) {
+ case RETURN_T:{if (!hasError) {
+
jj_consume_token(RETURN_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s+="return ";s+=s1;
}
+
break;
}
default:
@@ -7925,21 +9267,24 @@ s+="return ";s+=s1;
}
}
if (!hasError) {
+
jj_consume_token(RBRACKET_T);
}
+
s1="["+s+"]";return s1;
assert(false);
-}
+ }
-QCString VhdlParser::simple_expression() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::simple_expression() {QCString s,s1,s2;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case PLUS_T:
- case MINUS_T:{
- if (!hasError) {
+ case MINUS_T:{if (!hasError) {
+
s = sign();
}
+
break;
}
default:
@@ -7948,78 +9293,93 @@ QCString VhdlParser::simple_expression() {QCString s,s1,s2;
}
}
if (!hasError) {
+
s1 = term();
}
if (!hasError) {
+
s+=s1;
}
if (!hasError) {
+
while (!hasError) {
- if (jj_2_91(2147483647)) {
+ if (jj_2_92(2147483647)) {
;
} else {
goto end_label_40;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = adding_operator();
}
if (!hasError) {
+
s2 = term();
}
if (!hasError) {
+
s+=s1;s+=s2;
}
+
}
end_label_40: ;
}
+
return s;
assert(false);
-}
+ }
-void VhdlParser::simple_name() {
- if (!hasError) {
+void VhdlParser::simple_name() {if (!hasError) {
+
name();
}
-}
+
+ }
-QCString VhdlParser::slice_name() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::slice_name() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = discrete_range();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return s+"("+s1+")";
assert(false);
-}
+ }
-QCString VhdlParser::string_literal() {Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::string_literal() {Token *tok=0;if (!hasError) {
+
tok = jj_consume_token(STRINGLITERAL);
}
+
return tok->image.c_str();
assert(false);
-}
+ }
-void VhdlParser::subprogram_body() {QCString s;
- if (!hasError) {
+void VhdlParser::subprogram_body() {QCString s;if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
//try{
s = subprogram_declarative_part();
}
if (!hasError) {
+
if (s.data())
{
FlowChart::addFlowChart(FlowChart::VARIABLE_NO,s,0);
@@ -8027,21 +9387,26 @@ if (s.data())
FlowChart::addFlowChart(FlowChart::BEGIN_NO,"BEGIN",0);
}
if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
if (!hasError) {
+
subprogram_statement_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FUNCTION_T:
- case PROCEDURE_T:{
- if (!hasError) {
+ case PROCEDURE_T:{if (!hasError) {
+
subprogram_kind();
}
+
break;
}
default:
@@ -8050,13 +9415,15 @@ if (s.data())
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
designator();
}
+
break;
}
default:
@@ -8065,34 +9432,40 @@ if (s.data())
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
tempEntry->endBodyLine=getLine(END_T);
createFlow();
currP=0;
-}
+ }
void VhdlParser::subprogram_declaration() {
- if (jj_2_92(2147483647)) {
- if (!hasError) {
+ if (jj_2_93(2147483647)) {if (!hasError) {
+
subprogram_instantiation_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_specification();
}
if (!hasError) {
+
subprogram_1();
}
if (!hasError) {
+
currP=0;
}
+
break;
}
default:
@@ -8101,21 +9474,23 @@ currP=0;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
void VhdlParser::subprogram_1() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
subprogram_body();
}
+
break;
}
- case SEMI_T:{
- if (!hasError) {
+ case SEMI_T:{if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -8123,7 +9498,7 @@ void VhdlParser::subprogram_1() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
QCString VhdlParser::subprogram_declarative_item() {QCString s;
@@ -8131,126 +9506,152 @@ QCString VhdlParser::subprogram_declarative_item() {QCString s;
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
if (!hasError) {
+
return "";
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
s = type_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
subprogram_body();
}
if (!hasError) {
+
return "";
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
s = subtype_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
s = constant_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
s = variable_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
s = file_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
s = alias_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
jj_la1[214] = jj_gen;
- if (jj_2_93(2147483647)) {
- if (!hasError) {
+ if (jj_2_94(2147483647)) {if (!hasError) {
+
s = attribute_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
s = attribute_specification();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
s = use_clause();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
jj_la1[215] = jj_gen;
- if (jj_2_94(3)) {
- if (!hasError) {
+ if (jj_2_95(3)) {if (!hasError) {
+
s = group_template_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
s = group_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -8263,11 +9664,11 @@ return s;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -8291,33 +9692,38 @@ QCString VhdlParser::subprogram_declarative_part() {QCString s,s1;
default:
jj_la1[217] = jj_gen;
goto end_label_41;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = subprogram_declarative_item();
}
if (!hasError) {
+
s+=s1;
}
+
}
end_label_41: ;
}
+
return s;
assert(false);
-}
+ }
void VhdlParser::subprogram_kind() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FUNCTION_T:{
- if (!hasError) {
+ case FUNCTION_T:{if (!hasError) {
+
jj_consume_token(FUNCTION_T);
}
+
break;
}
- case PROCEDURE_T:{
- if (!hasError) {
+ case PROCEDURE_T:{if (!hasError) {
+
jj_consume_token(PROCEDURE_T);
}
+
break;
}
default:
@@ -8325,19 +9731,21 @@ void VhdlParser::subprogram_kind() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
void VhdlParser::subprogram_specification() {QCString s;Token *tok=0;Token *t;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROCEDURE_T:{
- if (!hasError) {
+ case PROCEDURE_T:{if (!hasError) {
+
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
+
s = designator();
}
if (!hasError) {
+
currP=VhdlDocGen::PROCEDURE;
createFunction(s.data(),currP,0);
tempEntry=current.get();
@@ -8345,23 +9753,29 @@ currP=VhdlDocGen::PROCEDURE;
current->bodyLine=getLine(PROCEDURE_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
param_sec=PARAM_SEC;
}
if (!hasError) {
+
interface_list();
}
if (!hasError) {
+
param_sec=0;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
break;
}
default:
@@ -8370,50 +9784,59 @@ param_sec=0;
}
}
if (!hasError) {
- if (jj_2_95(2)) {
- if (!hasError) {
+
+ if (jj_2_96(2)) {if (!hasError) {
+
gen_interface_list();
}
+
} else {
;
}
}
if (!hasError) {
- if (jj_2_96(2)) {
- if (!hasError) {
+
+ if (jj_2_97(2)) {if (!hasError) {
+
gen_assoc_list();
}
+
} else {
;
}
}
if (!hasError) {
+
param();
}
if (!hasError) {
+
newEntry();
}
+
break;
}
case FUNCTION_T:
case IMPURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IMPURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
tok = jj_consume_token(PURE_T);
}
+
break;
}
- case IMPURE_T:{
- if (!hasError) {
+ case IMPURE_T:{if (!hasError) {
+
tok = jj_consume_token(IMPURE_T);
}
+
break;
}
default:
@@ -8422,6 +9845,7 @@ newEntry();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
+
break;
}
default:
@@ -8430,12 +9854,15 @@ newEntry();
}
}
if (!hasError) {
+
t = jj_consume_token(FUNCTION_T);
}
if (!hasError) {
+
s = designator();
}
if (!hasError) {
+
currP=VhdlDocGen::FUNCTION;
if(tok)
createFunction(tok->image.c_str(),currP,s.data());
@@ -8446,23 +9873,29 @@ currP=VhdlDocGen::FUNCTION;
current->bodyLine=getLine(FUNCTION_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
param_sec=PARAM_SEC;
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
formal_parameter_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
param_sec=0;
}
+
break;
}
default:
@@ -8471,16 +9904,20 @@ param_sec=0;
}
}
if (!hasError) {
+
jj_consume_token(RETURN_T);
}
if (!hasError) {
+
s = type_mark();
}
if (!hasError) {
+
tempEntry=current.get();
current->type=s;
newEntry();
}
+
break;
}
default:
@@ -8488,11 +9925,11 @@ tempEntry=current.get();
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-void VhdlParser::subprogram_statement_part() {
- if (!hasError) {
+void VhdlParser::subprogram_statement_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -8519,100 +9956,120 @@ void VhdlParser::subprogram_statement_part() {
default:
jj_la1[224] = jj_gen;
goto end_label_42;
- }
- if (!hasError) {
+ }if (!hasError) {
+
sequential_statement();
}
+
}
end_label_42: ;
}
-}
+
+ }
-QCString VhdlParser::subtype_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::subtype_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(SUBTYPE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,VhdlDocGen::SUBTYPE,0,s1.data(),Public);
return " subtype "+s+" is "+s1+";";
assert(false);
-}
+ }
-QCString VhdlParser::subtype_indication() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::subtype_indication() {QCString s,s1,s2;if (!hasError) {
+
s = name();
}
if (!hasError) {
- if (jj_2_97(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_98(2147483647)) {if (!hasError) {
+
s1 = name();
}
+
} else {
;
}
}
if (!hasError) {
- if (jj_2_98(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_99(2147483647)) {if (!hasError) {
+
s2 = constraint();
}
+
} else {
;
}
}
+
return s+" "+s1+" "+s2;
assert(false);
-}
+ }
QCString VhdlParser::suffix() {QCString s;
- if (jj_2_99(2147483647)) {
- if (!hasError) {
+ if (jj_2_100(2147483647)) {if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CHARACTER_LITERAL:{
- if (!hasError) {
+ case CHARACTER_LITERAL:{if (!hasError) {
+
s = character_literal();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case STRINGLITERAL:{
- if (!hasError) {
+ case STRINGLITERAL:{if (!hasError) {
+
s = operator_symbol();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case ALL_T:{
- if (!hasError) {
+ case ALL_T:{if (!hasError) {
+
jj_consume_token(ALL_T);
}
if (!hasError) {
+
return " all ";
}
+
break;
}
default:
@@ -8622,7 +10079,7 @@ return " all ";
}
}
assert(false);
-}
+ }
QCString VhdlParser::target() {QCString s;
@@ -8630,22 +10087,26 @@ QCString VhdlParser::target() {QCString s;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = name();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
s = aggregate();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -8654,84 +10115,99 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::term() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::term() {QCString s,s1,s2;if (!hasError) {
+
s = factor();
}
if (!hasError) {
+
while (!hasError) {
- if (jj_2_100(2)) {
+ if (jj_2_101(2)) {
;
} else {
goto end_label_43;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = multiplying_operation();
}
if (!hasError) {
+
s2 = factor();
}
if (!hasError) {
+
s+=s1;s+=s2;
}
+
}
end_label_43: ;
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::timeout_clause() {QCString s;
- if (!hasError) {
+QCString VhdlParser::timeout_clause() {QCString s;if (!hasError) {
+
jj_consume_token(FOR_T);
}
if (!hasError) {
+
s = expression();
}
+
return " for "+s;
assert(false);
-}
+ }
-QCString VhdlParser::type_conversion() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::type_conversion() {QCString s,s1;if (!hasError) {
+
s = name();
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
return s+"("+s1+")";
assert(false);
-}
+ }
QCString VhdlParser::type_declaration() {QCString s;
- if (jj_2_101(3)) {
- if (!hasError) {
+ if (jj_2_102(3)) {if (!hasError) {
+
s = full_type_declaration();
}
if (!hasError) {
+
return s;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
s = incomplete_type_declaration();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -8741,68 +10217,80 @@ return s;
}
}
assert(false);
-}
+ }
QCString VhdlParser::type_definition() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case RANGE_T:
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
//try{
s = scalar_type_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
case ARRAY_T:
- case RECORD_T:{
- if (!hasError) {
+ case RECORD_T:{if (!hasError) {
+
s = composite_type_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case ACCESS_T:{
- if (!hasError) {
+ case ACCESS_T:{if (!hasError) {
+
s = access_type_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
s = file_type_definition();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
jj_la1[228] = jj_gen;
- if (jj_2_102(2)) {
- if (!hasError) {
+ if (jj_2_103(2)) {if (!hasError) {
+
protected_type_body();
}
if (!hasError) {
+
return "";
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROTECTED_T:{
- if (!hasError) {
+ case PROTECTED_T:{if (!hasError) {
+
protected_type_declaration();
}
if (!hasError) {
+
return "";
}
+
break;
}
default:
@@ -8813,29 +10301,33 @@ return "";
}
}
assert(false);
-}
+ }
-QCString VhdlParser::type_mark() {QCString s;
- if (!hasError) {
+QCString VhdlParser::type_mark() {QCString s;if (!hasError) {
+
s = name();
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;
- if (!hasError) {
+QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;if (!hasError) {
+
jj_consume_token(ARRAY_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s = index_subtype_definition();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -8845,41 +10337,50 @@ QCString VhdlParser::unconstraint_array_definition() {QCString s,s1,s2,s3;
default:
jj_la1[230] = jj_gen;
goto end_label_44;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = index_subtype_definition();
}
if (!hasError) {
+
s3+=",";s3+=s1;
}
+
}
end_label_44: ;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
if (!hasError) {
+
jj_consume_token(OF_T);
}
if (!hasError) {
+
s2 = subtype_indication();
}
+
return "array("+s+s3+") of "+s2;
assert(false);
-}
+ }
-QCString VhdlParser::use_clause() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::use_clause() {QCString s,s1;if (!hasError) {
+
jj_consume_token(USE_T);
}
if (!hasError) {
+
s = selected_name();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -8889,22 +10390,27 @@ QCString VhdlParser::use_clause() {QCString s,s1;
default:
jj_la1[231] = jj_gen;
goto end_label_45;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = selected_name();
}
if (!hasError) {
+
s+=",";s+=s1;
}
+
}
end_label_45: ;
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
QCStringList ql1=QCStringList::split(",",s);
for (uint j=0;j<ql1.count();j++)
{
@@ -8918,7 +10424,7 @@ QCStringList ql1=QCStringList::split(",",s);
s1="use "+s;
return s1;
assert(false);
-}
+ }
QCString VhdlParser::variable_assignment_statement() {QCString s,s1,s2;
@@ -8927,46 +10433,57 @@ QCString VhdlParser::variable_assignment_statement() {QCString s,s1,s2;
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
- if (jj_2_103(2)) {
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
+ if (jj_2_104(2)) {if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s+=":";
}
+
} else {
;
}
}
if (!hasError) {
+
s1 = target();
}
if (!hasError) {
+
jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
+
s2 = expression();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
if (!hasError) {
+
return s+s1+":="+s2+";";
}
+
break;
}
- case WITH_T:{
- if (!hasError) {
+ case WITH_T:{if (!hasError) {
+
selected_variable_assignment();
}
if (!hasError) {
+
return "";
}
+
break;
}
default:
@@ -8975,16 +10492,17 @@ return "";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s,s1,s2;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case SHARED_T:{
- if (!hasError) {
+ case SHARED_T:{if (!hasError) {
+
tok = jj_consume_token(SHARED_T);
}
+
break;
}
default:
@@ -8993,26 +10511,33 @@ QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s
}
}
if (!hasError) {
+
jj_consume_token(VARIABLE_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s1 = subtype_indication();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case VARASSIGN_T:{
- if (!hasError) {
+ case VARASSIGN_T:{if (!hasError) {
+
t1 = jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
+
s2 = expression();
}
+
break;
}
default:
@@ -9021,8 +10546,10 @@ QCString VhdlParser::variable_declaration() {Token *tok=0;Token *t1=0;QCString s
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
int spec;
if(t1)
s2.prepend(":=");
@@ -9044,20 +10571,22 @@ int spec;
addVhdlType(s.data(),getLine(),Entry::VARIABLE_SEC,spec,0,it.data(),Public);
return val;
assert(false);
-}
+ }
-QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
- if (!hasError) {
+QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
t = jj_consume_token(COLON_T);
}
+
break;
}
default:
@@ -9066,14 +10595,17 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
}
}
if (!hasError) {
+
jj_consume_token(WAIT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ON_T:{
- if (!hasError) {
+ case ON_T:{if (!hasError) {
+
s1 = sensitivity_clause();
}
+
break;
}
default:
@@ -9082,11 +10614,13 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case UNTIL_T:{
- if (!hasError) {
+ case UNTIL_T:{if (!hasError) {
+
s2 = condition_clause();
}
+
break;
}
default:
@@ -9095,11 +10629,13 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case FOR_T:{
- if (!hasError) {
+ case FOR_T:{if (!hasError) {
+
s3 = timeout_clause();
}
+
break;
}
default:
@@ -9108,12 +10644,14 @@ QCString VhdlParser::wait_statement() {QCString s,s1,s2,s3;Token *t=0;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
if(t) s.append(":");
return s+" wait "+s1+s2+s3+";";
assert(false);
-}
+ }
QCString VhdlParser::waveform() {QCString s,s1;
@@ -9133,11 +10671,12 @@ QCString VhdlParser::waveform() {QCString s,s1;
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{
- if (!hasError) {
+ case BIT_STRING_LITERAL:{if (!hasError) {
+
s = waveform_element();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -9147,31 +10686,38 @@ QCString VhdlParser::waveform() {QCString s,s1;
default:
jj_la1[239] = jj_gen;
goto end_label_46;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
s1 = waveform_element();
}
if (!hasError) {
+
s+=","; s+=s1;
}
+
}
end_label_46: ;
}
if (!hasError) {
+
return s;
}
+
break;
}
- case UNAFFECTED_T:{
- if (!hasError) {
+ case UNAFFECTED_T:{if (!hasError) {
+
jj_consume_token(UNAFFECTED_T);
}
if (!hasError) {
+
return " unaffected ";
}
+
break;
}
default:
@@ -9180,25 +10726,29 @@ return " unaffected ";
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::waveform_element() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::waveform_element() {QCString s,s1;if (!hasError) {
+
s = expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case AFTER_T:{
- if (!hasError) {
+ case AFTER_T:{if (!hasError) {
+
jj_consume_token(AFTER_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
s1.prepend(" after ");
}
+
break;
}
default:
@@ -9206,37 +10756,45 @@ s1.prepend(" after ");
;
}
}
+
return s+s1;
assert(false);
-}
+ }
-QCString VhdlParser::protected_type_body() {
- if (!hasError) {
+QCString VhdlParser::protected_type_body() {if (!hasError) {
+
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
+
jj_consume_token(BODY_T);
}
if (!hasError) {
+
protected_type_body_declarative_part();
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
+
jj_consume_token(BODY_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -9244,9 +10802,10 @@ QCString VhdlParser::protected_type_body() {
;
}
}
+
return "";
assert(false);
-}
+ }
void VhdlParser::protected_type_body_declarative_item() {
@@ -9254,87 +10813,100 @@ void VhdlParser::protected_type_body_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
+
break;
}
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
subprogram_body();
}
+
break;
}
- case TYPE_T:{
- if (!hasError) {
+ case TYPE_T:{if (!hasError) {
+
type_declaration();
}
+
break;
}
- case SUBTYPE_T:{
- if (!hasError) {
+ case SUBTYPE_T:{if (!hasError) {
+
subtype_declaration();
}
+
break;
}
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
constant_declaration();
}
+
break;
}
case SHARED_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
variable_declaration();
}
+
break;
}
- case FILE_T:{
- if (!hasError) {
+ case FILE_T:{if (!hasError) {
+
file_declaration();
}
+
break;
}
- case ALIAS_T:{
- if (!hasError) {
+ case ALIAS_T:{if (!hasError) {
+
alias_declaration();
}
+
break;
}
default:
jj_la1[243] = jj_gen;
- if (jj_2_104(2147483647)) {
- if (!hasError) {
+ if (jj_2_105(2147483647)) {if (!hasError) {
+
attribute_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
attribute_specification();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
jj_la1[244] = jj_gen;
- if (jj_2_105(3)) {
- if (!hasError) {
+ if (jj_2_106(3)) {if (!hasError) {
+
group_template_declaration();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GROUP_T:{
- if (!hasError) {
+ case GROUP_T:{if (!hasError) {
+
group_declaration();
}
+
break;
}
default:
@@ -9346,11 +10918,11 @@ void VhdlParser::protected_type_body_declarative_item() {
}
}
}
-}
+ }
-void VhdlParser::protected_type_body_declarative_part() {
- if (!hasError) {
+void VhdlParser::protected_type_body_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
@@ -9374,42 +10946,50 @@ void VhdlParser::protected_type_body_declarative_part() {
default:
jj_la1[246] = jj_gen;
goto end_label_47;
- }
- if (!hasError) {
+ }if (!hasError) {
+
protected_type_body_declarative_item();
}
+
}
end_label_47: ;
}
-}
+
+ }
-QCString VhdlParser::protected_type_declaration() {
- if (!hasError) {
+QCString VhdlParser::protected_type_declaration() {if (!hasError) {
+
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
- try {
- if (!hasError) {
+
+ try {if (!hasError) {
+
protected_type_declarative_part();
}
+
} catch ( ...) {
error_skipto(END_T);
}
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(PROTECTED_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -9417,9 +10997,10 @@ error_skipto(END_T);
;
}
}
+
return "";
assert(false);
-}
+ }
void VhdlParser::protected_type_declarative_item() {
@@ -9427,22 +11008,25 @@ void VhdlParser::protected_type_declarative_item() {
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_specification();
}
+
break;
}
- case ATTRIBUTE_T:{
- if (!hasError) {
+ case ATTRIBUTE_T:{if (!hasError) {
+
attribute_specification();
}
+
break;
}
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
use_clause();
}
+
break;
}
default:
@@ -9450,11 +11034,11 @@ void VhdlParser::protected_type_declarative_item() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-void VhdlParser::protected_type_declarative_part() {
- if (!hasError) {
+void VhdlParser::protected_type_declarative_part() {if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ATTRIBUTE_T:
@@ -9469,48 +11053,58 @@ void VhdlParser::protected_type_declarative_part() {
default:
jj_la1[249] = jj_gen;
goto end_label_48;
- }
- if (!hasError) {
+ }if (!hasError) {
+
protected_type_declarative_item();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
}
end_label_48: ;
}
-}
+
+ }
-QCString VhdlParser::context_ref() {QCString s;
- if (!hasError) {
+QCString VhdlParser::context_ref() {QCString s;if (!hasError) {
+
jj_consume_token(CONTEXT_T);
}
if (!hasError) {
+
s = identifier_list();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
return "context "+s ;
assert(false);
-}
+ }
-void VhdlParser::context_declaration() {QCString s,s1;
- if (!hasError) {
+void VhdlParser::context_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(CONTEXT_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
parse_sec=CONTEXT_SEC;
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case CONTEXT_T:
@@ -9522,22 +11116,26 @@ parse_sec=CONTEXT_SEC;
default:
jj_la1[250] = jj_gen;
goto end_label_49;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = libustcont_stats();
}
+
}
end_label_49: ;
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONTEXT_T:{
- if (!hasError) {
+ case CONTEXT_T:{if (!hasError) {
+
jj_consume_token(CONTEXT_T);
}
+
break;
}
default:
@@ -9546,12 +11144,14 @@ parse_sec=CONTEXT_SEC;
}
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -9560,40 +11160,48 @@ parse_sec=CONTEXT_SEC;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
parse_sec=0;
addVhdlType(s.data(),getLine(LIBRARY_T),Entry::VARIABLE_SEC,VhdlDocGen::LIBRARY,"context",s1.data(),Public);
-}
+ }
QCString VhdlParser::libustcont_stats() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case USE_T:{
- if (!hasError) {
+ case USE_T:{if (!hasError) {
+
s = use_clause();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case LIBRARY_T:{
- if (!hasError) {
+ case LIBRARY_T:{if (!hasError) {
+
s = library_clause();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case CONTEXT_T:{
- if (!hasError) {
+ case CONTEXT_T:{if (!hasError) {
+
s = context_ref();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -9602,34 +11210,41 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;
- if (!hasError) {
+void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
jj_consume_token(NEW_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s2 = signature();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
gen_assoc_list();
}
+
break;
}
default:
@@ -9638,35 +11253,43 @@ void VhdlParser::package_instantiation_declaration() {QCString s,s1,s2;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
QCString q=" is new "+s1+s2;
addVhdlType(s.data(),getLine(PACKAGE_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"package",q.data(),Public);
-}
+ }
-QCString VhdlParser::interface_package_declaration() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::interface_package_declaration() {QCString s,s1;if (!hasError) {
+
jj_consume_token(PACKAGE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
jj_consume_token(NEW_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
gen_assoc_list();
}
+
break;
}
default:
@@ -9674,37 +11297,45 @@ QCString VhdlParser::interface_package_declaration() {QCString s,s1;
;
}
}
+
current->name=s;
return "package "+s+" is new "+s1;
assert(false);
-}
+ }
-QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
jj_consume_token(IS_T);
}
if (!hasError) {
+
jj_consume_token(NEW_T);
}
if (!hasError) {
+
s1 = name();
}
if (!hasError) {
+
s2 = signature();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case GENERIC_T:{
- if (!hasError) {
+ case GENERIC_T:{if (!hasError) {
+
gen_assoc_list();
}
+
break;
}
default:
@@ -9713,122 +11344,152 @@ QCString VhdlParser::subprogram_instantiation_declaration() {QCString s,s1,s2;
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
QCString q= " is new "+s1+s2;
addVhdlType(s.data(),getLine(FUNCTION_T),Entry::VARIABLE_SEC,VhdlDocGen::INSTANTIATION,"function ",q.data(),Public);
return q;
assert(false);
-}
+ }
-void VhdlParser::gen_assoc_list() {
- if (!hasError) {
+void VhdlParser::gen_assoc_list() {if (!hasError) {
+
jj_consume_token(GENERIC_T);
}
if (!hasError) {
+
jj_consume_token(MAP_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
association_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
-}
+
+ }
-void VhdlParser::gen_interface_list() {
- if (!hasError) {
+void VhdlParser::gen_interface_list() {if (!hasError) {
+
jj_consume_token(GENERIC_T);
}
if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
//int u=s_str.iLine;
parse_sec=GEN_SEC;
}
if (!hasError) {
+
interface_list();
}
if (!hasError) {
+
// QCString vo=$3;
parse_sec=0;
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
-}
+
+ }
-void VhdlParser::case_scheme() {
- if (!hasError) {
+void VhdlParser::case_scheme() {if (!hasError) {
+
jj_consume_token(CASE_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(GENERATE_T);
}
if (!hasError) {
+
when_stats();
}
if (!hasError) {
- if (jj_2_106(3)) {
- if (!hasError) {
+
+ if (jj_2_107(3)) {if (!hasError) {
+
ttend();
}
+
} else {
;
}
}
if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
jj_consume_token(GENERATE_T);
}
if (!hasError) {
+
generate_statement_body();
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::when_stats() {
- if (!hasError) {
- while (!hasError) {
- if (!hasError) {
+void VhdlParser::when_stats() {if (!hasError) {
+
+ while (!hasError) {if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
- if (jj_2_107(2)) {
- if (!hasError) {
+
+ if (jj_2_108(2)) {if (!hasError) {
+
label();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
+
} else {
;
}
}
if (!hasError) {
+
choices();
}
if (!hasError) {
+
jj_consume_token(ARROW_T);
}
if (!hasError) {
+
generate_statement_body();
}
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case WHEN_T:{
;
@@ -9841,20 +11502,23 @@ void VhdlParser::when_stats() {
}
end_label_50: ;
}
-}
+
+ }
-void VhdlParser::ttend() {
- if (!hasError) {
+void VhdlParser::ttend() {if (!hasError) {
+
jj_consume_token(END_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
identifier();
}
+
break;
}
default:
@@ -9863,23 +11527,27 @@ void VhdlParser::ttend() {
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::generate_statement_body() {
- if (!hasError) {
+void VhdlParser::generate_statement_body() {if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
if (!hasError) {
+
generate_statement_body();
}
-}
+
+ }
-void VhdlParser::generate_statement_body1() {
- if (!hasError) {
+void VhdlParser::generate_statement_body1() {if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ALIAS_T:
case ATTRIBUTE_T:
@@ -9899,23 +11567,26 @@ void VhdlParser::generate_statement_body1() {
case SUBTYPE_T:
case TYPE_T:
case USE_T:
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
while (!hasError) {
- if (jj_2_108(2147483647)) {
+ if (jj_2_109(2147483647)) {
;
} else {
goto end_label_51;
- }
- if (!hasError) {
+ }if (!hasError) {
+
block_declarative_item();
}
+
}
end_label_51: ;
}
if (!hasError) {
+
jj_consume_token(BEGIN_T);
}
+
break;
}
default:
@@ -9924,6 +11595,7 @@ void VhdlParser::generate_statement_body1() {
}
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ASSERT_T:
@@ -9943,69 +11615,83 @@ void VhdlParser::generate_statement_body1() {
default:
jj_la1[260] = jj_gen;
goto end_label_52;
- }
- if (!hasError) {
+ }if (!hasError) {
+
concurrent_statement();
}
+
}
end_label_52: ;
}
-}
+
+ }
-QCString VhdlParser::external_name() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::external_name() {QCString s,s1,s2;if (!hasError) {
+
jj_consume_token(SLSL_T);
}
if (!hasError) {
+
s = sig_stat();
}
if (!hasError) {
+
s1 = external_pathname();
}
if (!hasError) {
+
jj_consume_token(COLON_T);
}
if (!hasError) {
+
s2 = subtype_indication();
}
if (!hasError) {
+
jj_consume_token(RSRS_T);
}
+
QCString t="<<"+s;
QCString t1=s1+":"+s2+">>";
return s+s1;
assert(false);
-}
+ }
QCString VhdlParser::sig_stat() {Token *t;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case CONSTANT_T:{
- if (!hasError) {
+ case CONSTANT_T:{if (!hasError) {
+
t = jj_consume_token(CONSTANT_T);
}
if (!hasError) {
+
return t->image.data();
}
+
break;
}
- case SIGNAL_T:{
- if (!hasError) {
+ case SIGNAL_T:{if (!hasError) {
+
t = jj_consume_token(SIGNAL_T);
}
if (!hasError) {
+
return t->image.data();
}
+
break;
}
- case VARIABLE_T:{
- if (!hasError) {
+ case VARIABLE_T:{if (!hasError) {
+
t = jj_consume_token(VARIABLE_T);
}
if (!hasError) {
+
return t->image.data();
}
+
break;
}
default:
@@ -10014,36 +11700,42 @@ return t->image.data();
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::external_pathname() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOT_T:{
- if (!hasError) {
+ case DOT_T:{if (!hasError) {
+
s = absolute_pathname();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case NEG_T:{
- if (!hasError) {
+ case NEG_T:{if (!hasError) {
+
s = relative_pathname();
}
if (!hasError) {
+
return s;
}
+
break;
}
- case AT_T:{
- if (!hasError) {
+ case AT_T:{if (!hasError) {
+
s = package_path_name();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -10052,35 +11744,42 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
QCString VhdlParser::absolute_pathname() {QCString s,s1;
- if (jj_2_109(2147483647)) {
- if (!hasError) {
+ if (jj_2_110(2147483647)) {if (!hasError) {
+
jj_consume_token(DOT_T);
}
if (!hasError) {
+
s = pathname_element_list();
}
if (!hasError) {
+
s1 = identifier();
}
if (!hasError) {
+
return "."+s+s1;
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case DOT_T:{
- if (!hasError) {
+ case DOT_T:{if (!hasError) {
+
jj_consume_token(DOT_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
return "."+s;
}
+
break;
}
default:
@@ -10090,42 +11789,49 @@ return "."+s;
}
}
assert(false);
-}
+ }
-QCString VhdlParser::relative_pathname() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::relative_pathname() {QCString s,s1,s2;if (!hasError) {
+
s = neg_list();
}
if (!hasError) {
- if (jj_2_110(2147483647)) {
- if (!hasError) {
+
+ if (jj_2_111(2147483647)) {if (!hasError) {
+
s1 = pathname_element_list();
}
+
} else {
;
}
}
if (!hasError) {
+
s2 = identifier();
}
+
return s+s1+s2;
assert(false);
-}
+ }
-QCString VhdlParser::neg_list() {QCString s;
- if (!hasError) {
- while (!hasError) {
- if (!hasError) {
+QCString VhdlParser::neg_list() {QCString s;if (!hasError) {
+
+ while (!hasError) {if (!hasError) {
+
jj_consume_token(NEG_T);
}
if (!hasError) {
+
jj_consume_token(DOT_T);
}
if (!hasError) {
+
s+="^.";
}
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case NEG_T:{
;
@@ -10138,27 +11844,32 @@ s+="^.";
}
end_label_53: ;
}
+
return s;
assert(false);
-}
+ }
-QCString VhdlParser::pathname_element() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::pathname_element() {QCString s,s1;if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = expression();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
break;
}
default:
@@ -10166,77 +11877,90 @@ QCString VhdlParser::pathname_element() {QCString s,s1;
;
}
}
+
if(!s1.isEmpty())
return s+"("+s1+")";
return s;
assert(false);
-}
+ }
-QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;
- if (!hasError) {
+QCString VhdlParser::pathname_element_list() {QCString s,s1,s2;if (!hasError) {
if (!hasError) {
+
s = pathname_element();
}
if (!hasError) {
+
jj_consume_token(DOT_T);
}
+
}
if (!hasError) {
+
s+=".";
}
if (!hasError) {
+
while (!hasError) {
- if (jj_2_111(2147483647)) {
+ if (jj_2_112(2147483647)) {
;
} else {
goto end_label_54;
- }
- if (!hasError) {
+ }if (!hasError) {
+
s1 = pathname_element();
}
if (!hasError) {
+
jj_consume_token(DOT_T);
}
if (!hasError) {
+
s2+=s1;s2+=".";
}
+
}
end_label_54: ;
}
+
return s+s2;
assert(false);
-}
+ }
-QCString VhdlParser::package_path_name() {QCString s;
- if (!hasError) {
+QCString VhdlParser::package_path_name() {QCString s;if (!hasError) {
+
jj_consume_token(AT_T);
}
if (!hasError) {
+
s = name();
}
+
return "@"+s;
assert(false);
-}
+ }
void VhdlParser::conditional_signal_assignment_wave() {
- if (jj_2_112(2147483647)) {
- if (!hasError) {
+ if (jj_2_113(2147483647)) {if (!hasError) {
+
conditional_force_assignment();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case LPAREN_T:
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
conditional_waveform_assignment();
}
+
break;
}
default:
@@ -10245,24 +11969,27 @@ void VhdlParser::conditional_signal_assignment_wave() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
-void VhdlParser::conditional_waveform_assignment() {
- if (!hasError) {
+void VhdlParser::conditional_waveform_assignment() {if (!hasError) {
+
target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{
- if (!hasError) {
+ case TRANSPORT_T:{if (!hasError) {
+
delay_mechanism();
}
+
break;
}
default:
@@ -10271,20 +11998,25 @@ void VhdlParser::conditional_waveform_assignment() {
}
}
if (!hasError) {
+
waveform_element();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case ELSE_T:{
- if (!hasError) {
+ case ELSE_T:{if (!hasError) {
+
else_wave_list();
}
+
break;
}
default:
@@ -10293,27 +12025,33 @@ void VhdlParser::conditional_waveform_assignment() {
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
-void VhdlParser::else_wave_list() {
- if (!hasError) {
+void VhdlParser::else_wave_list() {if (!hasError) {
+
jj_consume_token(ELSE_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{
- if (!hasError) {
+ case WHEN_T:{if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
expression();
}
+
break;
}
default:
@@ -10321,26 +12059,31 @@ void VhdlParser::else_wave_list() {
;
}
}
-}
+
+ }
-void VhdlParser::conditional_force_assignment() {
- if (!hasError) {
+void VhdlParser::conditional_force_assignment() {if (!hasError) {
+
target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
jj_consume_token(FORCE_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IN_T:
- case OUT_T:{
- if (!hasError) {
+ case OUT_T:{if (!hasError) {
+
inout_stat();
}
+
break;
}
default:
@@ -10349,12 +12092,15 @@ void VhdlParser::conditional_force_assignment() {
}
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ABS_T:
case NEW_T:
@@ -10371,13 +12117,15 @@ void VhdlParser::conditional_force_assignment() {
case CHARACTER_LITERAL:
case DECIMAL_LITERAL:
case BASED_LITERAL:
- case BIT_STRING_LITERAL:{
- if (!hasError) {
+ case BIT_STRING_LITERAL:{if (!hasError) {
+
expression();
}
if (!hasError) {
+
else_stat();
}
+
break;
}
default:
@@ -10386,22 +12134,26 @@ void VhdlParser::conditional_force_assignment() {
}
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
void VhdlParser::selected_signal_assignment_wave() {
- if (jj_2_113(2147483647)) {
- if (!hasError) {
+ if (jj_2_114(2147483647)) {if (!hasError) {
+
selected_force_assignment();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WITH_T:{
- if (!hasError) {
+ case WITH_T:{if (!hasError) {
+
selected_waveform_assignment();
}
+
break;
}
default:
@@ -10410,25 +12162,29 @@ void VhdlParser::selected_signal_assignment_wave() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
-void VhdlParser::selected_variable_assignment() {
- if (!hasError) {
+void VhdlParser::selected_variable_assignment() {if (!hasError) {
+
jj_consume_token(WITH_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(SELECT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{
- if (!hasError) {
+ case Q_T:{if (!hasError) {
+
jj_consume_token(Q_T);
}
+
break;
}
default:
@@ -10437,31 +12193,37 @@ void VhdlParser::selected_variable_assignment() {
}
}
if (!hasError) {
+
select_name();
}
if (!hasError) {
+
jj_consume_token(VARASSIGN_T);
}
if (!hasError) {
+
sel_var_list();
}
-}
+
+ }
void VhdlParser::select_name() {
- if (jj_2_114(2147483647)) {
- if (!hasError) {
+ if (jj_2_115(2147483647)) {if (!hasError) {
+
aggregate();
}
+
} else {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case SLSL_T:
case STRINGLITERAL:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
name();
}
+
break;
}
default:
@@ -10470,25 +12232,29 @@ void VhdlParser::select_name() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
-}
+ }
-void VhdlParser::selected_waveform_assignment() {
- if (!hasError) {
+void VhdlParser::selected_waveform_assignment() {if (!hasError) {
+
jj_consume_token(WITH_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(SELECT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{
- if (!hasError) {
+ case Q_T:{if (!hasError) {
+
jj_consume_token(Q_T);
}
+
break;
}
default:
@@ -10497,19 +12263,23 @@ void VhdlParser::selected_waveform_assignment() {
}
}
if (!hasError) {
+
target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case INERTIAL_T:
case REJECT_T:
- case TRANSPORT_T:{
- if (!hasError) {
+ case TRANSPORT_T:{if (!hasError) {
+
delay_mechanism();
}
+
break;
}
default:
@@ -10518,27 +12288,33 @@ void VhdlParser::selected_waveform_assignment() {
}
}
if (!hasError) {
+
sel_wave_list();
}
-}
+
+ }
-void VhdlParser::selected_force_assignment() {
- if (!hasError) {
+void VhdlParser::selected_force_assignment() {if (!hasError) {
+
jj_consume_token(WITH_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(SELECT_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case Q_T:{
- if (!hasError) {
+ case Q_T:{if (!hasError) {
+
jj_consume_token(Q_T);
}
+
break;
}
default:
@@ -10547,21 +12323,26 @@ void VhdlParser::selected_force_assignment() {
}
}
if (!hasError) {
+
target();
}
if (!hasError) {
+
jj_consume_token(LESSTHAN_T);
}
if (!hasError) {
+
jj_consume_token(FORCE_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IN_T:
- case OUT_T:{
- if (!hasError) {
+ case OUT_T:{if (!hasError) {
+
inout_stat();
}
+
break;
}
default:
@@ -10570,34 +12351,41 @@ void VhdlParser::selected_force_assignment() {
}
}
if (!hasError) {
+
sel_var_list();
}
-}
+
+ }
-void VhdlParser::sel_var_list() {
- if (!hasError) {
+void VhdlParser::sel_var_list() {if (!hasError) {
if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
choices();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMMA_T:{
- if (!hasError) {
+ case COMMA_T:{if (!hasError) {
+
jj_consume_token(COMMA_T);
}
+
break;
}
- case SEMI_T:{
- if (!hasError) {
+ case SEMI_T:{if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -10606,35 +12394,42 @@ void VhdlParser::sel_var_list() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
+
}
if (!hasError) {
+
while (!hasError) {
- if (jj_2_115(2147483647)) {
+ if (jj_2_116(2147483647)) {
;
} else {
goto end_label_55;
- }
- if (!hasError) {
+ }if (!hasError) {
+
expression();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
choices();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case COMMA_T:{
- if (!hasError) {
+ case COMMA_T:{if (!hasError) {
+
jj_consume_token(COMMA_T);
}
+
break;
}
- case SEMI_T:{
- if (!hasError) {
+ case SEMI_T:{if (!hasError) {
+
jj_consume_token(SEMI_T);
}
+
break;
}
default:
@@ -10643,23 +12438,28 @@ void VhdlParser::sel_var_list() {
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
+
}
end_label_55: ;
}
-}
+
+ }
-void VhdlParser::sel_wave_list() {
- if (!hasError) {
+void VhdlParser::sel_wave_list() {if (!hasError) {
+
waveform_element();
}
if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
choices();
}
if (!hasError) {
+
while (!hasError) {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case COMMA_T:{
@@ -10669,34 +12469,40 @@ void VhdlParser::sel_wave_list() {
default:
jj_la1[281] = jj_gen;
goto end_label_56;
- }
- if (!hasError) {
+ }if (!hasError) {
+
jj_consume_token(COMMA_T);
}
if (!hasError) {
+
sel_wave_list();
}
+
}
end_label_56: ;
}
if (!hasError) {
+
jj_consume_token(SEMI_T);
}
-}
+
+ }
void VhdlParser::inout_stat() {
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IN_T:{
- if (!hasError) {
+ case IN_T:{if (!hasError) {
+
jj_consume_token(IN_T);
}
+
break;
}
- case OUT_T:{
- if (!hasError) {
+ case OUT_T:{if (!hasError) {
+
jj_consume_token(OUT_T);
}
+
break;
}
default:
@@ -10704,27 +12510,31 @@ void VhdlParser::inout_stat() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
-void VhdlParser::else_stat() {
- if (!hasError) {
- while (!hasError) {
- if (!hasError) {
+void VhdlParser::else_stat() {if (!hasError) {
+
+ while (!hasError) {if (!hasError) {
+
jj_consume_token(ELSE_T);
}
if (!hasError) {
+
expression();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case WHEN_T:{
- if (!hasError) {
+ case WHEN_T:{if (!hasError) {
+
jj_consume_token(WHEN_T);
}
if (!hasError) {
+
expression();
}
+
break;
}
default:
@@ -10732,6 +12542,7 @@ void VhdlParser::else_stat() {
;
}
}
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case ELSE_T:{
;
@@ -10744,29 +12555,34 @@ void VhdlParser::else_stat() {
}
end_label_57: ;
}
-}
+
+ }
QCString VhdlParser::interface_subprogram_declaration() {QCString s;
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PROCEDURE_T:{
- if (!hasError) {
+ case PROCEDURE_T:{if (!hasError) {
+
s = iproc();
}
if (!hasError) {
+
return s;
}
+
break;
}
case FUNCTION_T:
case IMPURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
s = ifunc();
}
if (!hasError) {
+
return s;
}
+
break;
}
default:
@@ -10775,41 +12591,46 @@ return s;
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
assert(false);
-}
+ }
-QCString VhdlParser::iproc() {QCString s,s1;
- if (!hasError) {
+QCString VhdlParser::iproc() {QCString s,s1;if (!hasError) {
+
jj_consume_token(PROCEDURE_T);
}
if (!hasError) {
+
s = identifier();
}
if (!hasError) {
+
s1 = param();
}
+
current->name=s;
return "procedure "+s+s1;
assert(false);
-}
+ }
-QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;
- if (!hasError) {
+QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *t2=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case IMPURE_T:
case PURE_T:{
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
t = jj_consume_token(PURE_T);
}
+
break;
}
- case IMPURE_T:{
- if (!hasError) {
+ case IMPURE_T:{if (!hasError) {
+
t = jj_consume_token(IMPURE_T);
}
+
break;
}
default:
@@ -10825,39 +12646,48 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *
}
}
if (!hasError) {
+
jj_consume_token(FUNCTION_T);
}
if (!hasError) {
+
s = name();
}
if (!hasError) {
+
s1 = param();
}
if (!hasError) {
+
jj_consume_token(RETURN_T);
}
if (!hasError) {
+
s2 = name();
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case IS_T:{
- if (!hasError) {
+ case IS_T:{if (!hasError) {
+
t1 = jj_consume_token(IS_T);
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
s3 = identifier();
}
+
break;
}
- case BOX_T:{
- if (!hasError) {
+ case BOX_T:{if (!hasError) {
+
t2 = jj_consume_token(BOX_T);
}
+
break;
}
default:
@@ -10866,6 +12696,7 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
}
+
break;
}
default:
@@ -10873,6 +12704,7 @@ QCString VhdlParser::ifunc() {QCString s,s1,s2,s3;Token *t=0;Token *t1=0;Token *
;
}
}
+
QCString q;
if(t) q=t->image.data();
if(t2) s3="<>";
@@ -10892,16 +12724,17 @@ QCString q;
}
currP=0;return "";
assert(false);
-}
+ }
-QCString VhdlParser::param() {QCString s,s1;Token *tok=0;
- if (!hasError) {
+QCString VhdlParser::param() {QCString s,s1;Token *tok=0;if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case PARAMETER_T:{
- if (!hasError) {
+ case PARAMETER_T:{if (!hasError) {
+
tok = jj_consume_token(PARAMETER_T);
}
+
break;
}
default:
@@ -10910,20 +12743,25 @@ QCString VhdlParser::param() {QCString s,s1;Token *tok=0;
}
}
if (!hasError) {
+
param_sec=PARAM_SEC;
}
if (!hasError) {
+
switch ((jj_ntk==-1)?jj_ntk_f():jj_ntk) {
- case LPAREN_T:{
- if (!hasError) {
+ case LPAREN_T:{if (!hasError) {
+
jj_consume_token(LPAREN_T);
}
if (!hasError) {
+
s1 = interface_list();
}
if (!hasError) {
+
jj_consume_token(RPAREN_T);
}
+
break;
}
default:
@@ -10931,6 +12769,7 @@ param_sec=PARAM_SEC;
;
}
}
+
if(tok)
{
s = tok->image.data();
@@ -10939,7 +12778,7 @@ if(tok)
param_sec=0;
return s+"("+s1+")";
assert(false);
-}
+ }
void VhdlParser::parseInline() {
@@ -10947,19 +12786,21 @@ void VhdlParser::parseInline() {
case POSTPONED_T:
case PROCESS_T:
case BASIC_IDENTIFIER:
- case EXTENDED_CHARACTER:{
- if (!hasError) {
+ case EXTENDED_CHARACTER:{if (!hasError) {
+
process_statement();
}
+
break;
}
case FUNCTION_T:
case IMPURE_T:
case PROCEDURE_T:
- case PURE_T:{
- if (!hasError) {
+ case PURE_T:{if (!hasError) {
+
subprogram_declaration();
}
+
break;
}
default:
@@ -10967,71 +12808,65 @@ void VhdlParser::parseInline() {
jj_consume_token(-1);
errorHandler->handleParseError(token, getToken(1), __FUNCTION__, this), hasError = true;
}
-}
+ }
- VhdlParser::VhdlParser(TokenManager *tokenManager){
- head = nullptr;
- ReInit(tokenManager);
+ VhdlParser::VhdlParser(TokenManager *tm){
+ head = NULL;
+ ReInit(tm);
}
-VhdlParser::~VhdlParser()
+ VhdlParser::~VhdlParser()
{
- clear();
+ if (token_source) delete token_source;
+ if (head) {
+ Token *next, *t = head;
+ while (t) {
+ next = t->next;
+ delete t;
+ t = next;
+ }
+ }
+ if (errorHandlerCreated) {
+ delete errorHandler;
+ }
}
-void VhdlParser::ReInit(TokenManager* tokenManager){
- clear();
+void VhdlParser::ReInit(TokenManager *tm){
+ if (head) delete head;
errorHandler = new ErrorHandler();
+ errorHandlerCreated = true;
hasError = false;
- token_source = tokenManager;
+ token_source = tm;
head = token = new Token();
token->kind = 0;
- token->next = nullptr;
+ token->next = NULL;
jj_lookingAhead = false;
jj_rescan = false;
jj_done = false;
- jj_scanpos = jj_lastpos = nullptr;
+ jj_scanpos = jj_lastpos = NULL;
jj_gc = 0;
jj_kind = -1;
- indent = 0;
- trace = false;
+ trace_indent = 0;
+ trace_enabled = false;
jj_ntk = -1;
jj_gen = 0;
for (int i = 0; i < 293; i++) jj_la1[i] = -1;
}
-void VhdlParser::clear(){
- //Since token manager was generate from outside,
- //parser should not take care of deleting
- //if (token_source) delete token_source;
- if (head) {
- Token *next, *t = head;
- while (t) {
- next = t->next;
- delete t;
- t = next;
- }
- }
- if (errorHandler) {
- delete errorHandler, errorHandler = nullptr;
- }
-}
-
-
Token * VhdlParser::jj_consume_token(int kind) {
Token *oldToken;
- if ((oldToken = token)->next != nullptr) token = token->next;
+ if ((oldToken = token)->next != NULL) token = token->next;
else token = token->next = token_source->getNextToken();
jj_ntk = -1;
if (token->kind == kind) {
jj_gen++;
if (++jj_gc > 100) {
jj_gc = 0;
- for (int i = 0; i < 115; i++) {
+ for (int i = 0; i < 116; i++) {
JJCalls *c = &jj_2_rtns[i];
- while (c != nullptr) {
- if (c->gen < jj_gen) c->first = nullptr;
+ while (c != NULL) {
+ if (c->gen < jj_gen) c->first = NULL;
c = c->next;
}
}
@@ -11040,9 +12875,8 @@ Token * VhdlParser::jj_consume_token(int kind) {
}
token = oldToken;
jj_kind = kind;
- JJString image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
- errorHandler->handleUnexpectedToken(kind, image.substr(1, image.size() - 2), getToken(1), this);
- hasError = true;
+ JAVACC_STRING_TYPE image = kind >= 0 ? tokenImage[kind] : tokenImage[0];
+ errorHandler->handleUnexpectedToken(kind, image.substr(1, image.size() - 2), getToken(1), this), hasError = true;
return token;
}
@@ -11050,7 +12884,7 @@ Token * VhdlParser::jj_consume_token(int kind) {
bool VhdlParser::jj_scan_token(int kind){
if (jj_scanpos == jj_lastpos) {
jj_la--;
- if (jj_scanpos->next == nullptr) {
+ if (jj_scanpos->next == NULL) {
jj_lastpos = jj_scanpos = jj_scanpos->next = token_source->getNextToken();
} else {
jj_lastpos = jj_scanpos = jj_scanpos->next;
@@ -11060,8 +12894,8 @@ bool VhdlParser::jj_scan_token(int kind){
}
if (jj_rescan) {
int i = 0; Token *tok = token;
- while (tok != nullptr && tok != jj_scanpos) { i++; tok = tok->next; }
- if (tok != nullptr) jj_add_error_token(kind, i);
+ while (tok != NULL && tok != jj_scanpos) { i++; tok = tok->next; }
+ if (tok != NULL) jj_add_error_token(kind, i);
}
if (jj_scanpos->kind != kind) return true;
if (jj_la == 0 && jj_scanpos == jj_lastpos) { return jj_done = true; }
@@ -11072,7 +12906,7 @@ bool VhdlParser::jj_scan_token(int kind){
/** Get the next Token. */
Token * VhdlParser::getNextToken(){
- if (token->next != nullptr) token = token->next;
+ if (token->next != NULL) token = token->next;
else token = token->next = token_source->getNextToken();
jj_ntk = -1;
jj_gen++;
@@ -11084,7 +12918,7 @@ Token * VhdlParser::getNextToken(){
Token * VhdlParser::getToken(int index){
Token *t = token;
for (int i = 0; i < index; i++) {
- if (t->next != nullptr) t = t->next;
+ if (t->next != NULL) t = t->next;
else t = t->next = token_source->getNextToken();
}
return t;
@@ -11092,37 +12926,35 @@ Token * VhdlParser::getToken(int index){
int VhdlParser::jj_ntk_f(){
- if ((jj_nt=token->next) == nullptr)
+ if ((jj_nt=token->next) == NULL)
return (jj_ntk = (token->next=token_source->getNextToken())->kind);
else
return (jj_ntk = jj_nt->kind);
}
- void VhdlParser::jj_add_error_token(int kind, int pos) {
+void VhdlParser::jj_add_error_token(int kind, int pos) {
}
+ /** Generate ParseException. */
void VhdlParser::parseError() {
fprintf(stderr, "Parse error at: %d:%d, after token: %s encountered: %s\n", token->beginLine, token->beginColumn, addUnicodeEscapes(token->image).c_str(), addUnicodeEscapes(getToken(1)->image).c_str());
}
- bool VhdlParser::trace_enabled() {
- return trace;
+ void VhdlParser::enable_tracing() {
}
+ /** Disable tracing. */
- void VhdlParser::enable_tracing() {
- }
-
- void VhdlParser::disable_tracing() {
+ void VhdlParser::disable_tracing() {
}
- void VhdlParser::jj_rescan_token(){
+void VhdlParser::jj_rescan_token(){
jj_rescan = true;
- for (int i = 0; i < 115; i++) {
+ for (int i = 0; i < 116; i++) {
JJCalls *p = &jj_2_rtns[i];
do {
if (p->gen > jj_gen) {
@@ -11243,19 +13075,20 @@ int VhdlParser::jj_ntk_f(){
case 112: jj_3_113(); break;
case 113: jj_3_114(); break;
case 114: jj_3_115(); break;
+ case 115: jj_3_116(); break;
}
}
p = p->next;
- } while (p != nullptr);
+ } while (p != NULL);
}
jj_rescan = false;
}
- void VhdlParser::jj_save(int index, int xla){
+void VhdlParser::jj_save(int index, int xla){
JJCalls *p = &jj_2_rtns[index];
while (p->gen > jj_gen) {
- if (p->next == nullptr) { p = p->next = new JJCalls(); break; }
+ if (p->next == NULL) { p = p->next = new JJCalls(); break; }
p = p->next;
}
p->gen = jj_gen + xla - jj_la; p->first = token; p->arg = xla;
diff --git a/vhdlparser/VhdlParser.h b/vhdlparser/VhdlParser.h
index b88f156..fffac50 100644
--- a/vhdlparser/VhdlParser.h
+++ b/vhdlparser/VhdlParser.h
@@ -4,305 +4,585 @@
#include "CharStream.h"
#include "Token.h"
#include "TokenManager.h"
+#include "VhdlParserTokenManager.h"
#include "vhdljjparser.h"
+
#include "VhdlParserConstants.h"
#include "ErrorHandler.h"
namespace vhdl {
namespace parser {
struct JJCalls {
- int gen;
- int arg;
- JJCalls* next;
- Token* first;
+ int gen;
+ Token *first;
+ int arg;
+ JJCalls *next;
~JJCalls() { if (next) delete next; }
- JJCalls() { next = nullptr; arg = 0; gen = -1; first = nullptr; }
+ JJCalls() { next = NULL; arg = 0; gen = -1; first = NULL; }
};
class VhdlParser {
-public:
+ public:
+
QCString abstract_literal();
+
QCString access_type_definition();
+
QCString actual_designator();
+
QCString actual_parameter_part();
+
QCString actual_part();
+
QCString adding_operator();
+
QCString aggregate();
+
QCString alias_declaration();
+
QCString alias_designator();
+
void allocator();
+
void architecture_body();
+
void architecture_declarative_part();
+
void architecture_statement_part();
+
QCString array_type_definition();
+
QCString assertion();
+
QCString assertion_statement();
+
QCString association_element();
+
QCString association_list();
+
QCString attribute_declaration();
+
QCString attribute_designator();
+
QCString attribute_name();
+
QCString attribute_specification();
+
QCString base();
+
QCString base_specifier();
+
QCString base_unit_declaration();
+
QCString based_integer();
+
QCString based_literal();
+
QCString basic_identifier();
+
void binding_indication();
+
QCString bit_string_literal();
+
QCString bit_value();
+
void block_configuration();
+
void block_declarative_item();
+
void block_declarative_part();
+
void block_header();
+
void block_specification();
+
void block_statement();
+
void block_statement_part();
+
void case_statement();
+
void case_statement_alternative();
+
QCString character_literal();
+
QCString choice();
+
QCString choices();
+
void component_configuration();
+
void component_declaration();
+
void component_instantiation_statement();
+
void component_specification();
+
QCString composite_type_definition();
+
void concurrent_assertion_statement();
+
void concurrent_procedure_call_statement();
+
void concurrent_signal_assignment_statement();
+
void concurrent_statement();
+
QCString condition();
+
QCString condition_clause();
+
void conditional_signal_assignment();
+
void conditional_waveforms();
+
void configuration_declaration();
+
void configuration_declarative_item();
+
void configuration_declarative_part();
+
void configuration_item();
+
void configuration_specification();
+
QCString constant_declaration();
+
QCString constraint_array_definition();
+
void context_clause();
+
QCString constraint();
+
void context_item();
+
QCString decimal_literal();
+
QCString delay_mechanism();
+
void design_file();
+
void design_unit();
+
QCString designator();
+
QCString direction();
+
void disconnection_specification();
+
void guarded_signal_specificatio();
+
QCString discrete_range();
+
QCString element_association();
+
QCString element_declaration();
+
QCString entity_aspect();
+
QCString entity_class();
+
QCString entity_class_entry();
+
QCString entity_class_entry_list();
+
void entity_declaration();
+
void entity_declarative_item();
+
void entity_declarative_part();
+
QCString entity_designator();
+
void entity_header();
+
QCString entity_name_list();
+
QCString entity_specification();
+
void entity_statement();
+
void entity_statement_part();
+
QCString entity_tag();
+
QCString enumeration_literal();
+
QCString enumeration_type_definition();
+
QCString exit_statement();
+
QCString expression();
+
QCString logop();
+
QCString extended_identifier();
+
QCString factor();
+
QCString file_declaration();
+
QCString file_logical_name();
+
QCString file_open_information();
+
QCString file_type_definition();
+
QCString floating_type_definition();
+
QCString formal_designator();
+
QCString formal_parameter_list();
+
QCString formal_part();
+
QCString full_type_declaration();
+
QCString function_call();
+
void generate_statement();
+
void generate_scheme();
+
void generic_clause();
+
QCString generic_list();
+
void generic_map_aspect();
+
QCString group_constituent();
+
QCString group_constituent_list();
+
QCString group_declaration();
+
QCString group_template_declaration();
+
void guarded_signal_specification();
+
QCString identifier();
+
QCString identifier_list();
+
void if_statement();
+
QCString incomplete_type_declaration();
+
QCString index_constraint();
+
QCString index_specification();
+
QCString index_subtype_definition();
+
QCString instantiation_unit();
+
QCString instantiation_list();
+
QCString integer();
+
QCString integer_type_definition();
+
QCString interface_declaration();
+
QCString interface_element();
+
QCString interface_file_declaration();
+
QCString interface_list();
+
QCString interface_variable_declaration();
+
QCString iteration_scheme();
+
QCString label();
+
QCString library_clause();
+
QCString library_unit();
+
QCString literal();
+
QCString logical_operator();
+
QCString loop_statement();
+
QCString miscellaneous_operator();
+
QCString mode();
+
QCString multiplying_operation();
+
QCString name();
+
QCString name_ext1();
+
QCString name_ext();
+
QCString test_att_name();
+
QCString indexed_name();
+
QCString next_statement();
+
QCString null_statement();
+
QCString numeric_literal();
+
QCString object_class();
+
QCString operator_symbol();
+
void options();
+
void package_body();
+
void package_body_declarative_item();
+
void package_body_declarative_part();
+
void package_declaration();
+
void geninter();
+
void package_declarative_item();
+
void package_declarative_part();
+
QCString parameter_specification();
+
QCString physical_literal();
+
QCString physical_type_definition();
+
void port_clause();
+
QCString port_list();
+
void port_map_aspect();
+
QCString primary();
+
void primary_unit();
+
QCString procedure_call();
+
QCString procedure_call_statement();
+
QCString process_declarative_item();
+
QCString process_declarative_part();
+
void process_statement();
+
void process_statement_part();
+
QCString qualified_expression();
+
QCString range();
+
QCString range_constraint();
+
void record_type_definition();
+
QCString relation();
+
QCString relation_operator();
+
QCString report_statement();
+
QCString return_statement();
+
QCString scalar_type_definition();
+
void secondary_unit();
+
QCString secondary_unit_declaration();
+
QCString selected_name();
+
void selected_signal_assignment();
+
void selected_waveforms();
+
QCString sensitivity_clause();
+
QCString sensitivity_list();
+
QCString sequence_of_statement();
+
QCString sequential_statement();
+
QCString shift_expression();
+
QCString shift_operator();
+
QCString sign();
+
QCString signal_assignment_statement();
+
void semi();
+
void signal_declaration();
+
QCString signal_kind();
+
QCString signal_list();
+
QCString signature();
+
QCString simple_expression();
+
void simple_name();
+
QCString slice_name();
+
QCString string_literal();
+
void subprogram_body();
+
void subprogram_declaration();
+
void subprogram_1();
+
QCString subprogram_declarative_item();
+
QCString subprogram_declarative_part();
+
void subprogram_kind();
+
void subprogram_specification();
+
void subprogram_statement_part();
+
QCString subtype_declaration();
+
QCString subtype_indication();
+
QCString suffix();
+
QCString target();
+
QCString term();
+
QCString timeout_clause();
+
QCString type_conversion();
+
QCString type_declaration();
+
QCString type_definition();
+
QCString type_mark();
+
QCString unconstraint_array_definition();
+
QCString use_clause();
+
QCString variable_assignment_statement();
+
QCString variable_declaration();
+
QCString wait_statement();
+
QCString waveform();
+
QCString waveform_element();
+
QCString protected_type_body();
+
void protected_type_body_declarative_item();
+
void protected_type_body_declarative_part();
+
QCString protected_type_declaration();
+
void protected_type_declarative_item();
+
void protected_type_declarative_part();
+
QCString context_ref();
+
void context_declaration();
+
QCString libustcont_stats();
+
void package_instantiation_declaration();
+
QCString interface_package_declaration();
+
QCString subprogram_instantiation_declaration();
+
void gen_assoc_list();
+
void gen_interface_list();
+
void case_scheme();
+
void when_stats();
+
void ttend();
+
void generate_statement_body();
+
void generate_statement_body1();
+
QCString external_name();
+
QCString sig_stat();
+
QCString external_pathname();
+
QCString absolute_pathname();
+
QCString relative_pathname();
+
QCString neg_list();
+
QCString pathname_element();
+
QCString pathname_element_list();
+
QCString package_path_name();
+
void conditional_signal_assignment_wave();
+
void conditional_waveform_assignment();
+
void else_wave_list();
+
void conditional_force_assignment();
+
void selected_signal_assignment_wave();
+
void selected_variable_assignment();
+
void select_name();
+
void selected_waveform_assignment();
+
void selected_force_assignment();
+
void sel_var_list();
+
void sel_wave_list();
+
void inout_stat();
+
void else_stat();
+
QCString interface_subprogram_declaration();
+
QCString iproc();
+
QCString ifunc();
+
QCString param();
+
void parseInline();
inline bool jj_2_1(int xla)
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_1() || jj_done);
+ return !jj_3_1() || jj_done;
{ jj_save(0, xla); }
}
@@ -310,7 +590,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_2() || jj_done);
+ return !jj_3_2() || jj_done;
{ jj_save(1, xla); }
}
@@ -318,7 +598,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_3() || jj_done);
+ return !jj_3_3() || jj_done;
{ jj_save(2, xla); }
}
@@ -326,7 +606,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_4() || jj_done);
+ return !jj_3_4() || jj_done;
{ jj_save(3, xla); }
}
@@ -334,7 +614,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_5() || jj_done);
+ return !jj_3_5() || jj_done;
{ jj_save(4, xla); }
}
@@ -342,7 +622,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_6() || jj_done);
+ return !jj_3_6() || jj_done;
{ jj_save(5, xla); }
}
@@ -350,7 +630,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_7() || jj_done);
+ return !jj_3_7() || jj_done;
{ jj_save(6, xla); }
}
@@ -358,7 +638,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_8() || jj_done);
+ return !jj_3_8() || jj_done;
{ jj_save(7, xla); }
}
@@ -366,7 +646,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_9() || jj_done);
+ return !jj_3_9() || jj_done;
{ jj_save(8, xla); }
}
@@ -374,7 +654,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_10() || jj_done);
+ return !jj_3_10() || jj_done;
{ jj_save(9, xla); }
}
@@ -382,7 +662,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_11() || jj_done);
+ return !jj_3_11() || jj_done;
{ jj_save(10, xla); }
}
@@ -390,7 +670,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_12() || jj_done);
+ return !jj_3_12() || jj_done;
{ jj_save(11, xla); }
}
@@ -398,7 +678,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_13() || jj_done);
+ return !jj_3_13() || jj_done;
{ jj_save(12, xla); }
}
@@ -406,7 +686,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_14() || jj_done);
+ return !jj_3_14() || jj_done;
{ jj_save(13, xla); }
}
@@ -414,7 +694,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_15() || jj_done);
+ return !jj_3_15() || jj_done;
{ jj_save(14, xla); }
}
@@ -422,7 +702,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_16() || jj_done);
+ return !jj_3_16() || jj_done;
{ jj_save(15, xla); }
}
@@ -430,7 +710,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_17() || jj_done);
+ return !jj_3_17() || jj_done;
{ jj_save(16, xla); }
}
@@ -438,7 +718,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_18() || jj_done);
+ return !jj_3_18() || jj_done;
{ jj_save(17, xla); }
}
@@ -446,7 +726,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_19() || jj_done);
+ return !jj_3_19() || jj_done;
{ jj_save(18, xla); }
}
@@ -454,7 +734,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_20() || jj_done);
+ return !jj_3_20() || jj_done;
{ jj_save(19, xla); }
}
@@ -462,7 +742,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_21() || jj_done);
+ return !jj_3_21() || jj_done;
{ jj_save(20, xla); }
}
@@ -470,7 +750,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_22() || jj_done);
+ return !jj_3_22() || jj_done;
{ jj_save(21, xla); }
}
@@ -478,7 +758,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_23() || jj_done);
+ return !jj_3_23() || jj_done;
{ jj_save(22, xla); }
}
@@ -486,7 +766,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_24() || jj_done);
+ return !jj_3_24() || jj_done;
{ jj_save(23, xla); }
}
@@ -494,7 +774,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_25() || jj_done);
+ return !jj_3_25() || jj_done;
{ jj_save(24, xla); }
}
@@ -502,7 +782,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_26() || jj_done);
+ return !jj_3_26() || jj_done;
{ jj_save(25, xla); }
}
@@ -510,7 +790,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_27() || jj_done);
+ return !jj_3_27() || jj_done;
{ jj_save(26, xla); }
}
@@ -518,7 +798,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_28() || jj_done);
+ return !jj_3_28() || jj_done;
{ jj_save(27, xla); }
}
@@ -526,7 +806,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_29() || jj_done);
+ return !jj_3_29() || jj_done;
{ jj_save(28, xla); }
}
@@ -534,7 +814,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_30() || jj_done);
+ return !jj_3_30() || jj_done;
{ jj_save(29, xla); }
}
@@ -542,7 +822,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_31() || jj_done);
+ return !jj_3_31() || jj_done;
{ jj_save(30, xla); }
}
@@ -550,7 +830,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_32() || jj_done);
+ return !jj_3_32() || jj_done;
{ jj_save(31, xla); }
}
@@ -558,7 +838,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_33() || jj_done);
+ return !jj_3_33() || jj_done;
{ jj_save(32, xla); }
}
@@ -566,7 +846,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_34() || jj_done);
+ return !jj_3_34() || jj_done;
{ jj_save(33, xla); }
}
@@ -574,7 +854,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_35() || jj_done);
+ return !jj_3_35() || jj_done;
{ jj_save(34, xla); }
}
@@ -582,7 +862,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_36() || jj_done);
+ return !jj_3_36() || jj_done;
{ jj_save(35, xla); }
}
@@ -590,7 +870,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_37() || jj_done);
+ return !jj_3_37() || jj_done;
{ jj_save(36, xla); }
}
@@ -598,7 +878,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_38() || jj_done);
+ return !jj_3_38() || jj_done;
{ jj_save(37, xla); }
}
@@ -606,7 +886,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_39() || jj_done);
+ return !jj_3_39() || jj_done;
{ jj_save(38, xla); }
}
@@ -614,7 +894,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_40() || jj_done);
+ return !jj_3_40() || jj_done;
{ jj_save(39, xla); }
}
@@ -622,7 +902,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_41() || jj_done);
+ return !jj_3_41() || jj_done;
{ jj_save(40, xla); }
}
@@ -630,7 +910,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_42() || jj_done);
+ return !jj_3_42() || jj_done;
{ jj_save(41, xla); }
}
@@ -638,7 +918,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_43() || jj_done);
+ return !jj_3_43() || jj_done;
{ jj_save(42, xla); }
}
@@ -646,7 +926,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_44() || jj_done);
+ return !jj_3_44() || jj_done;
{ jj_save(43, xla); }
}
@@ -654,7 +934,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_45() || jj_done);
+ return !jj_3_45() || jj_done;
{ jj_save(44, xla); }
}
@@ -662,7 +942,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_46() || jj_done);
+ return !jj_3_46() || jj_done;
{ jj_save(45, xla); }
}
@@ -670,7 +950,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_47() || jj_done);
+ return !jj_3_47() || jj_done;
{ jj_save(46, xla); }
}
@@ -678,7 +958,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_48() || jj_done);
+ return !jj_3_48() || jj_done;
{ jj_save(47, xla); }
}
@@ -686,7 +966,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_49() || jj_done);
+ return !jj_3_49() || jj_done;
{ jj_save(48, xla); }
}
@@ -694,7 +974,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_50() || jj_done);
+ return !jj_3_50() || jj_done;
{ jj_save(49, xla); }
}
@@ -702,7 +982,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_51() || jj_done);
+ return !jj_3_51() || jj_done;
{ jj_save(50, xla); }
}
@@ -710,7 +990,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_52() || jj_done);
+ return !jj_3_52() || jj_done;
{ jj_save(51, xla); }
}
@@ -718,7 +998,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_53() || jj_done);
+ return !jj_3_53() || jj_done;
{ jj_save(52, xla); }
}
@@ -726,7 +1006,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_54() || jj_done);
+ return !jj_3_54() || jj_done;
{ jj_save(53, xla); }
}
@@ -734,7 +1014,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_55() || jj_done);
+ return !jj_3_55() || jj_done;
{ jj_save(54, xla); }
}
@@ -742,7 +1022,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_56() || jj_done);
+ return !jj_3_56() || jj_done;
{ jj_save(55, xla); }
}
@@ -750,7 +1030,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_57() || jj_done);
+ return !jj_3_57() || jj_done;
{ jj_save(56, xla); }
}
@@ -758,7 +1038,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_58() || jj_done);
+ return !jj_3_58() || jj_done;
{ jj_save(57, xla); }
}
@@ -766,7 +1046,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_59() || jj_done);
+ return !jj_3_59() || jj_done;
{ jj_save(58, xla); }
}
@@ -774,7 +1054,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_60() || jj_done);
+ return !jj_3_60() || jj_done;
{ jj_save(59, xla); }
}
@@ -782,7 +1062,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_61() || jj_done);
+ return !jj_3_61() || jj_done;
{ jj_save(60, xla); }
}
@@ -790,7 +1070,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_62() || jj_done);
+ return !jj_3_62() || jj_done;
{ jj_save(61, xla); }
}
@@ -798,7 +1078,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_63() || jj_done);
+ return !jj_3_63() || jj_done;
{ jj_save(62, xla); }
}
@@ -806,7 +1086,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_64() || jj_done);
+ return !jj_3_64() || jj_done;
{ jj_save(63, xla); }
}
@@ -814,7 +1094,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_65() || jj_done);
+ return !jj_3_65() || jj_done;
{ jj_save(64, xla); }
}
@@ -822,7 +1102,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_66() || jj_done);
+ return !jj_3_66() || jj_done;
{ jj_save(65, xla); }
}
@@ -830,7 +1110,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_67() || jj_done);
+ return !jj_3_67() || jj_done;
{ jj_save(66, xla); }
}
@@ -838,7 +1118,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_68() || jj_done);
+ return !jj_3_68() || jj_done;
{ jj_save(67, xla); }
}
@@ -846,7 +1126,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_69() || jj_done);
+ return !jj_3_69() || jj_done;
{ jj_save(68, xla); }
}
@@ -854,7 +1134,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_70() || jj_done);
+ return !jj_3_70() || jj_done;
{ jj_save(69, xla); }
}
@@ -862,7 +1142,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_71() || jj_done);
+ return !jj_3_71() || jj_done;
{ jj_save(70, xla); }
}
@@ -870,7 +1150,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_72() || jj_done);
+ return !jj_3_72() || jj_done;
{ jj_save(71, xla); }
}
@@ -878,7 +1158,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_73() || jj_done);
+ return !jj_3_73() || jj_done;
{ jj_save(72, xla); }
}
@@ -886,7 +1166,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_74() || jj_done);
+ return !jj_3_74() || jj_done;
{ jj_save(73, xla); }
}
@@ -894,7 +1174,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_75() || jj_done);
+ return !jj_3_75() || jj_done;
{ jj_save(74, xla); }
}
@@ -902,7 +1182,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_76() || jj_done);
+ return !jj_3_76() || jj_done;
{ jj_save(75, xla); }
}
@@ -910,7 +1190,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_77() || jj_done);
+ return !jj_3_77() || jj_done;
{ jj_save(76, xla); }
}
@@ -918,7 +1198,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_78() || jj_done);
+ return !jj_3_78() || jj_done;
{ jj_save(77, xla); }
}
@@ -926,7 +1206,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_79() || jj_done);
+ return !jj_3_79() || jj_done;
{ jj_save(78, xla); }
}
@@ -934,7 +1214,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_80() || jj_done);
+ return !jj_3_80() || jj_done;
{ jj_save(79, xla); }
}
@@ -942,7 +1222,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_81() || jj_done);
+ return !jj_3_81() || jj_done;
{ jj_save(80, xla); }
}
@@ -950,7 +1230,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_82() || jj_done);
+ return !jj_3_82() || jj_done;
{ jj_save(81, xla); }
}
@@ -958,7 +1238,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_83() || jj_done);
+ return !jj_3_83() || jj_done;
{ jj_save(82, xla); }
}
@@ -966,7 +1246,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_84() || jj_done);
+ return !jj_3_84() || jj_done;
{ jj_save(83, xla); }
}
@@ -974,7 +1254,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_85() || jj_done);
+ return !jj_3_85() || jj_done;
{ jj_save(84, xla); }
}
@@ -982,7 +1262,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_86() || jj_done);
+ return !jj_3_86() || jj_done;
{ jj_save(85, xla); }
}
@@ -990,7 +1270,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_87() || jj_done);
+ return !jj_3_87() || jj_done;
{ jj_save(86, xla); }
}
@@ -998,7 +1278,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_88() || jj_done);
+ return !jj_3_88() || jj_done;
{ jj_save(87, xla); }
}
@@ -1006,7 +1286,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_89() || jj_done);
+ return !jj_3_89() || jj_done;
{ jj_save(88, xla); }
}
@@ -1014,7 +1294,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_90() || jj_done);
+ return !jj_3_90() || jj_done;
{ jj_save(89, xla); }
}
@@ -1022,7 +1302,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_91() || jj_done);
+ return !jj_3_91() || jj_done;
{ jj_save(90, xla); }
}
@@ -1030,7 +1310,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_92() || jj_done);
+ return !jj_3_92() || jj_done;
{ jj_save(91, xla); }
}
@@ -1038,7 +1318,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_93() || jj_done);
+ return !jj_3_93() || jj_done;
{ jj_save(92, xla); }
}
@@ -1046,7 +1326,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_94() || jj_done);
+ return !jj_3_94() || jj_done;
{ jj_save(93, xla); }
}
@@ -1054,7 +1334,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_95() || jj_done);
+ return !jj_3_95() || jj_done;
{ jj_save(94, xla); }
}
@@ -1062,7 +1342,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_96() || jj_done);
+ return !jj_3_96() || jj_done;
{ jj_save(95, xla); }
}
@@ -1070,7 +1350,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_97() || jj_done);
+ return !jj_3_97() || jj_done;
{ jj_save(96, xla); }
}
@@ -1078,7 +1358,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_98() || jj_done);
+ return !jj_3_98() || jj_done;
{ jj_save(97, xla); }
}
@@ -1086,7 +1366,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_99() || jj_done);
+ return !jj_3_99() || jj_done;
{ jj_save(98, xla); }
}
@@ -1094,7 +1374,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_100() || jj_done);
+ return !jj_3_100() || jj_done;
{ jj_save(99, xla); }
}
@@ -1102,7 +1382,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_101() || jj_done);
+ return !jj_3_101() || jj_done;
{ jj_save(100, xla); }
}
@@ -1110,7 +1390,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_102() || jj_done);
+ return !jj_3_102() || jj_done;
{ jj_save(101, xla); }
}
@@ -1118,7 +1398,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_103() || jj_done);
+ return !jj_3_103() || jj_done;
{ jj_save(102, xla); }
}
@@ -1126,7 +1406,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_104() || jj_done);
+ return !jj_3_104() || jj_done;
{ jj_save(103, xla); }
}
@@ -1134,7 +1414,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_105() || jj_done);
+ return !jj_3_105() || jj_done;
{ jj_save(104, xla); }
}
@@ -1142,7 +1422,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_106() || jj_done);
+ return !jj_3_106() || jj_done;
{ jj_save(105, xla); }
}
@@ -1150,7 +1430,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_107() || jj_done);
+ return !jj_3_107() || jj_done;
{ jj_save(106, xla); }
}
@@ -1158,7 +1438,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_108() || jj_done);
+ return !jj_3_108() || jj_done;
{ jj_save(107, xla); }
}
@@ -1166,7 +1446,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_109() || jj_done);
+ return !jj_3_109() || jj_done;
{ jj_save(108, xla); }
}
@@ -1174,7 +1454,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_110() || jj_done);
+ return !jj_3_110() || jj_done;
{ jj_save(109, xla); }
}
@@ -1182,7 +1462,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_111() || jj_done);
+ return !jj_3_111() || jj_done;
{ jj_save(110, xla); }
}
@@ -1190,7 +1470,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_112() || jj_done);
+ return !jj_3_112() || jj_done;
{ jj_save(111, xla); }
}
@@ -1198,7 +1478,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_113() || jj_done);
+ return !jj_3_113() || jj_done;
{ jj_save(112, xla); }
}
@@ -1206,7 +1486,7 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_114() || jj_done);
+ return !jj_3_114() || jj_done;
{ jj_save(113, xla); }
}
@@ -1214,10 +1494,18 @@ void parseInline();
{
jj_la = xla; jj_lastpos = jj_scanpos = token;
jj_done = false;
- return (!jj_3_115() || jj_done);
+ return !jj_3_115() || jj_done;
{ jj_save(114, xla); }
}
+ inline bool jj_2_116(int xla)
+ {
+ jj_la = xla; jj_lastpos = jj_scanpos = token;
+ jj_done = false;
+ return !jj_3_116() || jj_done;
+ { jj_save(115, xla); }
+ }
+
inline bool jj_3_43()
{
if (jj_done) return true;
@@ -1240,26 +1528,27 @@ void parseInline();
return false;
}
- inline bool jj_3R_442()
+ inline bool jj_3R_443()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_407()) return true;
+ if (jj_3R_408()) return true;
return false;
}
- inline bool jj_3R_366()
+ inline bool jj_3R_367()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_441()) return false;
+ if (jj_3R_442()) {
jj_scanpos = xsp;
- if (jj_3R_442()) return true;
+ if (jj_3R_443()) return true;
+ }
return false;
}
- inline bool jj_3R_441()
+ inline bool jj_3R_442()
{
if (jj_done) return true;
if (jj_scan_token(WHILE_T)) return true;
@@ -1270,19 +1559,19 @@ void parseInline();
inline bool jj_3R_197()
{
if (jj_done) return true;
- if (jj_3R_345()) return true;
+ if (jj_3R_346()) return true;
return false;
}
- inline bool jj_3R_399()
+ inline bool jj_3R_400()
{
if (jj_done) return true;
if (jj_scan_token(SEMI_T)) return true;
- if (jj_3R_398()) return true;
+ if (jj_3R_399()) return true;
return false;
}
- inline bool jj_3R_411()
+ inline bool jj_3R_412()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -1296,13 +1585,16 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(116)) return false;
+ if (jj_scan_token(116)) {
jj_scanpos = xsp;
- if (!jj_scan_token(100)) return false;
+ if (jj_scan_token(100)) {
jj_scanpos = xsp;
- if (!jj_scan_token(31)) return false;
+ if (jj_scan_token(31)) {
jj_scanpos = xsp;
if (jj_scan_token(101)) return true;
+ }
+ }
+ }
return false;
}
@@ -1324,14 +1616,14 @@ void parseInline();
return false;
}
- inline bool jj_3R_312()
+ inline bool jj_3R_313()
{
if (jj_done) return true;
- if (jj_3R_398()) return true;
+ if (jj_3R_399()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_399()) { jj_scanpos = xsp; break; }
+ if (jj_3R_400()) { jj_scanpos = xsp; break; }
}
return false;
}
@@ -1346,10 +1638,10 @@ void parseInline();
return false;
}
- inline bool jj_3R_398()
+ inline bool jj_3R_399()
{
if (jj_done) return true;
- if (jj_3R_489()) return true;
+ if (jj_3R_490()) return true;
return false;
}
@@ -1360,15 +1652,15 @@ void parseInline();
return false;
}
- inline bool jj_3R_557()
+ inline bool jj_3R_558()
{
if (jj_done) return true;
- if (jj_3R_612()) return true;
+ if (jj_3R_613()) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_556()
+ inline bool jj_3R_557()
{
if (jj_done) return true;
if (jj_3R_92()) return true;
@@ -1389,7 +1681,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_555()
+ inline bool jj_3R_556()
{
if (jj_done) return true;
if (jj_3R_107()) return true;
@@ -1403,22 +1695,27 @@ void parseInline();
return false;
}
- inline bool jj_3R_489()
+ inline bool jj_3R_490()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3_39()) return false;
+ if (jj_3_39()) {
jj_scanpos = xsp;
- if (!jj_3R_555()) return false;
+ if (jj_3R_556()) {
jj_scanpos = xsp;
- if (!jj_3_40()) return false;
+ if (jj_3_40()) {
jj_scanpos = xsp;
- if (!jj_3_41()) return false;
+ if (jj_3_41()) {
jj_scanpos = xsp;
- if (!jj_3R_556()) return false;
+ if (jj_3R_557()) {
jj_scanpos = xsp;
- if (jj_3R_557()) return true;
+ if (jj_3R_558()) return true;
+ }
+ }
+ }
+ }
+ }
return false;
}
@@ -1438,55 +1735,57 @@ void parseInline();
return false;
}
- inline bool jj_3R_624()
+ inline bool jj_3R_625()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_414()
+ inline bool jj_3R_696()
{
if (jj_done) return true;
- if (jj_scan_token(ALL_T)) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_413()
+ inline bool jj_3R_415()
{
if (jj_done) return true;
- if (jj_scan_token(OTHER_T)) return true;
+ if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_695()
+ inline bool jj_3R_414()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_330()
+ inline bool jj_3R_331()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_412()) return false;
+ if (jj_3R_413()) {
jj_scanpos = xsp;
- if (!jj_3R_413()) return false;
+ if (jj_3R_414()) {
jj_scanpos = xsp;
- if (jj_3R_414()) return true;
+ if (jj_3R_415()) return true;
+ }
+ }
return false;
}
- inline bool jj_3R_412()
+ inline bool jj_3R_413()
{
if (jj_done) return true;
if (jj_3R_196()) return true;
return false;
}
- inline bool jj_3R_327()
+ inline bool jj_3R_328()
{
if (jj_done) return true;
if (jj_scan_token(CONFIGURATION_T)) return true;
@@ -1494,7 +1793,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_326()
+ inline bool jj_3R_327()
{
if (jj_done) return true;
if (jj_scan_token(ENTITY_T)) return true;
@@ -1503,7 +1802,20 @@ void parseInline();
if (jj_3_38()) jj_scanpos = xsp;
if (jj_3R_59()) return true;
xsp = jj_scanpos;
- if (jj_3R_411()) jj_scanpos = xsp;
+ if (jj_3R_412()) jj_scanpos = xsp;
+ return false;
+ }
+
+ inline bool jj_3R_426()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(IS_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_696()) {
+ jj_scanpos = xsp;
+ if (jj_scan_token(145)) return true;
+ }
return false;
}
@@ -1512,11 +1824,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_325()) return false;
+ if (jj_3R_326()) {
jj_scanpos = xsp;
- if (!jj_3R_326()) return false;
+ if (jj_3R_327()) {
jj_scanpos = xsp;
- if (jj_3R_327()) return true;
+ if (jj_3R_328()) return true;
+ }
+ }
return false;
}
@@ -1527,7 +1841,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_325()
+ inline bool jj_3R_326()
{
if (jj_done) return true;
Token * xsp;
@@ -1537,18 +1851,6 @@ void parseInline();
return false;
}
- inline bool jj_3R_425()
- {
- if (jj_done) return true;
- if (jj_scan_token(IS_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_695()) return false;
- jj_scanpos = xsp;
- if (jj_scan_token(145)) return true;
- return false;
- }
-
inline bool jj_3R_154()
{
if (jj_done) return true;
@@ -1558,28 +1860,29 @@ void parseInline();
return false;
}
- inline bool jj_3R_621()
+ inline bool jj_3R_622()
{
if (jj_done) return true;
if (jj_3R_58()) return true;
return false;
}
- inline bool jj_3R_620()
+ inline bool jj_3R_621()
{
if (jj_done) return true;
if (jj_3R_67()) return true;
return false;
}
- inline bool jj_3R_564()
+ inline bool jj_3R_565()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_620()) return false;
+ if (jj_3R_621()) {
jj_scanpos = xsp;
- if (jj_3R_621()) return true;
+ if (jj_3R_622()) return true;
+ }
return false;
}
@@ -1597,7 +1900,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_531()
+ inline bool jj_3R_532()
{
if (jj_done) return true;
if (jj_scan_token(TYPE_T)) return true;
@@ -1606,42 +1909,42 @@ void parseInline();
return false;
}
- inline bool jj_3R_623()
+ inline bool jj_3R_624()
{
if (jj_done) return true;
if (jj_scan_token(ELSE_T)) return true;
- if (jj_3R_258()) return true;
+ if (jj_3R_259()) return true;
return false;
}
- inline bool jj_3R_622()
+ inline bool jj_3R_623()
{
if (jj_done) return true;
if (jj_scan_token(ELSIF_T)) return true;
if (jj_3R_79()) return true;
if (jj_scan_token(THEN_T)) return true;
- if (jj_3R_258()) return true;
+ if (jj_3R_259()) return true;
return false;
}
- inline bool jj_3R_344()
+ inline bool jj_3R_520()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_313()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_519()
+ inline bool jj_3R_345()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_312()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_254()
+ inline bool jj_3R_255()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -1654,21 +1957,21 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_254()) jj_scanpos = xsp;
+ if (jj_3R_255()) jj_scanpos = xsp;
if (jj_scan_token(IF_T)) return true;
if (jj_3R_79()) return true;
if (jj_scan_token(THEN_T)) return true;
- if (jj_3R_258()) return true;
+ if (jj_3R_259()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_622()) { jj_scanpos = xsp; break; }
+ if (jj_3R_623()) { jj_scanpos = xsp; break; }
}
xsp = jj_scanpos;
- if (jj_3R_623()) jj_scanpos = xsp;
+ if (jj_3R_624()) jj_scanpos = xsp;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(IF_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_624()) jj_scanpos = xsp;
+ if (jj_3R_625()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
@@ -1680,34 +1983,34 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_344()) { jj_scanpos = xsp; break; }
+ if (jj_3R_345()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_423()
+ inline bool jj_3R_424()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
if (jj_scan_token(77)) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_519()) jj_scanpos = xsp;
+ if (jj_3R_520()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_164()
+ inline bool jj_3_116()
{
if (jj_done) return true;
- if (jj_scan_token(BASIC_IDENTIFIER)) return true;
+ if (jj_3R_58()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
return false;
}
- inline bool jj_3_115()
+ inline bool jj_3R_164()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(WHEN_T)) return true;
+ if (jj_scan_token(BASIC_IDENTIFIER)) return true;
return false;
}
@@ -1723,21 +2026,22 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_163()) return false;
+ if (jj_3R_163()) {
jj_scanpos = xsp;
if (jj_3R_164()) return true;
+ }
return false;
}
- inline bool jj_3R_544()
+ inline bool jj_3R_545()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_543()) return true;
+ if (jj_3R_544()) return true;
return false;
}
- inline bool jj_3R_385()
+ inline bool jj_3R_386()
{
if (jj_done) return true;
if (jj_3R_58()) return true;
@@ -1745,41 +2049,42 @@ void parseInline();
if (jj_3R_85()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(134)) return false;
+ if (jj_scan_token(134)) {
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
+ }
return false;
}
- inline bool jj_3R_65()
+ inline bool jj_3R_446()
{
if (jj_done) return true;
- if (jj_scan_token(GROUP_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_473()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_529()) return true;
return false;
}
- inline bool jj_3R_445()
+ inline bool jj_3R_530()
{
if (jj_done) return true;
- if (jj_3R_528()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_448()) return true;
return false;
}
- inline bool jj_3R_529()
+ inline bool jj_3R_65()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_447()) return true;
+ if (jj_scan_token(GROUP_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_474()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_545()
+ inline bool jj_3R_546()
{
if (jj_done) return true;
if (jj_scan_token(WHEN_T)) return true;
@@ -1787,137 +2092,132 @@ void parseInline();
return false;
}
- inline bool jj_3R_304()
- {
- if (jj_done) return true;
- if (jj_3R_383()) return true;
- return false;
- }
-
- inline bool jj_3R_382()
+ inline bool jj_3R_305()
{
if (jj_done) return true;
- if (jj_scan_token(GROUP_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_474()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_384()) return true;
return false;
}
- inline bool jj_3R_424()
+ inline bool jj_3R_425()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(84)) return false;
+ if (jj_scan_token(84)) {
jj_scanpos = xsp;
if (jj_scan_token(52)) return true;
+ }
return false;
}
- inline bool jj_3R_343()
+ inline bool jj_3R_344()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_424()) jj_scanpos = xsp;
+ if (jj_3R_425()) jj_scanpos = xsp;
if (jj_scan_token(FUNCTION_T)) return true;
if (jj_3R_59()) return true;
- if (jj_3R_423()) return true;
+ if (jj_3R_424()) return true;
if (jj_scan_token(RETURN_T)) return true;
if (jj_3R_59()) return true;
xsp = jj_scanpos;
- if (jj_3R_425()) jj_scanpos = xsp;
+ if (jj_3R_426()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_474()
+ inline bool jj_3R_383()
{
if (jj_done) return true;
- if (jj_3R_543()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_544()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(GROUP_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_475()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_607()
+ inline bool jj_3R_475()
{
if (jj_done) return true;
- if (jj_3R_351()) return true;
+ if (jj_3R_544()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_545()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_303()
+ inline bool jj_3R_304()
{
if (jj_done) return true;
if (jj_3R_58()) return true;
- if (jj_3R_384()) return true;
+ if (jj_3R_385()) return true;
return false;
}
- inline bool jj_3R_342()
+ inline bool jj_3R_343()
{
if (jj_done) return true;
if (jj_scan_token(PROCEDURE_T)) return true;
if (jj_3R_69()) return true;
- if (jj_3R_423()) return true;
+ if (jj_3R_424()) return true;
return false;
}
- inline bool jj_3R_606()
+ inline bool jj_3R_608()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_352()) return true;
return false;
}
- inline bool jj_3R_543()
+ inline bool jj_3R_607()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_606()) return false;
- jj_scanpos = xsp;
- if (jj_3R_607()) return true;
+ if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_70()
+ inline bool jj_3R_544()
{
if (jj_done) return true;
- if (jj_scan_token(GENERIC_T)) return true;
- if (jj_scan_token(MAP_T)) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_165()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_607()) {
+ jj_scanpos = xsp;
+ if (jj_3R_608()) return true;
+ }
return false;
}
inline bool jj_3R_194()
{
if (jj_done) return true;
- if (jj_3R_343()) return true;
+ if (jj_3R_344()) return true;
return false;
}
- inline bool jj_3R_170()
+ inline bool jj_3R_70()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_scan_token(GENERIC_T)) return true;
+ if (jj_scan_token(MAP_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_165()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
inline bool jj_3R_193()
{
if (jj_done) return true;
- if (jj_3R_342()) return true;
+ if (jj_3R_343()) return true;
return false;
}
@@ -1926,42 +2226,50 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_193()) return false;
+ if (jj_3R_193()) {
jj_scanpos = xsp;
if (jj_3R_194()) return true;
+ }
return false;
}
- inline bool jj_3R_158()
+ inline bool jj_3R_170()
{
if (jj_done) return true;
- if (jj_3R_312()) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_475()
+ inline bool jj_3R_476()
{
if (jj_done) return true;
if (jj_scan_token(ELSE_T)) return true;
if (jj_3R_58()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_545()) jj_scanpos = xsp;
+ if (jj_3R_546()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_384()
+ inline bool jj_3R_385()
{
if (jj_done) return true;
Token * xsp;
- if (jj_3R_475()) return true;
+ if (jj_3R_476()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_475()) { jj_scanpos = xsp; break; }
+ if (jj_3R_476()) { jj_scanpos = xsp; break; }
}
return false;
}
+ inline bool jj_3R_158()
+ {
+ if (jj_done) return true;
+ if (jj_3R_313()) return true;
+ return false;
+ }
+
inline bool jj_3R_66()
{
if (jj_done) return true;
@@ -1973,25 +2281,26 @@ void parseInline();
return false;
}
- inline bool jj_3R_383()
+ inline bool jj_3R_384()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(53)) return false;
+ if (jj_scan_token(53)) {
jj_scanpos = xsp;
if (jj_scan_token(75)) return true;
+ }
return false;
}
- inline bool jj_3R_446()
+ inline bool jj_3R_447()
{
if (jj_done) return true;
- if (jj_3R_404()) return true;
+ if (jj_3R_405()) return true;
return false;
}
- inline bool jj_3R_321()
+ inline bool jj_3R_322()
{
if (jj_done) return true;
if (jj_scan_token(IF_T)) return true;
@@ -1999,41 +2308,42 @@ void parseInline();
return false;
}
- inline bool jj_3R_168()
+ inline bool jj_3R_448()
{
if (jj_done) return true;
+ if (jj_3R_445()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_85()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_320()) return false;
- jj_scanpos = xsp;
- if (jj_3R_321()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_530()) { jj_scanpos = xsp; break; }
+ }
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_320()
+ inline bool jj_3R_168()
{
if (jj_done) return true;
- if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_407()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_321()) {
+ jj_scanpos = xsp;
+ if (jj_3R_322()) return true;
+ }
return false;
}
- inline bool jj_3R_447()
+ inline bool jj_3R_321()
{
if (jj_done) return true;
- if (jj_3R_444()) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_529()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(FOR_T)) return true;
+ if (jj_3R_408()) return true;
return false;
}
- inline bool jj_3R_305()
+ inline bool jj_3R_306()
{
if (jj_done) return true;
if (jj_3R_58()) return true;
@@ -2041,41 +2351,25 @@ void parseInline();
if (jj_3R_85()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(134)) return false;
+ if (jj_scan_token(134)) {
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
+ }
while (true) {
xsp = jj_scanpos;
- if (jj_3R_385()) { jj_scanpos = xsp; break; }
+ if (jj_3R_386()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_74()
- {
- if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_168()) return true;
- if (jj_scan_token(GENERATE_T)) return true;
- if (jj_3R_169()) return true;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(GENERATE_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_170()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
- return false;
- }
-
- inline bool jj_3R_302()
+ inline bool jj_3R_303()
{
if (jj_done) return true;
- if (jj_3R_383()) return true;
+ if (jj_3R_384()) return true;
return false;
}
- inline bool jj_3_111()
+ inline bool jj_3_112()
{
if (jj_done) return true;
if (jj_3R_142()) return true;
@@ -2083,13 +2377,20 @@ void parseInline();
return false;
}
- inline bool jj_3R_104()
+ inline bool jj_3R_74()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_225()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_168()) return true;
+ if (jj_scan_token(GENERATE_T)) return true;
+ if (jj_3R_169()) return true;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(GENERATE_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_170()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
@@ -2106,19 +2407,29 @@ void parseInline();
if (jj_scan_token(LESSTHAN_T)) return true;
if (jj_scan_token(FORCE_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_304()) jj_scanpos = xsp;
- if (jj_3R_305()) return true;
+ if (jj_3R_305()) jj_scanpos = xsp;
+ if (jj_3R_306()) return true;
return false;
}
- inline bool jj_3_114()
+ inline bool jj_3R_104()
+ {
+ if (jj_done) return true;
+ if (jj_3R_59()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_226()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3_115()
{
if (jj_done) return true;
if (jj_3R_108()) return true;
return false;
}
- inline bool jj_3R_368()
+ inline bool jj_3R_369()
{
if (jj_done) return true;
if (jj_scan_token(WITH_T)) return true;
@@ -2130,12 +2441,12 @@ void parseInline();
if (jj_3R_114()) return true;
if (jj_scan_token(LESSTHAN_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_446()) jj_scanpos = xsp;
- if (jj_3R_447()) return true;
+ if (jj_3R_447()) jj_scanpos = xsp;
+ if (jj_3R_448()) return true;
return false;
}
- inline bool jj_3R_300()
+ inline bool jj_3R_301()
{
if (jj_done) return true;
if (jj_3R_142()) return true;
@@ -2143,14 +2454,14 @@ void parseInline();
return false;
}
- inline bool jj_3R_686()
+ inline bool jj_3R_687()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_574()
+ inline bool jj_3R_575()
{
if (jj_done) return true;
if (jj_scan_token(WHEN_T)) return true;
@@ -2158,39 +2469,33 @@ void parseInline();
return false;
}
- inline bool jj_3R_685()
+ inline bool jj_3R_686()
{
if (jj_done) return true;
if (jj_3R_108()) return true;
return false;
}
- inline bool jj_3R_662()
+ inline bool jj_3R_663()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_685()) return false;
+ if (jj_3R_686()) {
jj_scanpos = xsp;
- if (jj_3R_686()) return true;
- return false;
- }
-
- inline bool jj_3R_462()
- {
- if (jj_done) return true;
- if (jj_3R_533()) return true;
+ if (jj_3R_687()) return true;
+ }
return false;
}
- inline bool jj_3_113()
+ inline bool jj_3_114()
{
if (jj_done) return true;
if (jj_3R_144()) return true;
return false;
}
- inline bool jj_3R_526()
+ inline bool jj_3R_527()
{
if (jj_done) return true;
if (jj_scan_token(WITH_T)) return true;
@@ -2199,50 +2504,49 @@ void parseInline();
Token * xsp;
xsp = jj_scanpos;
if (jj_scan_token(155)) jj_scanpos = xsp;
- if (jj_3R_662()) return true;
+ if (jj_3R_663()) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
- if (jj_3R_305()) return true;
+ if (jj_3R_306()) return true;
return false;
}
- inline bool jj_3R_443()
+ inline bool jj_3R_444()
{
if (jj_done) return true;
- if (jj_3R_404()) return true;
+ if (jj_3R_405()) return true;
return false;
}
- inline bool jj_3R_270()
+ inline bool jj_3R_463()
{
if (jj_done) return true;
- if (jj_3R_368()) return true;
+ if (jj_3R_534()) return true;
return false;
}
- inline bool jj_3R_127()
+ inline bool jj_3R_271()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_269()) return false;
- jj_scanpos = xsp;
- if (jj_3R_270()) return true;
+ if (jj_3R_369()) return true;
return false;
}
- inline bool jj_3R_269()
+ inline bool jj_3R_127()
{
if (jj_done) return true;
- if (jj_3R_144()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_270()) {
+ jj_scanpos = xsp;
+ if (jj_3R_271()) return true;
+ }
return false;
}
- inline bool jj_3R_156()
+ inline bool jj_3R_270()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_311()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_144()) return true;
return false;
}
@@ -2254,96 +2558,98 @@ void parseInline();
if (jj_scan_token(FORCE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_302()) jj_scanpos = xsp;
+ if (jj_3R_303()) jj_scanpos = xsp;
if (jj_3R_58()) return true;
if (jj_scan_token(WHEN_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_303()) jj_scanpos = xsp;
+ if (jj_3R_304()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_136()
+ inline bool jj_3R_156()
{
if (jj_done) return true;
- if (jj_scan_token(TYPE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_576()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_312()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_528()
+ inline bool jj_3R_529()
{
if (jj_done) return true;
if (jj_scan_token(ELSE_T)) return true;
if (jj_3R_58()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_574()) jj_scanpos = xsp;
+ if (jj_3R_575()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_112()
+ inline bool jj_3_113()
{
if (jj_done) return true;
if (jj_3R_143()) return true;
return false;
}
- inline bool jj_3R_323()
+ inline bool jj_3R_136()
{
if (jj_done) return true;
- if (jj_3R_409()) return true;
+ if (jj_scan_token(TYPE_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_577()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_63()
+ inline bool jj_3R_324()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_156()) jj_scanpos = xsp;
+ if (jj_3R_410()) return true;
return false;
}
- inline bool jj_3R_367()
+ inline bool jj_3R_368()
{
if (jj_done) return true;
if (jj_3R_114()) return true;
if (jj_scan_token(LESSTHAN_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_443()) jj_scanpos = xsp;
- if (jj_3R_444()) return true;
+ if (jj_3R_444()) jj_scanpos = xsp;
+ if (jj_3R_445()) return true;
if (jj_scan_token(WHEN_T)) return true;
if (jj_3R_58()) return true;
xsp = jj_scanpos;
- if (jj_3R_445()) jj_scanpos = xsp;
+ if (jj_3R_446()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_569()
+ inline bool jj_3R_63()
{
if (jj_done) return true;
- if (jj_3R_312()) return true;
+ if (jj_3R_59()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_156()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_268()
+ inline bool jj_3R_269()
{
if (jj_done) return true;
- if (jj_3R_367()) return true;
+ if (jj_3R_368()) return true;
return false;
}
- inline bool jj_3R_397()
+ inline bool jj_3R_570()
{
if (jj_done) return true;
- if (jj_scan_token(INTEGER)) return true;
+ if (jj_3R_313()) return true;
return false;
}
@@ -2352,31 +2658,40 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_267()) return false;
+ if (jj_3R_268()) {
jj_scanpos = xsp;
- if (jj_3R_268()) return true;
+ if (jj_3R_269()) return true;
+ }
return false;
}
- inline bool jj_3R_267()
+ inline bool jj_3R_268()
{
if (jj_done) return true;
if (jj_3R_143()) return true;
return false;
}
- inline bool jj_3R_311()
+ inline bool jj_3R_398()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(INTEGER)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_312()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_396()) return false;
+ if (jj_3R_397()) {
jj_scanpos = xsp;
- if (jj_3R_397()) return true;
+ if (jj_3R_398()) return true;
+ }
return false;
}
- inline bool jj_3R_396()
+ inline bool jj_3R_397()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
@@ -2391,12 +2706,12 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_300()) { jj_scanpos = xsp; break; }
+ if (jj_3R_301()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_554()
+ inline bool jj_3R_555()
{
if (jj_done) return true;
if (jj_scan_token(AT_T)) return true;
@@ -2404,7 +2719,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_301()
+ inline bool jj_3R_302()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -2413,14 +2728,14 @@ void parseInline();
return false;
}
- inline bool jj_3_110()
+ inline bool jj_3_111()
{
if (jj_done) return true;
if (jj_3R_141()) return true;
return false;
}
- inline bool jj_3R_656()
+ inline bool jj_3R_657()
{
if (jj_done) return true;
if (jj_scan_token(FILE_T)) return true;
@@ -2429,29 +2744,29 @@ void parseInline();
return false;
}
- inline bool jj_3R_579()
+ inline bool jj_3R_612()
{
if (jj_done) return true;
- if (jj_scan_token(OPEN_T)) return true;
- if (jj_3R_58()) return true;
+ if (jj_3R_141()) return true;
return false;
}
- inline bool jj_3R_611()
+ inline bool jj_3R_580()
{
if (jj_done) return true;
- if (jj_3R_141()) return true;
+ if (jj_scan_token(OPEN_T)) return true;
+ if (jj_3R_58()) return true;
return false;
}
- inline bool jj_3R_533()
+ inline bool jj_3R_534()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_579()) jj_scanpos = xsp;
+ if (jj_3R_580()) jj_scanpos = xsp;
if (jj_scan_token(IS_T)) return true;
- if (jj_3R_580()) return true;
+ if (jj_3R_581()) return true;
return false;
}
@@ -2461,65 +2776,73 @@ void parseInline();
if (jj_3R_69()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_301()) jj_scanpos = xsp;
+ if (jj_3R_302()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_580()
+ inline bool jj_3R_640()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
+ if (jj_scan_token(NEG_T)) return true;
+ if (jj_scan_token(DOT_T)) return true;
return false;
}
- inline bool jj_3R_639()
+ inline bool jj_3R_581()
{
if (jj_done) return true;
- if (jj_scan_token(NEG_T)) return true;
- if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_58()) return true;
return false;
}
- inline bool jj_3R_610()
+ inline bool jj_3R_611()
{
if (jj_done) return true;
Token * xsp;
- if (jj_3R_639()) return true;
+ if (jj_3R_640()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_639()) { jj_scanpos = xsp; break; }
+ if (jj_3R_640()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_448()
+ inline bool jj_3_110()
{
if (jj_done) return true;
- if (jj_scan_token(DOUBLEMULT_T)) return true;
- if (jj_3R_369()) return true;
+ if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_141()) return true;
return false;
}
- inline bool jj_3_109()
+ inline bool jj_3R_554()
{
if (jj_done) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_141()) return true;
+ if (jj_3R_611()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_612()) jj_scanpos = xsp;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_553()
+ inline bool jj_3R_449()
{
if (jj_done) return true;
- if (jj_3R_610()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_611()) jj_scanpos = xsp;
+ if (jj_scan_token(DOUBLEMULT_T)) return true;
+ if (jj_3R_370()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_610()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(DOT_T)) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_375()
+ inline bool jj_3R_376()
{
if (jj_done) return true;
if (jj_scan_token(FILE_T)) return true;
@@ -2528,12 +2851,12 @@ void parseInline();
if (jj_3R_84()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_462()) jj_scanpos = xsp;
+ if (jj_3R_463()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_263()
+ inline bool jj_3R_264()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -2544,43 +2867,50 @@ void parseInline();
{
if (jj_done) return true;
if (jj_scan_token(DOT_T)) return true;
+ if (jj_3R_141()) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_283()
+ inline bool jj_3R_553()
+ {
+ if (jj_done) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_609()) {
+ jj_scanpos = xsp;
+ if (jj_3R_610()) return true;
+ }
+ return false;
+ }
+
+ inline bool jj_3R_284()
{
if (jj_done) return true;
if (jj_scan_token(NOT_T)) return true;
- if (jj_3R_369()) return true;
+ if (jj_3R_370()) return true;
return false;
}
- inline bool jj_3R_282()
+ inline bool jj_3R_283()
{
if (jj_done) return true;
if (jj_scan_token(ABS_T)) return true;
- if (jj_3R_369()) return true;
+ if (jj_3R_370()) return true;
return false;
}
- inline bool jj_3R_608()
+ inline bool jj_3R_489()
{
if (jj_done) return true;
- if (jj_scan_token(DOT_T)) return true;
- if (jj_3R_141()) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_555()) return true;
return false;
}
- inline bool jj_3R_552()
+ inline bool jj_3R_488()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_608()) return false;
- jj_scanpos = xsp;
- if (jj_3R_609()) return true;
+ if (jj_3R_554()) return true;
return false;
}
@@ -2589,28 +2919,38 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_281()) return false;
+ if (jj_3R_282()) {
jj_scanpos = xsp;
- if (!jj_3R_282()) return false;
+ if (jj_3R_283()) {
jj_scanpos = xsp;
- if (jj_3R_283()) return true;
+ if (jj_3R_284()) return true;
+ }
+ }
return false;
}
- inline bool jj_3R_281()
+ inline bool jj_3R_282()
{
if (jj_done) return true;
- if (jj_3R_369()) return true;
+ if (jj_3R_370()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_448()) jj_scanpos = xsp;
+ if (jj_3R_449()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_488()
+ inline bool jj_3R_396()
{
if (jj_done) return true;
- if (jj_3R_554()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_487()) {
+ jj_scanpos = xsp;
+ if (jj_3R_488()) {
+ jj_scanpos = xsp;
+ if (jj_3R_489()) return true;
+ }
+ }
return false;
}
@@ -2621,155 +2961,154 @@ void parseInline();
return false;
}
- inline bool jj_3R_395()
+ inline bool jj_3_107()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_486()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_487()) return false;
- jj_scanpos = xsp;
- if (jj_3R_488()) return true;
+ if (jj_3R_138()) return true;
return false;
}
- inline bool jj_3R_486()
+ inline bool jj_3R_697()
{
if (jj_done) return true;
- if (jj_3R_552()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_696()
+ inline bool jj_3R_486()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_96()) return true;
+ if (jj_scan_token(VARIABLE_T)) return true;
return false;
}
inline bool jj_3R_146()
{
if (jj_done) return true;
- if (jj_3R_308()) return true;
+ if (jj_3R_309()) return true;
if (jj_3R_145()) return true;
return false;
}
- inline bool jj_3_106()
+ inline bool jj_3R_485()
{
if (jj_done) return true;
- if (jj_3R_138()) return true;
+ if (jj_scan_token(SIGNAL_T)) return true;
return false;
}
- inline bool jj_3R_393()
+ inline bool jj_3R_394()
{
if (jj_done) return true;
if (jj_scan_token(OR_T)) return true;
return false;
}
- inline bool jj_3R_392()
+ inline bool jj_3R_393()
{
if (jj_done) return true;
if (jj_scan_token(XOR_T)) return true;
return false;
}
- inline bool jj_3R_485()
+ inline bool jj_3R_392()
{
if (jj_done) return true;
- if (jj_scan_token(VARIABLE_T)) return true;
+ if (jj_scan_token(XNOR_T)) return true;
return false;
}
- inline bool jj_3R_391()
+ inline bool jj_3R_395()
{
if (jj_done) return true;
- if (jj_scan_token(XNOR_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_484()) {
+ jj_scanpos = xsp;
+ if (jj_3R_485()) {
+ jj_scanpos = xsp;
+ if (jj_3R_486()) return true;
+ }
+ }
return false;
}
inline bool jj_3R_484()
{
if (jj_done) return true;
- if (jj_scan_token(SIGNAL_T)) return true;
+ if (jj_scan_token(CONSTANT_T)) return true;
return false;
}
- inline bool jj_3R_390()
+ inline bool jj_3R_391()
{
if (jj_done) return true;
if (jj_scan_token(NOR_T)) return true;
return false;
}
- inline bool jj_3R_389()
+ inline bool jj_3R_390()
{
if (jj_done) return true;
if (jj_scan_token(NAND_T)) return true;
return false;
}
- inline bool jj_3R_394()
+ inline bool jj_3_109()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_483()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_484()) return false;
- jj_scanpos = xsp;
- if (jj_3R_485()) return true;
+ if (jj_3R_140()) return true;
return false;
}
- inline bool jj_3R_483()
+ inline bool jj_3R_275()
{
if (jj_done) return true;
- if (jj_scan_token(CONSTANT_T)) return true;
+ if (jj_3R_132()) return true;
return false;
}
- inline bool jj_3R_308()
+ inline bool jj_3R_309()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_388()) return false;
+ if (jj_3R_389()) {
jj_scanpos = xsp;
- if (!jj_3R_389()) return false;
+ if (jj_3R_390()) {
jj_scanpos = xsp;
- if (!jj_3R_390()) return false;
+ if (jj_3R_391()) {
jj_scanpos = xsp;
- if (!jj_3R_391()) return false;
+ if (jj_3R_392()) {
jj_scanpos = xsp;
- if (!jj_3R_392()) return false;
+ if (jj_3R_393()) {
jj_scanpos = xsp;
- if (jj_3R_393()) return true;
+ if (jj_3R_394()) return true;
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_388()
+ inline bool jj_3R_389()
{
if (jj_done) return true;
if (jj_scan_token(AND_T)) return true;
return false;
}
- inline bool jj_3_108()
- {
- if (jj_done) return true;
- if (jj_3R_140()) return true;
- return false;
- }
-
- inline bool jj_3R_274()
+ inline bool jj_3R_311()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ if (jj_scan_token(SLSL_T)) return true;
+ if (jj_3R_395()) return true;
+ if (jj_3R_396()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_84()) return true;
+ if (jj_scan_token(RSRS_T)) return true;
return false;
}
@@ -2785,32 +3124,20 @@ void parseInline();
return false;
}
- inline bool jj_3R_310()
- {
- if (jj_done) return true;
- if (jj_scan_token(SLSL_T)) return true;
- if (jj_3R_394()) return true;
- if (jj_3R_395()) return true;
- if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_84()) return true;
- if (jj_scan_token(RSRS_T)) return true;
- return false;
- }
-
- inline bool jj_3R_408()
+ inline bool jj_3R_409()
{
if (jj_done) return true;
if (jj_3R_140()) return true;
return false;
}
- inline bool jj_3R_322()
+ inline bool jj_3R_323()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_408()) { jj_scanpos = xsp; break; }
+ if (jj_3R_409()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(BEGIN_T)) return true;
return false;
@@ -2821,15 +3148,30 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_322()) jj_scanpos = xsp;
+ if (jj_3R_323()) jj_scanpos = xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_323()) { jj_scanpos = xsp; break; }
+ if (jj_3R_324()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_264()
+ inline bool jj_3R_285()
+ {
+ if (jj_done) return true;
+ if (jj_3R_69()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_662()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(BEGIN_T)) return true;
+ if (jj_3R_662()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_265()
{
if (jj_done) return true;
if (jj_scan_token(WHEN_T)) return true;
@@ -2837,14 +3179,14 @@ void parseInline();
return false;
}
- inline bool jj_3R_284()
+ inline bool jj_3R_235()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_132()) return true;
return false;
}
- inline bool jj_3R_262()
+ inline bool jj_3R_263()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -2852,11 +3194,11 @@ void parseInline();
return false;
}
- inline bool jj_3R_661()
+ inline bool jj_3_108()
{
if (jj_done) return true;
- if (jj_scan_token(BEGIN_T)) return true;
- if (jj_3R_661()) return true;
+ if (jj_3R_139()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
@@ -2865,32 +3207,28 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_262()) jj_scanpos = xsp;
- if (jj_scan_token(EXIT_T)) return true;
- xsp = jj_scanpos;
if (jj_3R_263()) jj_scanpos = xsp;
+ if (jj_scan_token(EXIT_T)) return true;
xsp = jj_scanpos;
if (jj_3R_264()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_265()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_234()
- {
- if (jj_done) return true;
- if (jj_3R_132()) return true;
- return false;
- }
-
- inline bool jj_3_107()
+ inline bool jj_3R_138()
{
if (jj_done) return true;
- if (jj_3R_139()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_scan_token(END_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_285()) jj_scanpos = xsp;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_687()
+ inline bool jj_3R_688()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -2898,47 +3236,48 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_696()) { jj_scanpos = xsp; break; }
+ if (jj_3R_697()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_138()
+ inline bool jj_3R_641()
{
if (jj_done) return true;
- if (jj_scan_token(END_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_284()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_132()) return true;
return false;
}
- inline bool jj_3R_640()
+ inline bool jj_3R_652()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_108()) jj_scanpos = xsp;
+ if (jj_3R_85()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
+ if (jj_3R_662()) return true;
return false;
}
inline bool jj_3R_208()
{
if (jj_done) return true;
- if (jj_3R_351()) return true;
+ if (jj_3R_352()) return true;
return false;
}
- inline bool jj_3R_651()
+ inline bool jj_3R_619()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3_107()) jj_scanpos = xsp;
- if (jj_3R_85()) return true;
- if (jj_scan_token(ARROW_T)) return true;
- if (jj_3R_661()) return true;
+ if (jj_3R_652()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_652()) { jj_scanpos = xsp; break; }
+ }
return false;
}
@@ -2954,56 +3293,46 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_207()) return false;
+ if (jj_3R_207()) {
jj_scanpos = xsp;
if (jj_3R_208()) return true;
+ }
return false;
}
- inline bool jj_3R_618()
+ inline bool jj_3R_695()
{
if (jj_done) return true;
- Token * xsp;
- if (jj_3R_651()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_651()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_352()) return true;
return false;
}
- inline bool jj_3R_694()
+ inline bool jj_3R_561()
{
if (jj_done) return true;
- if (jj_3R_351()) return true;
+ if (jj_scan_token(CASE_T)) return true;
+ if (jj_3R_58()) return true;
+ if (jj_scan_token(GENERATE_T)) return true;
+ if (jj_3R_619()) return true;
return false;
}
- inline bool jj_3R_693()
+ inline bool jj_3R_694()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_683()
+ inline bool jj_3R_684()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_693()) return false;
+ if (jj_3R_694()) {
jj_scanpos = xsp;
- if (jj_3R_694()) return true;
- return false;
- }
-
- inline bool jj_3R_560()
- {
- if (jj_done) return true;
- if (jj_scan_token(CASE_T)) return true;
- if (jj_3R_58()) return true;
- if (jj_scan_token(GENERATE_T)) return true;
- if (jj_3R_618()) return true;
+ if (jj_3R_695()) return true;
+ }
return false;
}
@@ -3026,7 +3355,7 @@ void parseInline();
if (jj_done) return true;
if (jj_scan_token(GENERIC_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_312()) return true;
+ if (jj_3R_313()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
@@ -3042,65 +3371,67 @@ void parseInline();
return false;
}
- inline bool jj_3R_469()
+ inline bool jj_3R_470()
{
if (jj_done) return true;
- if (jj_3R_538()) return true;
- if (jj_scan_token(COLON_T)) return true;
if (jj_3R_539()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_540()) return true;
return false;
}
- inline bool jj_3R_684()
+ inline bool jj_3R_685()
{
if (jj_done) return true;
- if (jj_3R_233()) return true;
+ if (jj_3R_234()) return true;
return false;
}
- inline bool jj_3R_584()
+ inline bool jj_3R_585()
{
if (jj_done) return true;
if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_583()
+ inline bool jj_3R_584()
{
if (jj_done) return true;
if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_637()
+ inline bool jj_3R_638()
{
if (jj_done) return true;
- if (jj_3R_658()) return true;
+ if (jj_3R_659()) return true;
return false;
}
- inline bool jj_3R_582()
+ inline bool jj_3R_583()
{
if (jj_done) return true;
Token * xsp;
- if (jj_3R_637()) return true;
+ if (jj_3R_638()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_637()) { jj_scanpos = xsp; break; }
+ if (jj_3R_638()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_538()
+ inline bool jj_3R_539()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_582()) return false;
+ if (jj_3R_583()) {
jj_scanpos = xsp;
- if (!jj_3R_583()) return false;
+ if (jj_3R_584()) {
jj_scanpos = xsp;
- if (jj_3R_584()) return true;
+ if (jj_3R_585()) return true;
+ }
+ }
return false;
}
@@ -3112,35 +3443,35 @@ void parseInline();
if (jj_scan_token(IS_T)) return true;
if (jj_scan_token(NEW_T)) return true;
if (jj_3R_59()) return true;
- if (jj_3R_233()) return true;
+ if (jj_3R_234()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_274()) jj_scanpos = xsp;
+ if (jj_3R_275()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_658()
+ inline bool jj_3R_107()
{
if (jj_done) return true;
- if (jj_3R_683()) return true;
+ if (jj_scan_token(PACKAGE_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_scan_token(NEW_T)) return true;
+ if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_684()) jj_scanpos = xsp;
+ if (jj_3R_641()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_107()
+ inline bool jj_3R_659()
{
if (jj_done) return true;
- if (jj_scan_token(PACKAGE_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_scan_token(NEW_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_684()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_640()) jj_scanpos = xsp;
+ if (jj_3R_685()) jj_scanpos = xsp;
return false;
}
@@ -3152,10 +3483,10 @@ void parseInline();
if (jj_scan_token(IS_T)) return true;
if (jj_scan_token(NEW_T)) return true;
if (jj_3R_59()) return true;
- if (jj_3R_233()) return true;
+ if (jj_3R_234()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_234()) jj_scanpos = xsp;
+ if (jj_3R_235()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
@@ -3181,295 +3512,290 @@ void parseInline();
return false;
}
- inline bool jj_3R_542()
+ inline bool jj_3R_543()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_541()) return true;
+ if (jj_3R_542()) return true;
return false;
}
- inline bool jj_3R_682()
+ inline bool jj_3R_683()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_253()
+ inline bool jj_3R_254()
{
if (jj_done) return true;
- if (jj_3R_365()) return true;
+ if (jj_3R_366()) return true;
return false;
}
- inline bool jj_3R_692()
+ inline bool jj_3R_693()
{
if (jj_done) return true;
- if (jj_3R_702()) return true;
+ if (jj_3R_703()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_681()
+ inline bool jj_3R_682()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_692()) { jj_scanpos = xsp; break; }
+ if (jj_3R_693()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_605()
- {
- if (jj_done) return true;
- if (jj_scan_token(BOX_T)) return true;
- return false;
- }
-
- inline bool jj_3R_719()
+ inline bool jj_3R_720()
{
if (jj_done) return true;
- if (jj_3R_381()) return true;
+ if (jj_3R_382()) return true;
return false;
}
- inline bool jj_3R_718()
+ inline bool jj_3R_606()
{
if (jj_done) return true;
- if (jj_3R_378()) return true;
+ if (jj_scan_token(BOX_T)) return true;
return false;
}
- inline bool jj_3R_348()
+ inline bool jj_3R_719()
{
if (jj_done) return true;
- if (jj_scan_token(ENTITY_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_379()) return true;
return false;
}
- inline bool jj_3R_717()
+ inline bool jj_3R_718()
{
if (jj_done) return true;
- if (jj_3R_346()) return true;
+ if (jj_3R_347()) return true;
return false;
}
- inline bool jj_3R_702()
+ inline bool jj_3R_703()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_717()) return false;
+ if (jj_3R_718()) {
jj_scanpos = xsp;
- if (!jj_3R_718()) return false;
+ if (jj_3R_719()) {
jj_scanpos = xsp;
- if (jj_3R_719()) return true;
+ if (jj_3R_720()) return true;
+ }
+ }
return false;
}
- inline bool jj_3R_473()
+ inline bool jj_3R_349()
{
if (jj_done) return true;
- if (jj_3R_541()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_542()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(ENTITY_T)) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_680()
+ inline bool jj_3R_681()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_252()
+ inline bool jj_3R_253()
{
if (jj_done) return true;
- if (jj_3R_364()) return true;
+ if (jj_3R_365()) return true;
return false;
}
- inline bool jj_3R_657()
+ inline bool jj_3R_474()
{
if (jj_done) return true;
- if (jj_scan_token(PROTECTED_T)) return true;
- if (jj_3R_681()) return true;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(PROTECTED_T)) return true;
+ if (jj_3R_542()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_682()) jj_scanpos = xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_543()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_541()
+ inline bool jj_3R_658()
{
if (jj_done) return true;
- if (jj_3R_539()) return true;
+ if (jj_scan_token(PROTECTED_T)) return true;
+ if (jj_3R_682()) return true;
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(PROTECTED_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_605()) jj_scanpos = xsp;
+ if (jj_3R_683()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_691()
+ inline bool jj_3R_692()
{
if (jj_done) return true;
- if (jj_3R_701()) return true;
+ if (jj_3R_702()) return true;
return false;
}
- inline bool jj_3R_619()
+ inline bool jj_3R_542()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_540()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_606()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_104()
+ inline bool jj_3_105()
{
if (jj_done) return true;
if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3R_679()
+ inline bool jj_3R_680()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_691()) { jj_scanpos = xsp; break; }
+ if (jj_3R_692()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_601()
+ inline bool jj_3R_620()
{
if (jj_done) return true;
- if (jj_scan_token(FILE_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_600()
+ inline bool jj_3R_602()
{
if (jj_done) return true;
- if (jj_scan_token(GROUP_T)) return true;
+ if (jj_scan_token(FILE_T)) return true;
return false;
}
- inline bool jj_3R_599()
+ inline bool jj_3R_717()
{
if (jj_done) return true;
- if (jj_scan_token(UNITS_T)) return true;
+ if (jj_3R_383()) return true;
return false;
}
- inline bool jj_3R_598()
+ inline bool jj_3R_601()
{
if (jj_done) return true;
- if (jj_scan_token(LITERAL_T)) return true;
+ if (jj_scan_token(GROUP_T)) return true;
return false;
}
- inline bool jj_3R_716()
+ inline bool jj_3R_600()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_scan_token(UNITS_T)) return true;
return false;
}
- inline bool jj_3R_597()
+ inline bool jj_3R_599()
{
if (jj_done) return true;
- if (jj_scan_token(LABEL_T)) return true;
+ if (jj_scan_token(LITERAL_T)) return true;
return false;
}
- inline bool jj_3R_596()
+ inline bool jj_3_106()
{
if (jj_done) return true;
- if (jj_scan_token(COMPONENT_T)) return true;
+ if (jj_3R_65()) return true;
return false;
}
- inline bool jj_3R_595()
+ inline bool jj_3R_716()
{
if (jj_done) return true;
- if (jj_scan_token(VARIABLE_T)) return true;
+ if (jj_3R_382()) return true;
return false;
}
- inline bool jj_3_105()
+ inline bool jj_3R_598()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_scan_token(LABEL_T)) return true;
return false;
}
inline bool jj_3R_715()
{
if (jj_done) return true;
- if (jj_3R_381()) return true;
+ if (jj_3R_379()) return true;
return false;
}
- inline bool jj_3R_594()
+ inline bool jj_3R_597()
{
if (jj_done) return true;
- if (jj_scan_token(SIGNAL_T)) return true;
+ if (jj_scan_token(COMPONENT_T)) return true;
return false;
}
- inline bool jj_3R_714()
+ inline bool jj_3R_596()
{
if (jj_done) return true;
- if (jj_3R_378()) return true;
+ if (jj_scan_token(VARIABLE_T)) return true;
return false;
}
- inline bool jj_3R_593()
+ inline bool jj_3R_714()
{
if (jj_done) return true;
- if (jj_scan_token(CONSTANT_T)) return true;
+ if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3R_592()
+ inline bool jj_3R_595()
{
if (jj_done) return true;
- if (jj_scan_token(SUBTYPE_T)) return true;
+ if (jj_scan_token(SIGNAL_T)) return true;
return false;
}
- inline bool jj_3R_713()
+ inline bool jj_3R_594()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_scan_token(CONSTANT_T)) return true;
return false;
}
- inline bool jj_3R_591()
+ inline bool jj_3R_713()
{
if (jj_done) return true;
- if (jj_scan_token(TYPE_T)) return true;
+ if (jj_3R_377()) return true;
return false;
}
- inline bool jj_3R_590()
+ inline bool jj_3R_593()
{
if (jj_done) return true;
- if (jj_scan_token(PACKAGE_T)) return true;
+ if (jj_scan_token(SUBTYPE_T)) return true;
return false;
}
@@ -3480,10 +3806,10 @@ void parseInline();
return false;
}
- inline bool jj_3R_589()
+ inline bool jj_3R_592()
{
if (jj_done) return true;
- if (jj_scan_token(FUNCTION_T)) return true;
+ if (jj_scan_token(TYPE_T)) return true;
return false;
}
@@ -3494,24 +3820,24 @@ void parseInline();
return false;
}
- inline bool jj_3R_588()
+ inline bool jj_3R_591()
{
if (jj_done) return true;
- if (jj_scan_token(PROCEDURE_T)) return true;
+ if (jj_scan_token(PACKAGE_T)) return true;
return false;
}
inline bool jj_3R_710()
{
if (jj_done) return true;
- if (jj_3R_374()) return true;
+ if (jj_3R_373()) return true;
return false;
}
- inline bool jj_3R_587()
+ inline bool jj_3R_590()
{
if (jj_done) return true;
- if (jj_scan_token(CONFIGURATION_T)) return true;
+ if (jj_scan_token(FUNCTION_T)) return true;
return false;
}
@@ -3522,10 +3848,10 @@ void parseInline();
return false;
}
- inline bool jj_3R_586()
+ inline bool jj_3R_589()
{
if (jj_done) return true;
- if (jj_scan_token(ARCHITECTURE_T)) return true;
+ if (jj_scan_token(PROCEDURE_T)) return true;
return false;
}
@@ -3536,123 +3862,158 @@ void parseInline();
return false;
}
+ inline bool jj_3R_588()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(CONFIGURATION_T)) return true;
+ return false;
+ }
+
inline bool jj_3R_707()
{
if (jj_done) return true;
- if (jj_3R_370()) return true;
+ if (jj_3R_525()) return true;
return false;
}
- inline bool jj_3R_706()
+ inline bool jj_3R_587()
{
if (jj_done) return true;
- if (jj_3R_524()) return true;
+ if (jj_scan_token(ARCHITECTURE_T)) return true;
return false;
}
- inline bool jj_3R_585()
+ inline bool jj_3R_706()
{
if (jj_done) return true;
- if (jj_scan_token(ENTITY_T)) return true;
+ if (jj_3R_92()) return true;
return false;
}
- inline bool jj_3R_539()
+ inline bool jj_3R_702()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_585()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_586()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_587()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_588()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_589()) return false;
+ if (jj_3R_706()) {
jj_scanpos = xsp;
- if (!jj_3R_590()) return false;
+ if (jj_3R_707()) {
jj_scanpos = xsp;
- if (!jj_3R_591()) return false;
+ if (jj_3R_708()) {
jj_scanpos = xsp;
- if (!jj_3R_592()) return false;
+ if (jj_3R_709()) {
jj_scanpos = xsp;
- if (!jj_3R_593()) return false;
+ if (jj_3R_710()) {
jj_scanpos = xsp;
- if (!jj_3R_594()) return false;
+ if (jj_3R_711()) {
jj_scanpos = xsp;
- if (!jj_3R_595()) return false;
+ if (jj_3R_712()) {
jj_scanpos = xsp;
- if (!jj_3R_596()) return false;
+ if (jj_3R_713()) {
jj_scanpos = xsp;
- if (!jj_3R_597()) return false;
+ if (jj_3R_714()) {
jj_scanpos = xsp;
- if (!jj_3R_598()) return false;
+ if (jj_3R_715()) {
jj_scanpos = xsp;
- if (!jj_3R_599()) return false;
+ if (jj_3R_716()) {
jj_scanpos = xsp;
- if (!jj_3R_600()) return false;
+ if (jj_3_106()) {
jj_scanpos = xsp;
- if (jj_3R_601()) return true;
+ if (jj_3R_717()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_705()
+ inline bool jj_3R_586()
{
if (jj_done) return true;
- if (jj_3R_92()) return true;
+ if (jj_scan_token(ENTITY_T)) return true;
return false;
}
- inline bool jj_3R_701()
+ inline bool jj_3R_540()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_705()) return false;
+ if (jj_3R_586()) {
+ jj_scanpos = xsp;
+ if (jj_3R_587()) {
+ jj_scanpos = xsp;
+ if (jj_3R_588()) {
jj_scanpos = xsp;
- if (!jj_3R_706()) return false;
+ if (jj_3R_589()) {
jj_scanpos = xsp;
- if (!jj_3R_707()) return false;
+ if (jj_3R_590()) {
jj_scanpos = xsp;
- if (!jj_3R_708()) return false;
+ if (jj_3R_591()) {
jj_scanpos = xsp;
- if (!jj_3R_709()) return false;
+ if (jj_3R_592()) {
jj_scanpos = xsp;
- if (!jj_3R_710()) return false;
+ if (jj_3R_593()) {
jj_scanpos = xsp;
- if (!jj_3R_711()) return false;
+ if (jj_3R_594()) {
jj_scanpos = xsp;
- if (!jj_3R_712()) return false;
+ if (jj_3R_595()) {
jj_scanpos = xsp;
- if (!jj_3R_713()) return false;
+ if (jj_3R_596()) {
jj_scanpos = xsp;
- if (!jj_3R_714()) return false;
+ if (jj_3R_597()) {
jj_scanpos = xsp;
- if (!jj_3R_715()) return false;
+ if (jj_3R_598()) {
jj_scanpos = xsp;
- if (!jj_3_105()) return false;
+ if (jj_3R_599()) {
jj_scanpos = xsp;
- if (jj_3R_716()) return true;
+ if (jj_3R_600()) {
+ jj_scanpos = xsp;
+ if (jj_3R_601()) {
+ jj_scanpos = xsp;
+ if (jj_3R_602()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_563()
+ inline bool jj_3R_252()
{
if (jj_done) return true;
- if (jj_scan_token(OPEN_T)) return true;
+ if (jj_3R_364()) return true;
return false;
}
- inline bool jj_3R_251()
+ inline bool jj_3R_564()
{
if (jj_done) return true;
- if (jj_3R_363()) return true;
+ if (jj_scan_token(OPEN_T)) return true;
return false;
}
- inline bool jj_3R_562()
+ inline bool jj_3R_563()
{
if (jj_done) return true;
if (jj_scan_token(CONFIGURATION_T)) return true;
@@ -3660,35 +4021,37 @@ void parseInline();
return false;
}
- inline bool jj_3R_561()
+ inline bool jj_3R_562()
{
if (jj_done) return true;
if (jj_scan_token(ENTITY_T)) return true;
if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_619()) jj_scanpos = xsp;
+ if (jj_3R_620()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_505()
+ inline bool jj_3R_528()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_561()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_562()) return false;
- jj_scanpos = xsp;
- if (jj_3R_563()) return true;
+ if (jj_scan_token(AFTER_T)) return true;
+ if (jj_3R_58()) return true;
return false;
}
- inline bool jj_3R_527()
+ inline bool jj_3R_506()
{
if (jj_done) return true;
- if (jj_scan_token(AFTER_T)) return true;
- if (jj_3R_58()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_562()) {
+ jj_scanpos = xsp;
+ if (jj_3R_563()) {
+ jj_scanpos = xsp;
+ if (jj_3R_564()) return true;
+ }
+ }
return false;
}
@@ -3697,21 +4060,21 @@ void parseInline();
if (jj_done) return true;
if (jj_scan_token(PROTECTED_T)) return true;
if (jj_scan_token(BODY_T)) return true;
- if (jj_3R_679()) return true;
+ if (jj_3R_680()) return true;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(PROTECTED_T)) return true;
if (jj_scan_token(BODY_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_680()) jj_scanpos = xsp;
+ if (jj_3R_681()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_495()
+ inline bool jj_3R_496()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_444()) return true;
+ if (jj_3R_445()) return true;
return false;
}
@@ -3723,7 +4086,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_704()
+ inline bool jj_3R_705()
{
if (jj_done) return true;
if (jj_3R_196()) return true;
@@ -3740,24 +4103,24 @@ void parseInline();
return false;
}
- inline bool jj_3_29()
+ inline bool jj_3R_445()
{
if (jj_done) return true;
- if (jj_3R_83()) return true;
+ if (jj_3R_58()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_528()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_444()
+ inline bool jj_3_29()
{
if (jj_done) return true;
- if (jj_3R_58()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_527()) jj_scanpos = xsp;
+ if (jj_3R_83()) return true;
return false;
}
- inline bool jj_3R_358()
+ inline bool jj_3R_359()
{
if (jj_done) return true;
if (jj_3R_85()) return true;
@@ -3765,85 +4128,87 @@ void parseInline();
return false;
}
- inline bool jj_3R_235()
+ inline bool jj_3R_236()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_358()) jj_scanpos = xsp;
+ if (jj_3R_359()) jj_scanpos = xsp;
if (jj_3R_58()) return true;
return false;
}
- inline bool jj_3R_160()
+ inline bool jj_3R_407()
{
if (jj_done) return true;
- if (jj_3R_84()) return true;
+ if (jj_scan_token(UNAFFECTED_T)) return true;
return false;
}
- inline bool jj_3R_406()
+ inline bool jj_3R_160()
{
if (jj_done) return true;
- if (jj_scan_token(UNAFFECTED_T)) return true;
+ if (jj_3R_84()) return true;
return false;
}
- inline bool jj_3R_159()
+ inline bool jj_3R_318()
{
if (jj_done) return true;
- if (jj_3R_83()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_406()) {
+ jj_scanpos = xsp;
+ if (jj_3R_407()) return true;
+ }
return false;
}
- inline bool jj_3R_67()
+ inline bool jj_3R_406()
{
if (jj_done) return true;
+ if (jj_3R_445()) return true;
Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_159()) return false;
- jj_scanpos = xsp;
- if (jj_3R_160()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_496()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_317()
+ inline bool jj_3R_159()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_405()) return false;
- jj_scanpos = xsp;
- if (jj_3R_406()) return true;
+ if (jj_3R_83()) return true;
return false;
}
- inline bool jj_3R_405()
+ inline bool jj_3R_67()
{
if (jj_done) return true;
- if (jj_3R_444()) return true;
Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_495()) { jj_scanpos = xsp; break; }
+ xsp = jj_scanpos;
+ if (jj_3R_159()) {
+ jj_scanpos = xsp;
+ if (jj_3R_160()) return true;
}
return false;
}
- inline bool jj_3R_470()
+ inline bool jj_3R_251()
{
if (jj_done) return true;
- if (jj_3R_540()) return true;
+ if (jj_3R_69()) return true;
if (jj_scan_token(COLON_T)) return true;
- if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_250()
+ inline bool jj_3R_471()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_541()) return true;
if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_59()) return true;
return false;
}
@@ -3852,30 +4217,30 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_250()) jj_scanpos = xsp;
- if (jj_scan_token(WAIT_T)) return true;
- xsp = jj_scanpos;
if (jj_3R_251()) jj_scanpos = xsp;
+ if (jj_scan_token(WAIT_T)) return true;
xsp = jj_scanpos;
if (jj_3R_252()) jj_scanpos = xsp;
xsp = jj_scanpos;
if (jj_3R_253()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_254()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_380()
+ inline bool jj_3R_381()
{
if (jj_done) return true;
if (jj_scan_token(DISCONNECT_T)) return true;
- if (jj_3R_470()) return true;
+ if (jj_3R_471()) return true;
if (jj_scan_token(AFTER_T)) return true;
if (jj_3R_58()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_458()
+ inline bool jj_3R_459()
{
if (jj_done) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
@@ -3883,7 +4248,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_238()
+ inline bool jj_3R_239()
{
if (jj_done) return true;
if (jj_scan_token(DOWNTO_T)) return true;
@@ -3895,53 +4260,55 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_237()) return false;
+ if (jj_3R_238()) {
jj_scanpos = xsp;
- if (jj_3R_238()) return true;
+ if (jj_3R_239()) return true;
+ }
return false;
}
- inline bool jj_3R_237()
+ inline bool jj_3R_238()
{
if (jj_done) return true;
if (jj_scan_token(TO_T)) return true;
return false;
}
- inline bool jj_3R_568()
+ inline bool jj_3R_155()
{
if (jj_done) return true;
- if (jj_3R_309()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_154()) return true;
return false;
}
- inline bool jj_3R_155()
+ inline bool jj_3R_569()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_154()) return true;
+ if (jj_3R_310()) return true;
return false;
}
- inline bool jj_3R_567()
+ inline bool jj_3R_568()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_520()
+ inline bool jj_3R_521()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_567()) return false;
+ if (jj_3R_568()) {
jj_scanpos = xsp;
- if (jj_3R_568()) return true;
+ if (jj_3R_569()) return true;
+ }
return false;
}
- inline bool jj_3R_461()
+ inline bool jj_3R_462()
{
if (jj_done) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
@@ -3949,25 +4316,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_558()
- {
- if (jj_done) return true;
- if (jj_scan_token(REJECT_T)) return true;
- if (jj_3R_58()) return true;
- return false;
- }
-
- inline bool jj_3R_494()
- {
- if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_558()) jj_scanpos = xsp;
- if (jj_scan_token(INERTIAL_T)) return true;
- return false;
- }
-
- inline bool jj_3R_374()
+ inline bool jj_3R_375()
{
if (jj_done) return true;
Token * xsp;
@@ -3978,52 +4327,64 @@ void parseInline();
if (jj_scan_token(COLON_T)) return true;
if (jj_3R_84()) return true;
xsp = jj_scanpos;
- if (jj_3R_461()) jj_scanpos = xsp;
+ if (jj_3R_462()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_472()
+ inline bool jj_3R_559()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(REJECT_T)) return true;
+ if (jj_3R_58()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_473()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_471()) return true;
+ if (jj_3R_472()) return true;
return false;
}
- inline bool jj_3R_404()
+ inline bool jj_3R_495()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_493()) return false;
- jj_scanpos = xsp;
- if (jj_3R_494()) return true;
+ if (jj_3R_559()) jj_scanpos = xsp;
+ if (jj_scan_token(INERTIAL_T)) return true;
return false;
}
- inline bool jj_3R_493()
+ inline bool jj_3R_440()
{
if (jj_done) return true;
- if (jj_scan_token(TRANSPORT_T)) return true;
+ if (jj_3R_527()) return true;
return false;
}
- inline bool jj_3R_439()
+ inline bool jj_3R_405()
{
if (jj_done) return true;
- if (jj_3R_526()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_494()) {
+ jj_scanpos = xsp;
+ if (jj_3R_495()) return true;
+ }
return false;
}
- inline bool jj_3_28()
+ inline bool jj_3R_494()
{
if (jj_done) return true;
- if (jj_3R_82()) return true;
+ if (jj_scan_token(TRANSPORT_T)) return true;
return false;
}
- inline bool jj_3_103()
+ inline bool jj_3_104()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -4031,23 +4392,24 @@ void parseInline();
return false;
}
- inline bool jj_3R_361()
+ inline bool jj_3R_362()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_438()) return false;
+ if (jj_3R_439()) {
jj_scanpos = xsp;
- if (jj_3R_439()) return true;
+ if (jj_3R_440()) return true;
+ }
return false;
}
- inline bool jj_3R_438()
+ inline bool jj_3R_439()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_103()) jj_scanpos = xsp;
+ if (jj_3_104()) jj_scanpos = xsp;
if (jj_3R_114()) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
if (jj_3R_58()) return true;
@@ -4055,6 +4417,13 @@ void parseInline();
return false;
}
+ inline bool jj_3_28()
+ {
+ if (jj_done) return true;
+ if (jj_3R_82()) return true;
+ return false;
+ }
+
inline bool jj_3_27()
{
if (jj_done) return true;
@@ -4062,7 +4431,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_276()
+ inline bool jj_3R_277()
{
if (jj_done) return true;
if (jj_3R_82()) return true;
@@ -4074,43 +4443,34 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_275()) return false;
+ if (jj_3R_276()) {
jj_scanpos = xsp;
- if (jj_3R_276()) return true;
+ if (jj_3R_277()) return true;
+ }
return false;
}
- inline bool jj_3R_275()
+ inline bool jj_3R_276()
{
if (jj_done) return true;
if (jj_3R_81()) return true;
return false;
}
- inline bool jj_3R_381()
+ inline bool jj_3R_382()
{
if (jj_done) return true;
if (jj_scan_token(USE_T)) return true;
- if (jj_3R_471()) return true;
+ if (jj_3R_472()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_472()) { jj_scanpos = xsp; break; }
+ if (jj_3R_473()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_703()
- {
- if (jj_done) return true;
- if (jj_scan_token(ARRAY_T)) return true;
- if (jj_3R_82()) return true;
- if (jj_scan_token(OF_T)) return true;
- if (jj_3R_84()) return true;
- return false;
- }
-
inline bool jj_3R_62()
{
if (jj_done) return true;
@@ -4128,6 +4488,16 @@ void parseInline();
return false;
}
+ inline bool jj_3R_704()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(ARRAY_T)) return true;
+ if (jj_3R_82()) return true;
+ if (jj_scan_token(OF_T)) return true;
+ if (jj_3R_84()) return true;
+ return false;
+ }
+
inline bool jj_3R_157()
{
if (jj_done) return true;
@@ -4135,6 +4505,20 @@ void parseInline();
return false;
}
+ inline bool jj_3R_637()
+ {
+ if (jj_done) return true;
+ if (jj_3R_658()) return true;
+ return false;
+ }
+
+ inline bool jj_3_103()
+ {
+ if (jj_done) return true;
+ if (jj_3R_137()) return true;
+ return false;
+ }
+
inline bool jj_3R_636()
{
if (jj_done) return true;
@@ -4142,7 +4526,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_372()
+ inline bool jj_3R_373()
{
if (jj_done) return true;
if (jj_scan_token(CONSTANT_T)) return true;
@@ -4151,18 +4535,11 @@ void parseInline();
if (jj_3R_84()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_458()) jj_scanpos = xsp;
+ if (jj_3R_459()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_102()
- {
- if (jj_done) return true;
- if (jj_3R_137()) return true;
- return false;
- }
-
inline bool jj_3R_635()
{
if (jj_done) return true;
@@ -4184,103 +4561,103 @@ void parseInline();
return false;
}
- inline bool jj_3_26()
- {
- if (jj_done) return true;
- if (jj_3R_80()) return true;
- return false;
- }
-
- inline bool jj_3R_632()
- {
- if (jj_done) return true;
- if (jj_3R_653()) return true;
- return false;
- }
-
- inline bool jj_3R_576()
+ inline bool jj_3R_577()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_632()) return false;
+ if (jj_3R_633()) {
jj_scanpos = xsp;
- if (!jj_3R_633()) return false;
+ if (jj_3R_634()) {
jj_scanpos = xsp;
- if (!jj_3R_634()) return false;
+ if (jj_3R_635()) {
jj_scanpos = xsp;
- if (!jj_3R_635()) return false;
+ if (jj_3R_636()) {
jj_scanpos = xsp;
- if (!jj_3_102()) return false;
+ if (jj_3_103()) {
jj_scanpos = xsp;
- if (jj_3R_636()) return true;
+ if (jj_3R_637()) return true;
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_379()
+ inline bool jj_3_26()
+ {
+ if (jj_done) return true;
+ if (jj_3R_80()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_380()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
if (jj_3R_177()) return true;
- if (jj_3R_331()) return true;
+ if (jj_3R_332()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_566()
+ inline bool jj_3_99()
{
if (jj_done) return true;
- if (jj_3R_332()) return true;
+ if (jj_3R_133()) return true;
return false;
}
- inline bool jj_3_98()
+ inline bool jj_3R_458()
{
if (jj_done) return true;
- if (jj_3R_133()) return true;
+ if (jj_3R_532()) return true;
return false;
}
- inline bool jj_3R_457()
+ inline bool jj_3R_567()
{
if (jj_done) return true;
- if (jj_3R_531()) return true;
+ if (jj_3R_333()) return true;
return false;
}
- inline bool jj_3R_565()
+ inline bool jj_3_102()
{
if (jj_done) return true;
- if (jj_3R_80()) return true;
+ if (jj_3R_136()) return true;
return false;
}
- inline bool jj_3R_507()
+ inline bool jj_3R_371()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_565()) return false;
+ if (jj_3_102()) {
jj_scanpos = xsp;
- if (jj_3R_566()) return true;
+ if (jj_3R_458()) return true;
+ }
return false;
}
- inline bool jj_3_101()
+ inline bool jj_3R_566()
{
if (jj_done) return true;
- if (jj_3R_136()) return true;
+ if (jj_3R_80()) return true;
return false;
}
- inline bool jj_3R_370()
+ inline bool jj_3R_508()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3_101()) return false;
+ if (jj_3R_566()) {
jj_scanpos = xsp;
- if (jj_3R_457()) return true;
+ if (jj_3R_567()) return true;
+ }
return false;
}
@@ -4294,7 +4671,7 @@ void parseInline();
return false;
}
- inline bool jj_3_100()
+ inline bool jj_3_101()
{
if (jj_done) return true;
if (jj_3R_134()) return true;
@@ -4309,7 +4686,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_365()
+ inline bool jj_3R_366()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
@@ -4324,12 +4701,12 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3_100()) { jj_scanpos = xsp; break; }
+ if (jj_3_101()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_246()
+ inline bool jj_3R_247()
{
if (jj_done) return true;
if (jj_3R_108()) return true;
@@ -4341,80 +4718,73 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_245()) return false;
+ if (jj_3R_246()) {
jj_scanpos = xsp;
- if (jj_3R_246()) return true;
+ if (jj_3R_247()) return true;
+ }
return false;
}
- inline bool jj_3_99()
+ inline bool jj_3_100()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_245()
+ inline bool jj_3R_246()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3_25()
- {
- if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
- if (jj_scan_token(ELSE_T)) return true;
- return false;
- }
-
- inline bool jj_3R_349()
+ inline bool jj_3_98()
{
if (jj_done) return true;
- if (jj_scan_token(CONFIGURATION_T)) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3_97()
+ inline bool jj_3R_218()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_217()
+ inline bool jj_3_25()
{
if (jj_done) return true;
- if (jj_scan_token(ALL_T)) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_79()) return true;
+ if (jj_scan_token(ELSE_T)) return true;
return false;
}
- inline bool jj_3R_216()
+ inline bool jj_3R_350()
{
if (jj_done) return true;
- if (jj_3R_309()) return true;
+ if (jj_scan_token(CONFIGURATION_T)) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_215()
+ inline bool jj_3R_217()
{
if (jj_done) return true;
- if (jj_3R_351()) return true;
+ if (jj_3R_310()) return true;
return false;
}
- inline bool jj_3R_319()
+ inline bool jj_3R_216()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_79()) return true;
+ if (jj_3R_352()) return true;
return false;
}
- inline bool jj_3R_214()
+ inline bool jj_3R_215()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
@@ -4426,23 +4796,24 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_214()) return false;
+ if (jj_3R_215()) {
jj_scanpos = xsp;
- if (!jj_3R_215()) return false;
+ if (jj_3R_216()) {
jj_scanpos = xsp;
- if (!jj_3R_216()) return false;
+ if (jj_3R_217()) {
jj_scanpos = xsp;
- if (jj_3R_217()) return true;
+ if (jj_3R_218()) return true;
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_318()
+ inline bool jj_3R_320()
{
if (jj_done) return true;
if (jj_scan_token(WHEN_T)) return true;
if (jj_3R_79()) return true;
- if (jj_scan_token(ELSE_T)) return true;
- if (jj_3R_317()) return true;
return false;
}
@@ -4453,6 +4824,16 @@ void parseInline();
return false;
}
+ inline bool jj_3R_319()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_79()) return true;
+ if (jj_scan_token(ELSE_T)) return true;
+ if (jj_3R_318()) return true;
+ return false;
+ }
+
inline bool jj_3R_84()
{
if (jj_done) return true;
@@ -4468,14 +4849,14 @@ void parseInline();
inline bool jj_3R_167()
{
if (jj_done) return true;
- if (jj_3R_317()) return true;
+ if (jj_3R_318()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_318()) { jj_scanpos = xsp; break; }
+ if (jj_3R_319()) { jj_scanpos = xsp; break; }
}
xsp = jj_scanpos;
- if (jj_3R_319()) jj_scanpos = xsp;
+ if (jj_3R_320()) jj_scanpos = xsp;
return false;
}
@@ -4490,7 +4871,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_371()
+ inline bool jj_3R_372()
{
if (jj_done) return true;
if (jj_scan_token(SUBTYPE_T)) return true;
@@ -4501,25 +4882,25 @@ void parseInline();
return false;
}
- inline bool jj_3R_630()
+ inline bool jj_3R_631()
{
if (jj_done) return true;
if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3R_571()
+ inline bool jj_3R_572()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_630()) { jj_scanpos = xsp; break; }
+ if (jj_3R_631()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_364()
+ inline bool jj_3R_365()
{
if (jj_done) return true;
if (jj_scan_token(UNTIL_T)) return true;
@@ -4534,35 +4915,42 @@ void parseInline();
return false;
}
- inline bool jj_3R_523()
+ inline bool jj_3R_524()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_569()) return true;
+ if (jj_3R_570()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_24()
+ inline bool jj_3R_522()
{
if (jj_done) return true;
- if (jj_3R_78()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_313()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_521()
+ inline bool jj_3_97()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_312()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_132()) return true;
+ return false;
+ }
+
+ inline bool jj_3_24()
+ {
+ if (jj_done) return true;
+ if (jj_3R_78()) return true;
return false;
}
inline bool jj_3_96()
{
if (jj_done) return true;
- if (jj_3R_132()) return true;
+ if (jj_3R_131()) return true;
return false;
}
@@ -4573,10 +4961,15 @@ void parseInline();
return false;
}
- inline bool jj_3_95()
+ inline bool jj_3R_523()
{
if (jj_done) return true;
- if (jj_3R_131()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(84)) {
+ jj_scanpos = xsp;
+ if (jj_scan_token(52)) return true;
+ }
return false;
}
@@ -4595,14 +4988,18 @@ void parseInline();
return false;
}
- inline bool jj_3R_522()
+ inline bool jj_3R_433()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(84)) return false;
- jj_scanpos = xsp;
- if (jj_scan_token(52)) return true;
+ if (jj_3R_523()) jj_scanpos = xsp;
+ if (jj_scan_token(FUNCTION_T)) return true;
+ if (jj_3R_521()) return true;
+ xsp = jj_scanpos;
+ if (jj_3R_524()) jj_scanpos = xsp;
+ if (jj_scan_token(RETURN_T)) return true;
+ if (jj_3R_157()) return true;
return false;
}
@@ -4618,29 +5015,14 @@ void parseInline();
return false;
}
- inline bool jj_3R_503()
+ inline bool jj_3R_504()
{
if (jj_done) return true;
if (jj_3R_78()) return true;
return false;
}
- inline bool jj_3R_432()
- {
- if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_522()) jj_scanpos = xsp;
- if (jj_scan_token(FUNCTION_T)) return true;
- if (jj_3R_520()) return true;
- xsp = jj_scanpos;
- if (jj_3R_523()) jj_scanpos = xsp;
- if (jj_scan_token(RETURN_T)) return true;
- if (jj_3R_157()) return true;
- return false;
- }
-
- inline bool jj_3R_502()
+ inline bool jj_3R_503()
{
if (jj_done) return true;
if (jj_3R_77()) return true;
@@ -4662,7 +5044,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_501()
+ inline bool jj_3R_502()
{
if (jj_done) return true;
if (jj_3R_76()) return true;
@@ -4689,7 +5071,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_500()
+ inline bool jj_3R_501()
{
if (jj_done) return true;
if (jj_3R_87()) return true;
@@ -4706,94 +5088,111 @@ void parseInline();
return false;
}
- inline bool jj_3R_499()
- {
- if (jj_done) return true;
- if (jj_3R_560()) return true;
- return false;
- }
-
- inline bool jj_3R_498()
+ inline bool jj_3R_500()
{
if (jj_done) return true;
- if (jj_3R_74()) return true;
+ if (jj_3R_561()) return true;
return false;
}
- inline bool jj_3R_346()
+ inline bool jj_3R_347()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_431()) return false;
+ if (jj_3R_432()) {
jj_scanpos = xsp;
- if (jj_3R_432()) return true;
+ if (jj_3R_433()) return true;
+ }
return false;
}
- inline bool jj_3R_431()
+ inline bool jj_3R_432()
{
if (jj_done) return true;
if (jj_scan_token(PROCEDURE_T)) return true;
- if (jj_3R_520()) return true;
+ if (jj_3R_521()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_521()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3_95()) jj_scanpos = xsp;
+ if (jj_3R_522()) jj_scanpos = xsp;
xsp = jj_scanpos;
if (jj_3_96()) jj_scanpos = xsp;
- if (jj_3R_423()) return true;
+ xsp = jj_scanpos;
+ if (jj_3_97()) jj_scanpos = xsp;
+ if (jj_3R_424()) return true;
return false;
}
- inline bool jj_3R_497()
+ inline bool jj_3R_499()
+ {
+ if (jj_done) return true;
+ if (jj_3R_74()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_498()
{
if (jj_done) return true;
if (jj_3R_88()) return true;
return false;
}
- inline bool jj_3R_409()
+ inline bool jj_3R_632()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_496()) return false;
+ if (jj_scan_token(46)) {
jj_scanpos = xsp;
- if (!jj_3R_497()) return false;
+ if (jj_scan_token(80)) return true;
+ }
+ return false;
+ }
+
+ inline bool jj_3R_410()
+ {
+ if (jj_done) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_497()) {
+ jj_scanpos = xsp;
+ if (jj_3R_498()) {
jj_scanpos = xsp;
- if (!jj_3R_498()) return false;
+ if (jj_3R_499()) {
jj_scanpos = xsp;
- if (!jj_3R_499()) return false;
+ if (jj_3R_500()) {
jj_scanpos = xsp;
- if (!jj_3R_500()) return false;
+ if (jj_3R_501()) {
jj_scanpos = xsp;
- if (!jj_3R_501()) return false;
+ if (jj_3R_502()) {
jj_scanpos = xsp;
- if (!jj_3R_502()) return false;
+ if (jj_3R_503()) {
jj_scanpos = xsp;
- if (!jj_3R_503()) return false;
+ if (jj_3R_504()) {
jj_scanpos = xsp;
if (jj_scan_token(189)) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_496()
+ inline bool jj_3R_497()
{
if (jj_done) return true;
- if (jj_3R_559()) return true;
+ if (jj_3R_560()) return true;
return false;
}
- inline bool jj_3R_631()
+ inline bool jj_3R_630()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_scan_token(46)) return false;
- jj_scanpos = xsp;
- if (jj_scan_token(80)) return true;
+ if (jj_3R_653()) return true;
return false;
}
@@ -4804,35 +5203,35 @@ void parseInline();
return false;
}
- inline bool jj_3R_629()
+ inline bool jj_3R_571()
{
if (jj_done) return true;
- if (jj_3R_652()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_630()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_570()
+ inline bool jj_3_94()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_629()) { jj_scanpos = xsp; break; }
- }
+ if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3R_172()
+ inline bool jj_3R_675()
{
if (jj_done) return true;
- if (jj_3R_324()) return true;
+ if (jj_3R_383()) return true;
return false;
}
- inline bool jj_3_93()
+ inline bool jj_3R_172()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_325()) return true;
return false;
}
@@ -4858,39 +5257,33 @@ void parseInline();
return false;
}
- inline bool jj_3R_76()
+ inline bool jj_3_95()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3_16()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (!jj_3R_171()) return false;
- jj_scanpos = xsp;
- if (jj_3R_172()) return true;
+ if (jj_3R_65()) return true;
return false;
}
inline bool jj_3R_673()
{
if (jj_done) return true;
- if (jj_3R_381()) return true;
- return false;
- }
-
- inline bool jj_3_94()
- {
- if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_379()) return true;
return false;
}
- inline bool jj_3R_672()
+ inline bool jj_3R_76()
{
if (jj_done) return true;
- if (jj_3R_378()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_16()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_171()) {
+ jj_scanpos = xsp;
+ if (jj_3R_172()) return true;
+ }
return false;
}
@@ -4902,23 +5295,17 @@ void parseInline();
return false;
}
- inline bool jj_3R_78()
+ inline bool jj_3R_672()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3_15()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_scan_token(79)) jj_scanpos = xsp;
- if (jj_3R_176()) return true;
- if (jj_scan_token(SEMI_T)) return true;
+ if (jj_3R_64()) return true;
return false;
}
inline bool jj_3R_671()
{
if (jj_done) return true;
- if (jj_3R_64()) return true;
+ if (jj_3R_377()) return true;
return false;
}
@@ -4936,53 +5323,66 @@ void parseInline();
return false;
}
- inline bool jj_3_14()
+ inline bool jj_3R_574()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_521()) return true;
return false;
}
- inline bool jj_3_13()
+ inline bool jj_3R_78()
{
if (jj_done) return true;
- if (jj_3R_70()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3_15()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_scan_token(79)) jj_scanpos = xsp;
+ if (jj_3R_176()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
inline bool jj_3R_668()
{
if (jj_done) return true;
- if (jj_3R_374()) return true;
+ if (jj_3R_373()) return true;
return false;
}
- inline bool jj_3R_573()
+ inline bool jj_3R_667()
{
if (jj_done) return true;
- if (jj_3R_520()) return true;
+ if (jj_3R_372()) return true;
return false;
}
- inline bool jj_3R_667()
+ inline bool jj_3R_666()
{
if (jj_done) return true;
- if (jj_3R_372()) return true;
+ if (jj_3R_525()) return true;
return false;
}
- inline bool jj_3R_666()
+ inline bool jj_3_14()
{
if (jj_done) return true;
- if (jj_3R_371()) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(COLON_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3_13()
+ {
+ if (jj_done) return true;
+ if (jj_3R_70()) return true;
return false;
}
inline bool jj_3R_665()
{
if (jj_done) return true;
- if (jj_3R_524()) return true;
+ if (jj_3R_371()) return true;
return false;
}
@@ -4999,93 +5399,85 @@ void parseInline();
return false;
}
- inline bool jj_3R_678()
- {
- if (jj_done) return true;
- if (jj_3R_690()) return true;
- return false;
- }
-
inline bool jj_3R_664()
{
if (jj_done) return true;
- if (jj_3R_370()) return true;
- return false;
- }
-
- inline bool jj_3R_677()
- {
- if (jj_done) return true;
- if (jj_3R_689()) return true;
- return false;
- }
-
- inline bool jj_3R_663()
- {
- if (jj_done) return true;
if (jj_3R_92()) return true;
return false;
}
- inline bool jj_3R_654()
- {
- if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_677()) return false;
- jj_scanpos = xsp;
- if (jj_3R_678()) return true;
- return false;
- }
-
- inline bool jj_3R_652()
+ inline bool jj_3R_653()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_663()) return false;
+ if (jj_3R_664()) {
jj_scanpos = xsp;
- if (!jj_3R_664()) return false;
+ if (jj_3R_665()) {
jj_scanpos = xsp;
- if (!jj_3R_665()) return false;
+ if (jj_3R_666()) {
jj_scanpos = xsp;
- if (!jj_3R_666()) return false;
+ if (jj_3R_667()) {
jj_scanpos = xsp;
- if (!jj_3R_667()) return false;
+ if (jj_3R_668()) {
jj_scanpos = xsp;
- if (!jj_3R_668()) return false;
+ if (jj_3R_669()) {
jj_scanpos = xsp;
- if (!jj_3R_669()) return false;
+ if (jj_3R_670()) {
jj_scanpos = xsp;
- if (!jj_3R_670()) return false;
+ if (jj_3R_671()) {
jj_scanpos = xsp;
- if (!jj_3R_671()) return false;
+ if (jj_3R_672()) {
jj_scanpos = xsp;
- if (!jj_3R_672()) return false;
+ if (jj_3R_673()) {
jj_scanpos = xsp;
- if (!jj_3R_673()) return false;
+ if (jj_3R_674()) {
jj_scanpos = xsp;
- if (!jj_3_94()) return false;
+ if (jj_3_95()) {
jj_scanpos = xsp;
- if (jj_3R_674()) return true;
+ if (jj_3R_675()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_175()
+ inline bool jj_3R_679()
{
if (jj_done) return true;
- if (jj_3R_328()) return true;
+ if (jj_3R_691()) return true;
return false;
}
- inline bool jj_3R_174()
+ inline bool jj_3R_678()
{
if (jj_done) return true;
- if (jj_3R_70()) return true;
+ if (jj_3R_690()) return true;
return false;
}
- inline bool jj_3R_460()
+ inline bool jj_3R_655()
+ {
+ if (jj_done) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_678()) {
+ jj_scanpos = xsp;
+ if (jj_3R_679()) return true;
+ }
+ return false;
+ }
+
+ inline bool jj_3R_461()
{
if (jj_done) return true;
if (jj_scan_token(VARASSIGN_T)) return true;
@@ -5093,42 +5485,57 @@ void parseInline();
return false;
}
- inline bool jj_3R_468()
+ inline bool jj_3R_175()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ if (jj_3R_329()) return true;
return false;
}
- inline bool jj_3_92()
+ inline bool jj_3R_174()
+ {
+ if (jj_done) return true;
+ if (jj_3R_70()) return true;
+ return false;
+ }
+
+ inline bool jj_3_93()
{
if (jj_done) return true;
if (jj_3R_130()) return true;
return false;
}
- inline bool jj_3R_347()
+ inline bool jj_3R_348()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_433()) return false;
+ if (jj_3R_434()) {
jj_scanpos = xsp;
if (jj_scan_token(137)) return true;
+ }
return false;
}
- inline bool jj_3R_433()
+ inline bool jj_3R_434()
{
if (jj_done) return true;
- if (jj_3R_524()) return true;
+ if (jj_3R_525()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_469()
+ {
+ if (jj_done) return true;
+ if (jj_3R_69()) return true;
return false;
}
inline bool jj_3R_177()
{
if (jj_done) return true;
- if (jj_3R_330()) return true;
+ if (jj_3R_331()) return true;
if (jj_scan_token(COLON_T)) return true;
if (jj_3R_59()) return true;
return false;
@@ -5137,15 +5544,15 @@ void parseInline();
inline bool jj_3R_200()
{
if (jj_done) return true;
- if (jj_3R_346()) return true;
if (jj_3R_347()) return true;
+ if (jj_3R_348()) return true;
return false;
}
- inline bool jj_3R_572()
+ inline bool jj_3R_573()
{
if (jj_done) return true;
- if (jj_3R_631()) return true;
+ if (jj_3R_632()) return true;
return false;
}
@@ -5161,13 +5568,29 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_199()) return false;
+ if (jj_3R_199()) {
jj_scanpos = xsp;
if (jj_3R_200()) return true;
+ }
return false;
}
- inline bool jj_3_91()
+ inline bool jj_3_92()
+ {
+ if (jj_done) return true;
+ if (jj_3R_128()) return true;
+ if (jj_3R_129()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_460()
+ {
+ if (jj_done) return true;
+ if (jj_3R_533()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_162()
{
if (jj_done) return true;
if (jj_3R_128()) return true;
@@ -5190,46 +5613,48 @@ void parseInline();
return false;
}
- inline bool jj_3R_459()
- {
- if (jj_done) return true;
- if (jj_3R_532()) return true;
- return false;
- }
-
- inline bool jj_3R_162()
+ inline bool jj_3R_468()
{
if (jj_done) return true;
- if (jj_3R_128()) return true;
- if (jj_3R_129()) return true;
+ if (jj_3R_538()) return true;
return false;
}
inline bool jj_3R_467()
{
if (jj_done) return true;
- if (jj_3R_537()) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_466()
+ inline bool jj_3R_179()
{
if (jj_done) return true;
- if (jj_3R_66()) return true;
+ if (jj_3R_333()) return true;
return false;
}
- inline bool jj_3R_179()
+ inline bool jj_3R_178()
{
if (jj_done) return true;
if (jj_3R_332()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_178()
+ inline bool jj_3R_525()
{
if (jj_done) return true;
- if (jj_3R_331()) return true;
+ if (jj_scan_token(IS_T)) return true;
+ if (jj_3R_571()) return true;
+ if (jj_scan_token(BEGIN_T)) return true;
+ if (jj_3R_572()) return true;
+ if (jj_scan_token(END_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_573()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_574()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
@@ -5242,7 +5667,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_377()
+ inline bool jj_3R_378()
{
if (jj_done) return true;
if (jj_scan_token(COMPONENT_T)) return true;
@@ -5251,30 +5676,13 @@ void parseInline();
xsp = jj_scanpos;
if (jj_scan_token(56)) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_466()) jj_scanpos = xsp;
- xsp = jj_scanpos;
if (jj_3R_467()) jj_scanpos = xsp;
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(COMPONENT_T)) return true;
xsp = jj_scanpos;
if (jj_3R_468()) jj_scanpos = xsp;
- if (jj_scan_token(SEMI_T)) return true;
- return false;
- }
-
- inline bool jj_3R_524()
- {
- if (jj_done) return true;
- if (jj_scan_token(IS_T)) return true;
- if (jj_3R_570()) return true;
- if (jj_scan_token(BEGIN_T)) return true;
- if (jj_3R_571()) return true;
if (jj_scan_token(END_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_572()) jj_scanpos = xsp;
+ if (jj_scan_token(COMPONENT_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_573()) jj_scanpos = xsp;
+ if (jj_3R_469()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
@@ -5295,7 +5703,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_355()
+ inline bool jj_3R_356()
{
if (jj_done) return true;
if (jj_scan_token(STRINGLITERAL)) return true;
@@ -5328,6 +5736,14 @@ void parseInline();
return false;
}
+ inline bool jj_3R_435()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_59()) return true;
+ return false;
+ }
+
inline bool jj_3_10()
{
if (jj_done) return true;
@@ -5335,57 +5751,65 @@ void parseInline();
return false;
}
- inline bool jj_3R_336()
+ inline bool jj_3R_337()
{
if (jj_done) return true;
if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_434()
+ inline bool jj_3R_161()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_314()) return true;
return false;
}
- inline bool jj_3R_335()
+ inline bool jj_3R_336()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_334()
+ inline bool jj_3R_68()
+ {
+ if (jj_done) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_161()) jj_scanpos = xsp;
+ if (jj_3R_129()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_162()) { jj_scanpos = xsp; break; }
+ }
+ return false;
+ }
+
+ inline bool jj_3R_335()
{
if (jj_done) return true;
if (jj_3R_68()) return true;
return false;
}
- inline bool jj_3R_161()
+ inline bool jj_3R_358()
{
if (jj_done) return true;
- if (jj_3R_313()) return true;
+ if (jj_scan_token(RETURN_T)) return true;
+ if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_68()
+ inline bool jj_3R_639()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_161()) jj_scanpos = xsp;
- if (jj_3R_129()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_162()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_627()
+ inline bool jj_3R_628()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -5397,17 +5821,20 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_333()) return false;
+ if (jj_3R_334()) {
jj_scanpos = xsp;
- if (!jj_3R_334()) return false;
+ if (jj_3R_335()) {
jj_scanpos = xsp;
- if (!jj_3R_335()) return false;
+ if (jj_3R_336()) {
jj_scanpos = xsp;
- if (jj_3R_336()) return true;
+ if (jj_3R_337()) return true;
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_333()
+ inline bool jj_3R_334()
{
if (jj_done) return true;
if (jj_3R_67()) return true;
@@ -5417,39 +5844,36 @@ void parseInline();
inline bool jj_3R_357()
{
if (jj_done) return true;
- if (jj_scan_token(RETURN_T)) return true;
- if (jj_3R_59()) return true;
- return false;
- }
-
- inline bool jj_3R_638()
- {
- if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
if (jj_3R_59()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_435()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_356()
+ inline bool jj_3R_234()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ if (jj_scan_token(LBRACKET_T)) return true;
Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_434()) { jj_scanpos = xsp; break; }
- }
+ xsp = jj_scanpos;
+ if (jj_3R_357()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_358()) jj_scanpos = xsp;
+ if (jj_scan_token(RBRACKET_T)) return true;
return false;
}
- inline bool jj_3R_351()
+ inline bool jj_3R_352()
{
if (jj_done) return true;
if (jj_scan_token(CHARACTER_LITERAL)) return true;
return false;
}
- inline bool jj_3R_613()
+ inline bool jj_3R_614()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -5458,108 +5882,98 @@ void parseInline();
return false;
}
- inline bool jj_3R_233()
- {
- if (jj_done) return true;
- if (jj_scan_token(LBRACKET_T)) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_356()) jj_scanpos = xsp;
- xsp = jj_scanpos;
- if (jj_3R_357()) jj_scanpos = xsp;
- if (jj_scan_token(RBRACKET_T)) return true;
- return false;
- }
-
- inline bool jj_3R_604()
+ inline bool jj_3R_605()
{
if (jj_done) return true;
if (jj_scan_token(ALL_T)) return true;
return false;
}
- inline bool jj_3R_603()
+ inline bool jj_3R_604()
{
if (jj_done) return true;
if (jj_scan_token(OTHER_T)) return true;
return false;
}
- inline bool jj_3R_602()
+ inline bool jj_3R_603()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_638()) { jj_scanpos = xsp; break; }
+ if (jj_3R_639()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_540()
+ inline bool jj_3R_541()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_602()) return false;
+ if (jj_3R_603()) {
jj_scanpos = xsp;
- if (!jj_3R_603()) return false;
+ if (jj_3R_604()) {
jj_scanpos = xsp;
- if (jj_3R_604()) return true;
+ if (jj_3R_605()) return true;
+ }
+ }
return false;
}
- inline bool jj_3R_578()
+ inline bool jj_3R_579()
{
if (jj_done) return true;
if (jj_scan_token(BUS_T)) return true;
return false;
}
- inline bool jj_3R_625()
+ inline bool jj_3R_578()
{
if (jj_done) return true;
- if (jj_scan_token(WHEN_T)) return true;
- if (jj_3R_85()) return true;
- if (jj_scan_token(ARROW_T)) return true;
- if (jj_3R_258()) return true;
+ if (jj_scan_token(REGISTER_T)) return true;
return false;
}
- inline bool jj_3R_617()
+ inline bool jj_3R_533()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_578()) {
+ jj_scanpos = xsp;
+ if (jj_3R_579()) return true;
+ }
return false;
}
inline bool jj_3R_626()
{
if (jj_done) return true;
- if (jj_3R_625()) return true;
+ if (jj_scan_token(WHEN_T)) return true;
+ if (jj_3R_85()) return true;
+ if (jj_scan_token(ARROW_T)) return true;
+ if (jj_3R_259()) return true;
return false;
}
- inline bool jj_3R_577()
+ inline bool jj_3R_618()
{
if (jj_done) return true;
- if (jj_scan_token(REGISTER_T)) return true;
+ if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_532()
+ inline bool jj_3R_627()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_577()) return false;
- jj_scanpos = xsp;
- if (jj_3R_578()) return true;
+ if (jj_3R_626()) return true;
return false;
}
- inline bool jj_3R_659()
+ inline bool jj_3R_660()
{
if (jj_done) return true;
if (jj_3R_70()) return true;
@@ -5567,7 +5981,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_373()
+ inline bool jj_3R_374()
{
if (jj_done) return true;
if (jj_scan_token(SIGNAL_T)) return true;
@@ -5576,14 +5990,14 @@ void parseInline();
if (jj_3R_84()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_459()) jj_scanpos = xsp;
- xsp = jj_scanpos;
if (jj_3R_460()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_461()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_255()
+ inline bool jj_3R_256()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -5591,68 +6005,68 @@ void parseInline();
return false;
}
+ inline bool jj_3_91()
+ {
+ if (jj_done) return true;
+ if (jj_3R_127()) return true;
+ return false;
+ }
+
inline bool jj_3R_121()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_255()) jj_scanpos = xsp;
+ if (jj_3R_256()) jj_scanpos = xsp;
if (jj_scan_token(CASE_T)) return true;
if (jj_3R_58()) return true;
if (jj_scan_token(IS_T)) return true;
- if (jj_3R_625()) return true;
+ if (jj_3R_626()) return true;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_626()) { jj_scanpos = xsp; break; }
+ if (jj_3R_627()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(CASE_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_627()) jj_scanpos = xsp;
+ if (jj_3R_628()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_650()
+ inline bool jj_3R_651()
{
if (jj_done) return true;
- if (jj_3R_409()) return true;
+ if (jj_3R_410()) return true;
return false;
}
inline bool jj_3_90()
{
if (jj_done) return true;
- if (jj_3R_127()) return true;
+ if (jj_3R_126()) return true;
return false;
}
- inline bool jj_3R_616()
+ inline bool jj_3R_617()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_650()) { jj_scanpos = xsp; break; }
+ if (jj_3R_651()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3_89()
- {
- if (jj_done) return true;
- if (jj_3R_126()) return true;
- return false;
- }
-
- inline bool jj_3R_525()
+ inline bool jj_3R_526()
{
if (jj_done) return true;
- if (jj_3R_404()) return true;
+ if (jj_3R_405()) return true;
return false;
}
- inline bool jj_3_88()
+ inline bool jj_3_89()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -5660,74 +6074,76 @@ void parseInline();
return false;
}
- inline bool jj_3R_437()
+ inline bool jj_3R_438()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_88()) jj_scanpos = xsp;
+ if (jj_3_89()) jj_scanpos = xsp;
if (jj_3R_114()) return true;
if (jj_scan_token(LESSTHAN_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_525()) jj_scanpos = xsp;
- if (jj_3R_317()) return true;
+ if (jj_3R_526()) jj_scanpos = xsp;
+ if (jj_3R_318()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_660()
- {
- if (jj_done) return true;
- if (jj_3R_328()) return true;
- if (jj_scan_token(SEMI_T)) return true;
- return false;
- }
-
- inline bool jj_3R_436()
+ inline bool jj_3R_437()
{
if (jj_done) return true;
if (jj_3R_127()) return true;
return false;
}
- inline bool jj_3R_386()
+ inline bool jj_3R_387()
{
if (jj_done) return true;
- if (jj_3R_476()) return true;
+ if (jj_3R_477()) return true;
if (jj_3R_68()) return true;
return false;
}
- inline bool jj_3R_506()
+ inline bool jj_3R_661()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_564()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_329()) return true;
+ if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_360()
+ inline bool jj_3R_361()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_435()) return false;
+ if (jj_3R_436()) {
jj_scanpos = xsp;
- if (!jj_3R_436()) return false;
+ if (jj_3R_437()) {
jj_scanpos = xsp;
- if (jj_3R_437()) return true;
+ if (jj_3R_438()) return true;
+ }
+ }
return false;
}
- inline bool jj_3R_435()
+ inline bool jj_3R_436()
{
if (jj_done) return true;
if (jj_3R_126()) return true;
return false;
}
- inline bool jj_3R_559()
+ inline bool jj_3R_507()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_565()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_560()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -5735,194 +6151,207 @@ void parseInline();
if (jj_scan_token(BLOCK_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_613()) jj_scanpos = xsp;
+ if (jj_3R_614()) jj_scanpos = xsp;
xsp = jj_scanpos;
if (jj_scan_token(56)) jj_scanpos = xsp;
- if (jj_3R_614()) return true;
if (jj_3R_615()) return true;
- if (jj_scan_token(BEGIN_T)) return true;
if (jj_3R_616()) return true;
+ if (jj_scan_token(BEGIN_T)) return true;
+ if (jj_3R_617()) return true;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(BLOCK_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_617()) jj_scanpos = xsp;
+ if (jj_3R_618()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3_9()
+ inline bool jj_3R_402()
{
if (jj_done) return true;
- if (jj_3R_66()) return true;
+ if (jj_scan_token(MINUS_T)) return true;
return false;
}
- inline bool jj_3R_401()
+ inline bool jj_3_9()
{
if (jj_done) return true;
- if (jj_scan_token(MINUS_T)) return true;
+ if (jj_3R_66()) return true;
return false;
}
- inline bool jj_3R_418()
+ inline bool jj_3R_314()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_506()) jj_scanpos = xsp;
+ if (jj_3R_401()) {
+ jj_scanpos = xsp;
+ if (jj_3R_402()) return true;
+ }
return false;
}
- inline bool jj_3R_313()
+ inline bool jj_3R_401()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_400()) return false;
- jj_scanpos = xsp;
- if (jj_3R_401()) return true;
+ if (jj_scan_token(PLUS_T)) return true;
return false;
}
- inline bool jj_3R_400()
+ inline bool jj_3R_552()
{
if (jj_done) return true;
- if (jj_scan_token(PLUS_T)) return true;
+ if (jj_scan_token(ROR_T)) return true;
return false;
}
- inline bool jj_3R_551()
+ inline bool jj_3R_419()
{
if (jj_done) return true;
- if (jj_scan_token(ROR_T)) return true;
+ if (jj_3R_59()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_507()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_550()
+ inline bool jj_3R_551()
{
if (jj_done) return true;
if (jj_scan_token(ROL_T)) return true;
return false;
}
- inline bool jj_3R_648()
+ inline bool jj_3R_550()
{
if (jj_done) return true;
- if (jj_3R_537()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_660()) jj_scanpos = xsp;
+ if (jj_scan_token(SRA_T)) return true;
return false;
}
inline bool jj_3R_549()
{
if (jj_done) return true;
- if (jj_scan_token(SRA_T)) return true;
+ if (jj_scan_token(SLA_T)) return true;
return false;
}
inline bool jj_3R_548()
{
if (jj_done) return true;
- if (jj_scan_token(SLA_T)) return true;
+ if (jj_scan_token(SRL_T)) return true;
return false;
}
- inline bool jj_3R_647()
+ inline bool jj_3R_649()
+ {
+ if (jj_done) return true;
+ if (jj_3R_538()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_661()) jj_scanpos = xsp;
+ return false;
+ }
+
+ inline bool jj_3R_648()
{
if (jj_done) return true;
if (jj_3R_66()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_659()) jj_scanpos = xsp;
+ if (jj_3R_660()) jj_scanpos = xsp;
return false;
}
inline bool jj_3R_547()
{
if (jj_done) return true;
- if (jj_scan_token(SRL_T)) return true;
+ if (jj_scan_token(SLL_T)) return true;
return false;
}
- inline bool jj_3R_614()
+ inline bool jj_3R_477()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_647()) jj_scanpos = xsp;
+ if (jj_3R_547()) {
+ jj_scanpos = xsp;
+ if (jj_3R_548()) {
+ jj_scanpos = xsp;
+ if (jj_3R_549()) {
+ jj_scanpos = xsp;
+ if (jj_3R_550()) {
+ jj_scanpos = xsp;
+ if (jj_3R_551()) {
+ jj_scanpos = xsp;
+ if (jj_3R_552()) return true;
+ }
+ }
+ }
+ }
+ }
+ return false;
+ }
+
+ inline bool jj_3R_615()
+ {
+ if (jj_done) return true;
+ Token * xsp;
xsp = jj_scanpos;
if (jj_3R_648()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_649()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_649()
+ inline bool jj_3R_650()
{
if (jj_done) return true;
if (jj_3R_140()) return true;
return false;
}
- inline bool jj_3R_615()
+ inline bool jj_3R_616()
{
if (jj_done) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_649()) { jj_scanpos = xsp; break; }
+ if (jj_3R_650()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_546()
- {
- if (jj_done) return true;
- if (jj_scan_token(SLL_T)) return true;
- return false;
- }
-
- inline bool jj_3R_476()
+ inline bool jj_3R_307()
{
if (jj_done) return true;
+ if (jj_3R_68()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_546()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_547()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_548()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_549()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_550()) return false;
- jj_scanpos = xsp;
- if (jj_3R_551()) return true;
+ if (jj_3R_387()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_306()
+ inline bool jj_3R_245()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_386()) jj_scanpos = xsp;
+ if (jj_3R_363()) return true;
return false;
}
- inline bool jj_3R_299()
+ inline bool jj_3R_300()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_3R_383()) return true;
return false;
}
- inline bool jj_3R_244()
+ inline bool jj_3_88()
{
if (jj_done) return true;
- if (jj_3R_362()) return true;
+ if (jj_3R_125()) return true;
return false;
}
@@ -5936,7 +6365,7 @@ void parseInline();
inline bool jj_3_87()
{
if (jj_done) return true;
- if (jj_3R_125()) return true;
+ if (jj_3R_124()) return true;
return false;
}
@@ -5947,6 +6376,13 @@ void parseInline();
return false;
}
+ inline bool jj_3R_299()
+ {
+ if (jj_done) return true;
+ if (jj_3R_382()) return true;
+ return false;
+ }
+
inline bool jj_3R_298()
{
if (jj_done) return true;
@@ -5961,78 +6397,78 @@ void parseInline();
return false;
}
- inline bool jj_3R_296()
+ inline bool jj_3_86()
{
if (jj_done) return true;
- if (jj_3R_379()) return true;
+ if (jj_3R_123()) return true;
return false;
}
- inline bool jj_3_86()
+ inline bool jj_3R_296()
{
if (jj_done) return true;
- if (jj_3R_124()) return true;
+ if (jj_3R_379()) return true;
return false;
}
- inline bool jj_3R_295()
+ inline bool jj_3R_118()
{
if (jj_done) return true;
- if (jj_3R_378()) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
- inline bool jj_3_85()
+ inline bool jj_3_81()
{
if (jj_done) return true;
- if (jj_3R_123()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_118()) jj_scanpos = xsp;
+ if (jj_3R_114()) return true;
+ if (jj_scan_token(VARASSIGN_T)) return true;
return false;
}
- inline bool jj_3R_118()
+ inline bool jj_3_85()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
+ if (jj_3R_122()) return true;
return false;
}
- inline bool jj_3R_293()
+ inline bool jj_3R_294()
{
if (jj_done) return true;
- if (jj_3R_377()) return true;
+ if (jj_3R_378()) return true;
return false;
}
- inline bool jj_3R_294()
+ inline bool jj_3R_295()
{
if (jj_done) return true;
if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3R_292()
+ inline bool jj_3_84()
{
if (jj_done) return true;
- if (jj_3R_376()) return true;
+ if (jj_3R_121()) return true;
return false;
}
- inline bool jj_3_80()
+ inline bool jj_3R_293()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (jj_3R_118()) jj_scanpos = xsp;
- if (jj_3R_114()) return true;
- if (jj_scan_token(VARASSIGN_T)) return true;
+ if (jj_3R_377()) return true;
return false;
}
- inline bool jj_3_84()
+ inline bool jj_3R_292()
{
if (jj_done) return true;
- if (jj_3R_122()) return true;
+ if (jj_3R_376()) return true;
return false;
}
@@ -6043,17 +6479,17 @@ void parseInline();
return false;
}
- inline bool jj_3R_290()
+ inline bool jj_3_83()
{
if (jj_done) return true;
- if (jj_3R_374()) return true;
+ if (jj_3R_120()) return true;
return false;
}
- inline bool jj_3_83()
+ inline bool jj_3R_290()
{
if (jj_done) return true;
- if (jj_3R_121()) return true;
+ if (jj_3R_374()) return true;
return false;
}
@@ -6078,31 +6514,24 @@ void parseInline();
return false;
}
- inline bool jj_3_82()
+ inline bool jj_3R_421()
{
if (jj_done) return true;
- if (jj_3R_120()) return true;
+ if (jj_3R_508()) return true;
return false;
}
- inline bool jj_3R_286()
+ inline bool jj_3_82()
{
if (jj_done) return true;
- if (jj_3R_370()) return true;
+ if (jj_3R_119()) return true;
return false;
}
inline bool jj_3R_420()
{
if (jj_done) return true;
- if (jj_3R_507()) return true;
- return false;
- }
-
- inline bool jj_3R_419()
- {
- if (jj_done) return true;
- if (jj_3R_381()) return true;
+ if (jj_3R_382()) return true;
return false;
}
@@ -6111,54 +6540,62 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_285()) return false;
+ if (jj_3R_286()) {
jj_scanpos = xsp;
- if (!jj_3R_286()) return false;
+ if (jj_3R_287()) {
jj_scanpos = xsp;
- if (!jj_3R_287()) return false;
+ if (jj_3R_288()) {
jj_scanpos = xsp;
- if (!jj_3R_288()) return false;
+ if (jj_3R_289()) {
jj_scanpos = xsp;
- if (!jj_3R_289()) return false;
+ if (jj_3R_290()) {
jj_scanpos = xsp;
- if (!jj_3R_290()) return false;
+ if (jj_3R_291()) {
jj_scanpos = xsp;
- if (!jj_3R_291()) return false;
+ if (jj_3R_292()) {
jj_scanpos = xsp;
- if (!jj_3R_292()) return false;
+ if (jj_3R_293()) {
jj_scanpos = xsp;
- if (!jj_3R_293()) return false;
+ if (jj_3R_294()) {
jj_scanpos = xsp;
- if (!jj_3R_294()) return false;
+ if (jj_3R_295()) {
jj_scanpos = xsp;
- if (!jj_3R_295()) return false;
+ if (jj_3R_296()) {
jj_scanpos = xsp;
- if (!jj_3R_296()) return false;
+ if (jj_3R_297()) {
jj_scanpos = xsp;
- if (!jj_3R_297()) return false;
+ if (jj_3R_298()) {
jj_scanpos = xsp;
- if (!jj_3R_298()) return false;
+ if (jj_3R_299()) {
jj_scanpos = xsp;
- if (!jj_3_8()) return false;
+ if (jj_3_8()) {
jj_scanpos = xsp;
- if (jj_3R_299()) return true;
+ if (jj_3R_300()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_285()
+ inline bool jj_3R_286()
{
if (jj_done) return true;
if (jj_3R_92()) return true;
return false;
}
- inline bool jj_3_81()
- {
- if (jj_done) return true;
- if (jj_3R_119()) return true;
- return false;
- }
-
inline bool jj_3R_113()
{
if (jj_done) return true;
@@ -6167,14 +6604,14 @@ void parseInline();
return false;
}
- inline bool jj_3R_243()
+ inline bool jj_3R_244()
{
if (jj_done) return true;
- if (jj_3R_361()) return true;
+ if (jj_3R_362()) return true;
return false;
}
- inline bool jj_3_76()
+ inline bool jj_3_77()
{
if (jj_done) return true;
Token * xsp;
@@ -6185,19 +6622,26 @@ void parseInline();
return false;
}
- inline bool jj_3R_332()
+ inline bool jj_3_80()
+ {
+ if (jj_done) return true;
+ if (jj_3R_117()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_333()
{
if (jj_done) return true;
if (jj_scan_token(FOR_T)) return true;
- if (jj_3R_418()) return true;
+ if (jj_3R_419()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_419()) { jj_scanpos = xsp; break; }
+ if (jj_3R_420()) { jj_scanpos = xsp; break; }
}
while (true) {
xsp = jj_scanpos;
- if (jj_3R_420()) { jj_scanpos = xsp; break; }
+ if (jj_3R_421()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(FOR_T)) return true;
@@ -6208,29 +6652,22 @@ void parseInline();
inline bool jj_3_79()
{
if (jj_done) return true;
- if (jj_3R_117()) return true;
- return false;
- }
-
- inline bool jj_3_78()
- {
- if (jj_done) return true;
if (jj_3R_116()) return true;
return false;
}
- inline bool jj_3_77()
+ inline bool jj_3_78()
{
if (jj_done) return true;
if (jj_3R_115()) return true;
return false;
}
- inline bool jj_3R_504()
+ inline bool jj_3R_505()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_317()) return true;
+ if (jj_3R_318()) return true;
if (jj_scan_token(WHEN_T)) return true;
if (jj_3R_85()) return true;
return false;
@@ -6241,38 +6678,58 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_242()) return false;
+ if (jj_3R_243()) {
jj_scanpos = xsp;
- if (!jj_3_77()) return false;
+ if (jj_3_78()) {
jj_scanpos = xsp;
- if (!jj_3_78()) return false;
+ if (jj_3_79()) {
jj_scanpos = xsp;
- if (!jj_3_79()) return false;
+ if (jj_3_80()) {
jj_scanpos = xsp;
- if (!jj_3R_243()) return false;
+ if (jj_3R_244()) {
jj_scanpos = xsp;
- if (!jj_3_81()) return false;
+ if (jj_3_82()) {
jj_scanpos = xsp;
- if (!jj_3_82()) return false;
+ if (jj_3_83()) {
jj_scanpos = xsp;
- if (!jj_3_83()) return false;
+ if (jj_3_84()) {
jj_scanpos = xsp;
- if (!jj_3_84()) return false;
+ if (jj_3_85()) {
jj_scanpos = xsp;
- if (!jj_3_85()) return false;
+ if (jj_3_86()) {
jj_scanpos = xsp;
- if (!jj_3_86()) return false;
+ if (jj_3_87()) {
jj_scanpos = xsp;
- if (!jj_3_87()) return false;
+ if (jj_3_88()) {
jj_scanpos = xsp;
- if (jj_3R_244()) return true;
+ if (jj_3R_245()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_242()
+ inline bool jj_3R_243()
{
if (jj_done) return true;
- if (jj_3R_360()) return true;
+ if (jj_3R_361()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_509()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_59()) return true;
return false;
}
@@ -6283,7 +6740,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_239()
+ inline bool jj_3R_240()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -6292,116 +6749,108 @@ void parseInline();
return false;
}
- inline bool jj_3R_508()
+ inline bool jj_3_76()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_112()) return true;
return false;
}
- inline bool jj_3_75()
+ inline bool jj_3R_259()
{
if (jj_done) return true;
- if (jj_3R_112()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3_76()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_417()
+ inline bool jj_3R_418()
{
if (jj_done) return true;
- if (jj_3R_328()) return true;
+ if (jj_3R_329()) return true;
return false;
}
- inline bool jj_3R_416()
+ inline bool jj_3R_417()
{
if (jj_done) return true;
if (jj_3R_70()) return true;
return false;
}
- inline bool jj_3R_258()
+ inline bool jj_3R_416()
{
if (jj_done) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3_75()) { jj_scanpos = xsp; break; }
- }
+ if (jj_scan_token(USE_T)) return true;
+ if (jj_3R_506()) return true;
return false;
}
- inline bool jj_3R_415()
+ inline bool jj_3R_422()
{
if (jj_done) return true;
- if (jj_scan_token(USE_T)) return true;
- if (jj_3R_505()) return true;
+ if (jj_3R_59()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_509()) { jj_scanpos = xsp; break; }
+ }
return false;
}
- inline bool jj_3R_331()
+ inline bool jj_3R_332()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_415()) jj_scanpos = xsp;
- xsp = jj_scanpos;
if (jj_3R_416()) jj_scanpos = xsp;
xsp = jj_scanpos;
if (jj_3R_417()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_418()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_421()
- {
- if (jj_done) return true;
- if (jj_3R_59()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_508()) { jj_scanpos = xsp; break; }
- }
- return false;
- }
-
- inline bool jj_3R_266()
+ inline bool jj_3R_267()
{
if (jj_done) return true;
if (jj_3R_58()) return true;
return false;
}
- inline bool jj_3R_363()
+ inline bool jj_3R_364()
{
if (jj_done) return true;
if (jj_scan_token(ON_T)) return true;
- if (jj_3R_421()) return true;
+ if (jj_3R_422()) return true;
return false;
}
- inline bool jj_3_74()
+ inline bool jj_3_75()
{
if (jj_done) return true;
if (jj_3R_111()) return true;
return false;
}
- inline bool jj_3R_410()
+ inline bool jj_3R_411()
{
if (jj_done) return true;
- if (jj_3R_317()) return true;
+ if (jj_3R_318()) return true;
if (jj_scan_token(WHEN_T)) return true;
if (jj_3R_85()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_504()) { jj_scanpos = xsp; break; }
+ if (jj_3R_505()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_324()
+ inline bool jj_3R_325()
{
if (jj_done) return true;
if (jj_scan_token(WITH_T)) return true;
@@ -6410,19 +6859,19 @@ void parseInline();
if (jj_3R_114()) return true;
if (jj_scan_token(LESSTHAN_T)) return true;
if (jj_3R_166()) return true;
- if (jj_3R_410()) return true;
+ if (jj_3R_411()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_688()
+ inline bool jj_3R_689()
{
if (jj_done) return true;
if (jj_3R_111()) return true;
return false;
}
- inline bool jj_3R_471()
+ inline bool jj_3R_472()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -6431,7 +6880,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_338()
+ inline bool jj_3R_339()
{
if (jj_done) return true;
if (jj_scan_token(SEVERITY_T)) return true;
@@ -6439,7 +6888,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_359()
+ inline bool jj_3R_360()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -6449,93 +6898,95 @@ void parseInline();
return false;
}
- inline bool jj_3R_378()
+ inline bool jj_3R_379()
{
if (jj_done) return true;
if (jj_scan_token(ATTRIBUTE_T)) return true;
- if (jj_3R_219()) return true;
+ if (jj_3R_220()) return true;
if (jj_scan_token(OF_T)) return true;
- if (jj_3R_469()) return true;
+ if (jj_3R_470()) return true;
if (jj_scan_token(IS_T)) return true;
if (jj_3R_58()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_315()
+ inline bool jj_3R_316()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_314()) return true;
+ if (jj_3R_315()) return true;
return false;
}
- inline bool jj_3R_110()
+ inline bool jj_3R_677()
{
if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(APOSTROPHE_T)) return true;
- if (jj_3R_59()) return true;
+ if (jj_3R_81()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_239()) jj_scanpos = xsp;
+ if (jj_3R_689()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_676()
+ inline bool jj_3R_110()
{
if (jj_done) return true;
- if (jj_3R_81()) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(APOSTROPHE_T)) return true;
+ if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_688()) jj_scanpos = xsp;
+ if (jj_3R_240()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_354()
+ inline bool jj_3R_676()
{
if (jj_done) return true;
- if (jj_scan_token(RANGE_T)) return true;
+ if (jj_3R_688()) return true;
return false;
}
- inline bool jj_3R_675()
+ inline bool jj_3R_654()
{
if (jj_done) return true;
- if (jj_3R_687()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_676()) {
+ jj_scanpos = xsp;
+ if (jj_3R_677()) return true;
+ }
return false;
}
- inline bool jj_3R_653()
+ inline bool jj_3R_355()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_675()) return false;
- jj_scanpos = xsp;
- if (jj_3R_676()) return true;
+ if (jj_scan_token(RANGE_T)) return true;
return false;
}
- inline bool jj_3R_219()
+ inline bool jj_3R_220()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_353()) return false;
+ if (jj_3R_354()) {
jj_scanpos = xsp;
- if (jj_3R_354()) return true;
+ if (jj_3R_355()) return true;
+ }
return false;
}
- inline bool jj_3R_353()
+ inline bool jj_3R_354()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_265()
+ inline bool jj_3R_266()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -6548,15 +6999,15 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_265()) jj_scanpos = xsp;
+ if (jj_3R_266()) jj_scanpos = xsp;
if (jj_scan_token(RETURN_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_266()) jj_scanpos = xsp;
+ if (jj_3R_267()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_249()
+ inline bool jj_3R_250()
{
if (jj_done) return true;
if (jj_scan_token(SEVERITY_T)) return true;
@@ -6564,6 +7015,14 @@ void parseInline();
return false;
}
+ inline bool jj_3R_308()
+ {
+ if (jj_done) return true;
+ if (jj_3R_388()) return true;
+ if (jj_3R_307()) return true;
+ return false;
+ }
+
inline bool jj_3_6()
{
if (jj_done) return true;
@@ -6583,7 +7042,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_337()
+ inline bool jj_3R_338()
{
if (jj_done) return true;
if (jj_scan_token(REPORT_T)) return true;
@@ -6591,56 +7050,48 @@ void parseInline();
return false;
}
- inline bool jj_3R_307()
+ inline bool jj_3R_249()
{
if (jj_done) return true;
- if (jj_3R_387()) return true;
- if (jj_3R_306()) return true;
+ if (jj_3R_69()) return true;
+ if (jj_scan_token(COLON_T)) return true;
return false;
}
inline bool jj_3R_165()
{
if (jj_done) return true;
- if (jj_3R_314()) return true;
+ if (jj_3R_315()) return true;
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_315()) { jj_scanpos = xsp; break; }
+ if (jj_3R_316()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3R_248()
- {
- if (jj_done) return true;
- if (jj_3R_69()) return true;
- if (jj_scan_token(COLON_T)) return true;
- return false;
- }
-
inline bool jj_3R_116()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_248()) jj_scanpos = xsp;
+ if (jj_3R_249()) jj_scanpos = xsp;
if (jj_scan_token(REPORT_T)) return true;
if (jj_3R_58()) return true;
xsp = jj_scanpos;
- if (jj_3R_249()) jj_scanpos = xsp;
+ if (jj_3R_250()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_700()
+ inline bool jj_3R_701()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_402()
+ inline bool jj_3R_403()
{
if (jj_done) return true;
if (jj_3R_63()) return true;
@@ -6648,38 +7099,52 @@ void parseInline();
return false;
}
- inline bool jj_3R_314()
+ inline bool jj_3R_483()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(NOTEQU_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_315()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_402()) jj_scanpos = xsp;
- if (jj_3R_403()) return true;
+ if (jj_3R_403()) jj_scanpos = xsp;
+ if (jj_3R_404()) return true;
return false;
}
inline bool jj_3R_482()
{
if (jj_done) return true;
- if (jj_scan_token(NOTEQU_T)) return true;
+ if (jj_scan_token(LESSTHAN_T)) return true;
return false;
}
inline bool jj_3R_481()
{
if (jj_done) return true;
- if (jj_scan_token(LESSTHAN_T)) return true;
+ if (jj_scan_token(GREATERTHAN_T)) return true;
return false;
}
inline bool jj_3R_480()
{
if (jj_done) return true;
- if (jj_scan_token(GREATERTHAN_T)) return true;
+ if (jj_scan_token(EQU_T)) return true;
return false;
}
- inline bool jj_3R_247()
+ inline bool jj_3R_479()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(GT_T)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_248()
{
if (jj_done) return true;
if (jj_3R_139()) return true;
@@ -6687,17 +7152,34 @@ void parseInline();
return false;
}
- inline bool jj_3R_479()
+ inline bool jj_3R_388()
{
if (jj_done) return true;
- if (jj_scan_token(EQU_T)) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_478()) {
+ jj_scanpos = xsp;
+ if (jj_3R_479()) {
+ jj_scanpos = xsp;
+ if (jj_3R_480()) {
+ jj_scanpos = xsp;
+ if (jj_3R_481()) {
+ jj_scanpos = xsp;
+ if (jj_3R_482()) {
+ jj_scanpos = xsp;
+ if (jj_3R_483()) return true;
+ }
+ }
+ }
+ }
+ }
return false;
}
inline bool jj_3R_478()
{
if (jj_done) return true;
- if (jj_scan_token(GT_T)) return true;
+ if (jj_scan_token(LT_T)) return true;
return false;
}
@@ -6706,52 +7188,43 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_247()) jj_scanpos = xsp;
+ if (jj_3R_248()) jj_scanpos = xsp;
if (jj_3R_187()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_387()
+ inline bool jj_3R_145()
{
if (jj_done) return true;
+ if (jj_3R_307()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_477()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_478()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_479()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_480()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_481()) return false;
- jj_scanpos = xsp;
- if (jj_3R_482()) return true;
+ if (jj_3R_308()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_477()
+ inline bool jj_3R_700()
{
if (jj_done) return true;
- if (jj_scan_token(LT_T)) return true;
+ if (jj_3R_705()) return true;
return false;
}
- inline bool jj_3R_145()
+ inline bool jj_3R_691()
{
if (jj_done) return true;
- if (jj_3R_306()) return true;
+ if (jj_scan_token(RECORD_T)) return true;
Token * xsp;
+ if (jj_3R_700()) return true;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_700()) { jj_scanpos = xsp; break; }
+ }
+ if (jj_scan_token(END_T)) return true;
+ if (jj_scan_token(RECORD_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_307()) jj_scanpos = xsp;
- return false;
- }
-
- inline bool jj_3R_699()
- {
- if (jj_done) return true;
- if (jj_3R_704()) return true;
+ if (jj_3R_701()) jj_scanpos = xsp;
return false;
}
@@ -6762,9 +7235,9 @@ void parseInline();
if (jj_3R_79()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_337()) jj_scanpos = xsp;
- xsp = jj_scanpos;
if (jj_3R_338()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_339()) jj_scanpos = xsp;
return false;
}
@@ -6775,73 +7248,57 @@ void parseInline();
return false;
}
- inline bool jj_3R_690()
+ inline bool jj_3_73()
{
if (jj_done) return true;
- if (jj_scan_token(RECORD_T)) return true;
- Token * xsp;
- if (jj_3R_699()) return true;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_699()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(END_T)) return true;
- if (jj_scan_token(RECORD_T)) return true;
- xsp = jj_scanpos;
- if (jj_3R_700()) jj_scanpos = xsp;
+ if (jj_3R_68()) return true;
+ if (jj_3R_109()) return true;
+ if (jj_3R_68()) return true;
return false;
}
- inline bool jj_3R_698()
+ inline bool jj_3_74()
{
if (jj_done) return true;
- if (jj_3R_703()) return true;
+ if (jj_3R_110()) return true;
return false;
}
- inline bool jj_3_72()
+ inline bool jj_3R_699()
{
if (jj_done) return true;
- if (jj_3R_68()) return true;
- if (jj_3R_109()) return true;
- if (jj_3R_68()) return true;
+ if (jj_3R_704()) return true;
return false;
}
- inline bool jj_3_73()
+ inline bool jj_3R_81()
{
if (jj_done) return true;
- if (jj_3R_110()) return true;
+ if (jj_scan_token(RANGE_T)) return true;
+ if (jj_3R_83()) return true;
return false;
}
- inline bool jj_3R_697()
+ inline bool jj_3R_698()
{
if (jj_done) return true;
if (jj_3R_62()) return true;
return false;
}
- inline bool jj_3R_689()
+ inline bool jj_3R_690()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_697()) return false;
+ if (jj_3R_698()) {
jj_scanpos = xsp;
- if (jj_3R_698()) return true;
- return false;
- }
-
- inline bool jj_3R_81()
- {
- if (jj_done) return true;
- if (jj_scan_token(RANGE_T)) return true;
- if (jj_3R_83()) return true;
+ if (jj_3R_699()) return true;
+ }
return false;
}
- inline bool jj_3_71()
+ inline bool jj_3_72()
{
if (jj_done) return true;
if (jj_3R_108()) return true;
@@ -6869,13 +7326,14 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_181()) return false;
+ if (jj_3R_181()) {
jj_scanpos = xsp;
if (jj_3R_182()) return true;
+ }
return false;
}
- inline bool jj_3R_227()
+ inline bool jj_3R_228()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -6884,17 +7342,17 @@ void parseInline();
return false;
}
- inline bool jj_3R_226()
+ inline bool jj_3R_227()
{
if (jj_done) return true;
if (jj_3R_108()) return true;
return false;
}
- inline bool jj_3R_465()
+ inline bool jj_3R_466()
{
if (jj_done) return true;
- if (jj_3R_233()) return true;
+ if (jj_3R_234()) return true;
return false;
}
@@ -6905,13 +7363,14 @@ void parseInline();
if (jj_scan_token(APOSTROPHE_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_226()) return false;
+ if (jj_3R_227()) {
jj_scanpos = xsp;
- if (jj_3R_227()) return true;
+ if (jj_3R_228()) return true;
+ }
return false;
}
- inline bool jj_3R_341()
+ inline bool jj_3R_342()
{
if (jj_done) return true;
if (jj_3R_112()) return true;
@@ -6924,7 +7383,7 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_341()) { jj_scanpos = xsp; break; }
+ if (jj_3R_342()) { jj_scanpos = xsp; break; }
}
return false;
}
@@ -6936,22 +7395,22 @@ void parseInline();
return false;
}
- inline bool jj_3R_236()
+ inline bool jj_3R_340()
{
if (jj_done) return true;
- if (jj_scan_token(COMMA_T)) return true;
- if (jj_3R_235()) return true;
+ if (jj_3R_422()) return true;
return false;
}
- inline bool jj_3R_339()
+ inline bool jj_3R_237()
{
if (jj_done) return true;
- if (jj_3R_421()) return true;
+ if (jj_scan_token(COMMA_T)) return true;
+ if (jj_3R_236()) return true;
return false;
}
- inline bool jj_3R_575()
+ inline bool jj_3R_576()
{
if (jj_done) return true;
if (jj_scan_token(NEW_T)) return true;
@@ -6959,14 +7418,15 @@ void parseInline();
return false;
}
- inline bool jj_3R_530()
+ inline bool jj_3R_531()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3_4()) return false;
+ if (jj_3_4()) {
jj_scanpos = xsp;
- if (jj_3R_575()) return true;
+ if (jj_3R_576()) return true;
+ }
return false;
}
@@ -6978,37 +7438,39 @@ void parseInline();
return false;
}
- inline bool jj_3R_536()
+ inline bool jj_3R_537()
{
if (jj_done) return true;
- if (jj_3R_309()) return true;
+ if (jj_3R_310()) return true;
return false;
}
- inline bool jj_3R_535()
+ inline bool jj_3R_536()
{
if (jj_done) return true;
if (jj_scan_token(CHARACTER_LITERAL)) return true;
return false;
}
- inline bool jj_3R_534()
+ inline bool jj_3R_535()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_463()
+ inline bool jj_3R_464()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_534()) return false;
+ if (jj_3R_535()) {
jj_scanpos = xsp;
- if (!jj_3R_535()) return false;
+ if (jj_3R_536()) {
jj_scanpos = xsp;
- if (jj_3R_536()) return true;
+ if (jj_3R_537()) return true;
+ }
+ }
return false;
}
@@ -7018,14 +7480,15 @@ void parseInline();
if (jj_scan_token(LPAREN_T)) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_scan_token(15)) return false;
+ if (jj_scan_token(15)) {
jj_scanpos = xsp;
- if (jj_3R_339()) return true;
+ if (jj_3R_340()) return true;
+ }
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3R_464()
+ inline bool jj_3R_465()
{
if (jj_done) return true;
if (jj_scan_token(COLON_T)) return true;
@@ -7033,36 +7496,22 @@ void parseInline();
return false;
}
- inline bool jj_3R_376()
+ inline bool jj_3R_377()
{
if (jj_done) return true;
if (jj_scan_token(ALIAS_T)) return true;
- if (jj_3R_463()) return true;
+ if (jj_3R_464()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_464()) jj_scanpos = xsp;
+ if (jj_3R_465()) jj_scanpos = xsp;
if (jj_scan_token(IS_T)) return true;
if (jj_3R_59()) return true;
xsp = jj_scanpos;
- if (jj_3R_465()) jj_scanpos = xsp;
+ if (jj_3R_466()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_108()
- {
- if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_235()) return true;
- Token * xsp;
- while (true) {
- xsp = jj_scanpos;
- if (jj_3R_236()) { jj_scanpos = xsp; break; }
- }
- if (jj_scan_token(RPAREN_T)) return true;
- return false;
- }
-
inline bool jj_3R_188()
{
if (jj_done) return true;
@@ -7071,17 +7520,17 @@ void parseInline();
return false;
}
- inline bool jj_3R_272()
- {
- if (jj_done) return true;
- if (jj_scan_token(MINUS_T)) return true;
- return false;
- }
-
- inline bool jj_3R_273()
+ inline bool jj_3R_108()
{
if (jj_done) return true;
- if (jj_scan_token(AMPERSAND_T)) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_236()) return true;
+ Token * xsp;
+ while (true) {
+ xsp = jj_scanpos;
+ if (jj_3R_237()) { jj_scanpos = xsp; break; }
+ }
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
@@ -7111,30 +7560,24 @@ void parseInline();
return false;
}
- inline bool jj_3R_340()
+ inline bool jj_3R_341()
{
if (jj_done) return true;
- if (jj_3R_422()) return true;
+ if (jj_3R_423()) return true;
return false;
}
- inline bool jj_3R_128()
+ inline bool jj_3R_273()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_271()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_272()) return false;
- jj_scanpos = xsp;
- if (jj_3R_273()) return true;
+ if (jj_scan_token(MINUS_T)) return true;
return false;
}
- inline bool jj_3R_271()
+ inline bool jj_3R_274()
{
if (jj_done) return true;
- if (jj_scan_token(PLUS_T)) return true;
+ if (jj_scan_token(AMPERSAND_T)) return true;
return false;
}
@@ -7144,90 +7587,99 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_340()) { jj_scanpos = xsp; break; }
+ if (jj_3R_341()) { jj_scanpos = xsp; break; }
}
return false;
}
- inline bool jj_3_3()
+ inline bool jj_3R_128()
{
if (jj_done) return true;
- if (jj_3R_60()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_272()) {
+ jj_scanpos = xsp;
+ if (jj_3R_273()) {
+ jj_scanpos = xsp;
+ if (jj_3R_274()) return true;
+ }
+ }
return false;
}
- inline bool jj_3R_518()
+ inline bool jj_3R_272()
{
if (jj_done) return true;
- if (jj_3R_382()) return true;
+ if (jj_scan_token(PLUS_T)) return true;
return false;
}
- inline bool jj_3R_491()
+ inline bool jj_3R_519()
{
if (jj_done) return true;
- if (jj_scan_token(BOX_T)) return true;
+ if (jj_3R_383()) return true;
return false;
}
- inline bool jj_3R_492()
+ inline bool jj_3_3()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
- if (jj_scan_token(LPAREN_T)) return true;
if (jj_3R_60()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_70()
+ inline bool jj_3R_518()
{
if (jj_done) return true;
- if (jj_3R_65()) return true;
+ if (jj_3R_382()) return true;
return false;
}
- inline bool jj_3R_517()
+ inline bool jj_3_71()
{
if (jj_done) return true;
- if (jj_3R_381()) return true;
+ if (jj_3R_65()) return true;
return false;
}
- inline bool jj_3R_516()
+ inline bool jj_3R_517()
{
if (jj_done) return true;
- if (jj_3R_378()) return true;
+ if (jj_3R_379()) return true;
return false;
}
- inline bool jj_3R_403()
+ inline bool jj_3R_492()
{
if (jj_done) return true;
- Token * xsp;
- xsp = jj_scanpos;
- if (!jj_3R_490()) return false;
- jj_scanpos = xsp;
- if (!jj_3R_491()) return false;
- jj_scanpos = xsp;
- if (jj_3R_492()) return true;
+ if (jj_scan_token(BOX_T)) return true;
return false;
}
- inline bool jj_3R_490()
+ inline bool jj_3R_493()
{
if (jj_done) return true;
+ if (jj_3R_59()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
if (jj_3R_60()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_69()
+ inline bool jj_3_70()
{
if (jj_done) return true;
if (jj_3R_64()) return true;
return false;
}
+ inline bool jj_3R_516()
+ {
+ if (jj_done) return true;
+ if (jj_3R_377()) return true;
+ return false;
+ }
+
inline bool jj_3R_515()
{
if (jj_done) return true;
@@ -7235,10 +7687,25 @@ void parseInline();
return false;
}
- inline bool jj_3_2()
+ inline bool jj_3R_404()
{
if (jj_done) return true;
- if (jj_3R_59()) return true;
+ Token * xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_491()) {
+ jj_scanpos = xsp;
+ if (jj_3R_492()) {
+ jj_scanpos = xsp;
+ if (jj_3R_493()) return true;
+ }
+ }
+ return false;
+ }
+
+ inline bool jj_3R_491()
+ {
+ if (jj_done) return true;
+ if (jj_3R_60()) return true;
return false;
}
@@ -7252,14 +7719,14 @@ void parseInline();
inline bool jj_3R_513()
{
if (jj_done) return true;
- if (jj_3R_374()) return true;
+ if (jj_3R_373()) return true;
return false;
}
- inline bool jj_3R_225()
+ inline bool jj_3_2()
{
if (jj_done) return true;
- if (jj_3R_165()) return true;
+ if (jj_3R_59()) return true;
return false;
}
@@ -7277,10 +7744,10 @@ void parseInline();
return false;
}
- inline bool jj_3R_510()
+ inline bool jj_3R_226()
{
if (jj_done) return true;
- if (jj_3R_370()) return true;
+ if (jj_3R_165()) return true;
return false;
}
@@ -7291,49 +7758,60 @@ void parseInline();
return false;
}
- inline bool jj_3R_422()
+ inline bool jj_3R_423()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_509()) return false;
+ if (jj_3R_510()) {
jj_scanpos = xsp;
- if (!jj_3R_510()) return false;
+ if (jj_3R_511()) {
jj_scanpos = xsp;
- if (!jj_3R_511()) return false;
+ if (jj_3R_512()) {
jj_scanpos = xsp;
- if (!jj_3R_512()) return false;
+ if (jj_3R_513()) {
jj_scanpos = xsp;
- if (!jj_3R_513()) return false;
+ if (jj_3R_514()) {
jj_scanpos = xsp;
- if (!jj_3R_514()) return false;
+ if (jj_3R_515()) {
jj_scanpos = xsp;
- if (!jj_3R_515()) return false;
+ if (jj_3R_516()) {
jj_scanpos = xsp;
- if (!jj_3_69()) return false;
+ if (jj_3_70()) {
jj_scanpos = xsp;
- if (!jj_3R_516()) return false;
+ if (jj_3R_517()) {
jj_scanpos = xsp;
- if (!jj_3R_517()) return false;
+ if (jj_3R_518()) {
jj_scanpos = xsp;
- if (!jj_3_70()) return false;
+ if (jj_3_71()) {
jj_scanpos = xsp;
- if (jj_3R_518()) return true;
+ if (jj_3R_519()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_509()
+ inline bool jj_3R_510()
{
if (jj_done) return true;
if (jj_3R_92()) return true;
return false;
}
- inline bool jj_3R_329()
+ inline bool jj_3R_330()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_225()) return true;
+ if (jj_3R_226()) return true;
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
@@ -7345,14 +7823,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_152()
- {
- if (jj_done) return true;
- if (jj_3R_58()) return true;
- return false;
- }
-
- inline bool jj_3_68()
+ inline bool jj_3_69()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -7365,12 +7836,19 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3_68()) jj_scanpos = xsp;
+ if (jj_3_69()) jj_scanpos = xsp;
if (jj_3R_176()) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
+ inline bool jj_3R_152()
+ {
+ if (jj_done) return true;
+ if (jj_3R_58()) return true;
+ return false;
+ }
+
inline bool jj_3R_151()
{
if (jj_done) return true;
@@ -7383,19 +7861,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_151()) return false;
+ if (jj_3R_151()) {
jj_scanpos = xsp;
- if (!jj_3R_152()) return false;
+ if (jj_3R_152()) {
jj_scanpos = xsp;
if (jj_3R_153()) return true;
- return false;
- }
-
- inline bool jj_3R_655()
- {
- if (jj_done) return true;
- if (jj_scan_token(ACCESS_T)) return true;
- if (jj_3R_84()) return true;
+ }
+ }
return false;
}
@@ -7405,39 +7877,54 @@ void parseInline();
if (jj_3R_59()) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_329()) jj_scanpos = xsp;
+ if (jj_3R_330()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_66()
+ inline bool jj_3_67()
{
if (jj_done) return true;
if (jj_3R_86()) return true;
return false;
}
- inline bool jj_3R_224()
+ inline bool jj_3R_656()
{
if (jj_done) return true;
- if (jj_scan_token(BASED_LITERAL)) return true;
+ if (jj_scan_token(ACCESS_T)) return true;
+ if (jj_3R_84()) return true;
return false;
}
inline bool jj_3R_204()
{
if (jj_done) return true;
- if (jj_3R_350()) return true;
+ if (jj_3R_351()) return true;
return false;
}
- inline bool jj_3R_223()
+ inline bool jj_3R_225()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(BASED_LITERAL)) return true;
+ return false;
+ }
+
+ inline bool jj_3R_224()
{
if (jj_done) return true;
if (jj_scan_token(INTEGER)) return true;
return false;
}
- inline bool jj_3R_222()
+ inline bool jj_3_68()
+ {
+ if (jj_done) return true;
+ if (jj_3R_107()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_223()
{
if (jj_done) return true;
if (jj_scan_token(DECIMAL_LITERAL)) return true;
@@ -7449,18 +7936,13 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_222()) return false;
+ if (jj_3R_223()) {
jj_scanpos = xsp;
- if (!jj_3R_223()) return false;
+ if (jj_3R_224()) {
jj_scanpos = xsp;
- if (jj_3R_224()) return true;
- return false;
- }
-
- inline bool jj_3_67()
- {
- if (jj_done) return true;
- if (jj_3R_107()) return true;
+ if (jj_3R_225()) return true;
+ }
+ }
return false;
}
@@ -7474,14 +7956,14 @@ void parseInline();
inline bool jj_3R_202()
{
if (jj_done) return true;
- if (jj_3R_349()) return true;
+ if (jj_3R_350()) return true;
return false;
}
inline bool jj_3R_201()
{
if (jj_done) return true;
- if (jj_3R_348()) return true;
+ if (jj_3R_349()) return true;
return false;
}
@@ -7490,68 +7972,72 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_201()) return false;
+ if (jj_3R_201()) {
jj_scanpos = xsp;
- if (!jj_3R_202()) return false;
+ if (jj_3R_202()) {
jj_scanpos = xsp;
- if (!jj_3R_203()) return false;
+ if (jj_3R_203()) {
jj_scanpos = xsp;
- if (!jj_3_67()) return false;
+ if (jj_3_68()) {
jj_scanpos = xsp;
if (jj_3R_204()) return true;
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3_65()
+ inline bool jj_3_66()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3_64()
+ inline bool jj_3_65()
{
if (jj_done) return true;
if (jj_3R_106()) return true;
return false;
}
- inline bool jj_3R_456()
+ inline bool jj_3R_457()
{
if (jj_done) return true;
if (jj_3R_108()) return true;
return false;
}
- inline bool jj_3_63()
+ inline bool jj_3_64()
{
if (jj_done) return true;
if (jj_3R_105()) return true;
return false;
}
- inline bool jj_3R_455()
+ inline bool jj_3R_456()
{
if (jj_done) return true;
- if (jj_3R_530()) return true;
+ if (jj_3R_531()) return true;
return false;
}
- inline bool jj_3_62()
+ inline bool jj_3_63()
{
if (jj_done) return true;
if (jj_3R_61()) return true;
return false;
}
- inline bool jj_3R_454()
+ inline bool jj_3R_455()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3_61()
+ inline bool jj_3_62()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -7560,42 +8046,42 @@ void parseInline();
return false;
}
- inline bool jj_3R_453()
+ inline bool jj_3R_454()
{
if (jj_done) return true;
if (jj_3R_106()) return true;
return false;
}
- inline bool jj_3_60()
+ inline bool jj_3_61()
{
if (jj_done) return true;
if (jj_3R_104()) return true;
return false;
}
- inline bool jj_3R_241()
+ inline bool jj_3R_242()
{
if (jj_done) return true;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_452()
+ inline bool jj_3R_453()
{
if (jj_done) return true;
if (jj_3R_105()) return true;
return false;
}
- inline bool jj_3R_451()
+ inline bool jj_3R_452()
{
if (jj_done) return true;
if (jj_3R_61()) return true;
return false;
}
- inline bool jj_3R_450()
+ inline bool jj_3R_451()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -7604,37 +8090,44 @@ void parseInline();
return false;
}
- inline bool jj_3R_369()
+ inline bool jj_3R_370()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_449()) return false;
+ if (jj_3R_450()) {
jj_scanpos = xsp;
- if (!jj_3R_450()) return false;
+ if (jj_3R_451()) {
jj_scanpos = xsp;
- if (!jj_3R_451()) return false;
+ if (jj_3R_452()) {
jj_scanpos = xsp;
- if (!jj_3R_452()) return false;
+ if (jj_3R_453()) {
jj_scanpos = xsp;
- if (!jj_3R_453()) return false;
+ if (jj_3R_454()) {
jj_scanpos = xsp;
- if (!jj_3R_454()) return false;
+ if (jj_3R_455()) {
jj_scanpos = xsp;
- if (!jj_3R_455()) return false;
+ if (jj_3R_456()) {
jj_scanpos = xsp;
- if (jj_3R_456()) return true;
+ if (jj_3R_457()) return true;
+ }
+ }
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_449()
+ inline bool jj_3R_450()
{
if (jj_done) return true;
if (jj_3R_104()) return true;
return false;
}
- inline bool jj_3R_328()
+ inline bool jj_3R_329()
{
if (jj_done) return true;
if (jj_scan_token(PORT_T)) return true;
@@ -7645,26 +8138,26 @@ void parseInline();
return false;
}
- inline bool jj_3R_581()
+ inline bool jj_3R_582()
{
if (jj_done) return true;
- if (jj_3R_312()) return true;
+ if (jj_3R_313()) return true;
return false;
}
- inline bool jj_3R_240()
+ inline bool jj_3R_241()
{
if (jj_done) return true;
- if (jj_3R_359()) return true;
+ if (jj_3R_360()) return true;
return false;
}
- inline bool jj_3R_537()
+ inline bool jj_3R_538()
{
if (jj_done) return true;
if (jj_scan_token(PORT_T)) return true;
if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_581()) return true;
+ if (jj_3R_582()) return true;
if (jj_scan_token(RPAREN_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
@@ -7679,23 +8172,23 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_240()) { jj_scanpos = xsp; break; }
+ if (jj_3R_241()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(UNITS_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_241()) jj_scanpos = xsp;
+ if (jj_3R_242()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_59()
+ inline bool jj_3_60()
{
if (jj_done) return true;
if (jj_3R_103()) return true;
return false;
}
- inline bool jj_3R_221()
+ inline bool jj_3R_222()
{
if (jj_done) return true;
if (jj_3R_103()) return true;
@@ -7707,12 +8200,12 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_221()) jj_scanpos = xsp;
+ if (jj_3R_222()) jj_scanpos = xsp;
if (jj_3R_59()) return true;
return false;
}
- inline bool jj_3R_407()
+ inline bool jj_3R_408()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -7721,28 +8214,28 @@ void parseInline();
return false;
}
- inline bool jj_3_58()
+ inline bool jj_3_59()
{
if (jj_done) return true;
if (jj_3R_86()) return true;
return false;
}
- inline bool jj_3_56()
+ inline bool jj_3_57()
{
if (jj_done) return true;
if (jj_3R_64()) return true;
return false;
}
- inline bool jj_3_57()
+ inline bool jj_3_58()
{
if (jj_done) return true;
if (jj_3R_65()) return true;
return false;
}
- inline bool jj_3R_350()
+ inline bool jj_3R_351()
{
if (jj_done) return true;
if (jj_scan_token(PACKAGE_T)) return true;
@@ -7750,21 +8243,21 @@ void parseInline();
return false;
}
- inline bool jj_3_55()
+ inline bool jj_3_56()
{
if (jj_done) return true;
if (jj_3R_65()) return true;
return false;
}
- inline bool jj_3R_316()
+ inline bool jj_3R_317()
{
if (jj_done) return true;
- if (jj_3R_404()) return true;
+ if (jj_3R_405()) return true;
return false;
}
- inline bool jj_3R_260()
+ inline bool jj_3R_261()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -7778,32 +8271,32 @@ void parseInline();
xsp = jj_scanpos;
if (jj_scan_token(50)) jj_scanpos = xsp;
xsp = jj_scanpos;
- if (jj_3R_316()) jj_scanpos = xsp;
+ if (jj_3R_317()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_309()
+ inline bool jj_3R_310()
{
if (jj_done) return true;
if (jj_scan_token(STRINGLITERAL)) return true;
return false;
}
- inline bool jj_3R_646()
+ inline bool jj_3R_647()
{
if (jj_done) return true;
if (jj_scan_token(TYPE_T)) return true;
return false;
}
- inline bool jj_3R_645()
+ inline bool jj_3R_646()
{
if (jj_done) return true;
if (jj_scan_token(FILE_T)) return true;
return false;
}
- inline bool jj_3R_644()
+ inline bool jj_3R_645()
{
if (jj_done) return true;
if (jj_scan_token(SHARED_T)) return true;
@@ -7811,54 +8304,59 @@ void parseInline();
return false;
}
- inline bool jj_3_54()
+ inline bool jj_3_55()
{
if (jj_done) return true;
if (jj_3R_102()) return true;
return false;
}
- inline bool jj_3R_643()
+ inline bool jj_3R_644()
{
if (jj_done) return true;
if (jj_scan_token(VARIABLE_T)) return true;
return false;
}
- inline bool jj_3R_642()
+ inline bool jj_3R_643()
{
if (jj_done) return true;
if (jj_scan_token(SIGNAL_T)) return true;
return false;
}
- inline bool jj_3R_641()
+ inline bool jj_3R_642()
{
if (jj_done) return true;
if (jj_scan_token(CONSTANT_T)) return true;
return false;
}
- inline bool jj_3R_612()
+ inline bool jj_3R_613()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_641()) return false;
+ if (jj_3R_642()) {
jj_scanpos = xsp;
- if (!jj_3R_642()) return false;
+ if (jj_3R_643()) {
jj_scanpos = xsp;
- if (!jj_3R_643()) return false;
+ if (jj_3R_644()) {
jj_scanpos = xsp;
- if (!jj_3R_644()) return false;
+ if (jj_3R_645()) {
jj_scanpos = xsp;
- if (!jj_3R_645()) return false;
+ if (jj_3R_646()) {
jj_scanpos = xsp;
- if (jj_3R_646()) return true;
+ if (jj_3R_647()) return true;
+ }
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_352()
+ inline bool jj_3R_353()
{
if (jj_done) return true;
if (jj_scan_token(COMMA_T)) return true;
@@ -7885,13 +8383,14 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_205()) return false;
+ if (jj_3R_205()) {
jj_scanpos = xsp;
if (jj_3R_206()) return true;
+ }
return false;
}
- inline bool jj_3R_440()
+ inline bool jj_3R_441()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -7899,12 +8398,12 @@ void parseInline();
return false;
}
- inline bool jj_3R_362()
+ inline bool jj_3R_363()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_440()) jj_scanpos = xsp;
+ if (jj_3R_441()) jj_scanpos = xsp;
if (jj_scan_token(NULL_T)) return true;
if (jj_scan_token(SEMI_T)) return true;
return false;
@@ -7918,7 +8417,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_261()
+ inline bool jj_3R_262()
{
if (jj_done) return true;
if (jj_scan_token(WHEN_T)) return true;
@@ -7926,14 +8425,14 @@ void parseInline();
return false;
}
- inline bool jj_3_53()
+ inline bool jj_3_54()
{
if (jj_done) return true;
if (jj_scan_token(LBRACKET_T)) return true;
return false;
}
- inline bool jj_3R_259()
+ inline bool jj_3R_260()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -7946,17 +8445,17 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_259()) jj_scanpos = xsp;
- if (jj_scan_token(NEXT_T)) return true;
- xsp = jj_scanpos;
if (jj_3R_260()) jj_scanpos = xsp;
+ if (jj_scan_token(NEXT_T)) return true;
xsp = jj_scanpos;
if (jj_3R_261()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_262()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
- inline bool jj_3R_220()
+ inline bool jj_3R_221()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -7965,14 +8464,14 @@ void parseInline();
return false;
}
- inline bool jj_3R_218()
+ inline bool jj_3R_219()
{
if (jj_done) return true;
- if (jj_3R_233()) return true;
+ if (jj_3R_234()) return true;
return false;
}
- inline bool jj_3_52()
+ inline bool jj_3_53()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -7991,38 +8490,38 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_218()) jj_scanpos = xsp;
+ if (jj_3R_219()) jj_scanpos = xsp;
if (jj_scan_token(APOSTROPHE_T)) return true;
- if (jj_3R_219()) return true;
+ if (jj_3R_220()) return true;
xsp = jj_scanpos;
- if (jj_3R_220()) jj_scanpos = xsp;
+ if (jj_3R_221()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3_48()
+ inline bool jj_3_52()
{
if (jj_done) return true;
- if (jj_3R_98()) return true;
+ if (jj_scan_token(LPAREN_T)) return true;
+ if (jj_3R_67()) return true;
+ if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_51()
+ inline bool jj_3_48()
{
if (jj_done) return true;
- if (jj_scan_token(LPAREN_T)) return true;
- if (jj_3R_67()) return true;
- if (jj_scan_token(RPAREN_T)) return true;
+ if (jj_3R_98()) return true;
return false;
}
- inline bool jj_3_50()
+ inline bool jj_3_51()
{
if (jj_done) return true;
if (jj_3R_100()) return true;
return false;
}
- inline bool jj_3R_213()
+ inline bool jj_3R_214()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -8030,13 +8529,13 @@ void parseInline();
Token * xsp;
while (true) {
xsp = jj_scanpos;
- if (jj_3R_352()) { jj_scanpos = xsp; break; }
+ if (jj_3R_353()) { jj_scanpos = xsp; break; }
}
if (jj_scan_token(RPAREN_T)) return true;
return false;
}
- inline bool jj_3_49()
+ inline bool jj_3_50()
{
if (jj_done) return true;
if (jj_scan_token(DOT_T)) return true;
@@ -8044,14 +8543,15 @@ void parseInline();
return false;
}
- inline bool jj_3R_209()
+ inline bool jj_3_49()
{
if (jj_done) return true;
- if (jj_3R_98()) return true;
+ if (jj_scan_token(APOSTROPHE_T)) return true;
+ if (jj_scan_token(SUBTYPE_T)) return true;
return false;
}
- inline bool jj_3R_212()
+ inline bool jj_3R_213()
{
if (jj_done) return true;
if (jj_scan_token(LPAREN_T)) return true;
@@ -8060,21 +8560,28 @@ void parseInline();
return false;
}
- inline bool jj_3R_149()
+ inline bool jj_3R_209()
{
if (jj_done) return true;
- if (jj_3R_310()) return true;
+ if (jj_3R_98()) return true;
return false;
}
- inline bool jj_3R_211()
+ inline bool jj_3R_212()
{
if (jj_done) return true;
if (jj_3R_100()) return true;
return false;
}
- inline bool jj_3R_210()
+ inline bool jj_3R_149()
+ {
+ if (jj_done) return true;
+ if (jj_3R_311()) return true;
+ return false;
+ }
+
+ inline bool jj_3R_211()
{
if (jj_done) return true;
if (jj_scan_token(DOT_T)) return true;
@@ -8082,18 +8589,32 @@ void parseInline();
return false;
}
+ inline bool jj_3R_210()
+ {
+ if (jj_done) return true;
+ if (jj_scan_token(APOSTROPHE_T)) return true;
+ if (jj_scan_token(SUBTYPE_T)) return true;
+ return false;
+ }
+
inline bool jj_3R_98()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_210()) return false;
+ if (jj_3R_210()) {
jj_scanpos = xsp;
- if (!jj_3R_211()) return false;
+ if (jj_3R_211()) {
jj_scanpos = xsp;
- if (!jj_3R_212()) return false;
+ if (jj_3R_212()) {
jj_scanpos = xsp;
- if (jj_3R_213()) return true;
+ if (jj_3R_213()) {
+ jj_scanpos = xsp;
+ if (jj_3R_214()) return true;
+ }
+ }
+ }
+ }
return false;
}
@@ -8133,7 +8654,7 @@ void parseInline();
inline bool jj_3R_147()
{
if (jj_done) return true;
- if (jj_3R_309()) return true;
+ if (jj_3R_310()) return true;
return false;
}
@@ -8142,31 +8663,33 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_147()) return false;
+ if (jj_3R_147()) {
jj_scanpos = xsp;
- if (!jj_3R_148()) return false;
+ if (jj_3R_148()) {
jj_scanpos = xsp;
if (jj_3R_149()) return true;
+ }
+ }
xsp = jj_scanpos;
if (jj_3R_150()) jj_scanpos = xsp;
return false;
}
- inline bool jj_3R_280()
+ inline bool jj_3R_281()
{
if (jj_done) return true;
if (jj_scan_token(REM_T)) return true;
return false;
}
- inline bool jj_3R_279()
+ inline bool jj_3R_280()
{
if (jj_done) return true;
if (jj_scan_token(MOD_T)) return true;
return false;
}
- inline bool jj_3R_278()
+ inline bool jj_3R_279()
{
if (jj_done) return true;
if (jj_scan_token(SLASH_T)) return true;
@@ -8178,90 +8701,97 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_277()) return false;
+ if (jj_3R_278()) {
jj_scanpos = xsp;
- if (!jj_3R_278()) return false;
+ if (jj_3R_279()) {
jj_scanpos = xsp;
- if (!jj_3R_279()) return false;
+ if (jj_3R_280()) {
jj_scanpos = xsp;
- if (jj_3R_280()) return true;
+ if (jj_3R_281()) return true;
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_277()
+ inline bool jj_3R_278()
{
if (jj_done) return true;
if (jj_scan_token(MULT_T)) return true;
return false;
}
- inline bool jj_3R_628()
+ inline bool jj_3R_629()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
return false;
}
- inline bool jj_3R_430()
+ inline bool jj_3R_431()
{
if (jj_done) return true;
if (jj_scan_token(LINKAGE_T)) return true;
return false;
}
- inline bool jj_3R_429()
+ inline bool jj_3R_430()
{
if (jj_done) return true;
if (jj_scan_token(BUFFER_T)) return true;
return false;
}
- inline bool jj_3R_428()
+ inline bool jj_3R_429()
{
if (jj_done) return true;
if (jj_scan_token(INOUT_T)) return true;
return false;
}
- inline bool jj_3R_427()
+ inline bool jj_3R_428()
{
if (jj_done) return true;
if (jj_scan_token(OUT_T)) return true;
return false;
}
- inline bool jj_3R_345()
+ inline bool jj_3R_346()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_426()) return false;
+ if (jj_3R_427()) {
jj_scanpos = xsp;
- if (!jj_3R_427()) return false;
+ if (jj_3R_428()) {
jj_scanpos = xsp;
- if (!jj_3R_428()) return false;
+ if (jj_3R_429()) {
jj_scanpos = xsp;
- if (!jj_3R_429()) return false;
+ if (jj_3R_430()) {
jj_scanpos = xsp;
- if (jj_3R_430()) return true;
+ if (jj_3R_431()) return true;
+ }
+ }
+ }
+ }
return false;
}
- inline bool jj_3R_426()
+ inline bool jj_3R_427()
{
if (jj_done) return true;
if (jj_scan_token(IN_T)) return true;
return false;
}
- inline bool jj_3R_257()
+ inline bool jj_3R_258()
{
if (jj_done) return true;
- if (jj_3R_366()) return true;
+ if (jj_3R_367()) return true;
return false;
}
- inline bool jj_3R_256()
+ inline bool jj_3R_257()
{
if (jj_done) return true;
if (jj_3R_69()) return true;
@@ -8274,15 +8804,15 @@ void parseInline();
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (jj_3R_256()) jj_scanpos = xsp;
- xsp = jj_scanpos;
if (jj_3R_257()) jj_scanpos = xsp;
+ xsp = jj_scanpos;
+ if (jj_3R_258()) jj_scanpos = xsp;
if (jj_scan_token(LOOP_T)) return true;
- if (jj_3R_258()) return true;
+ if (jj_3R_259()) return true;
if (jj_scan_token(END_T)) return true;
if (jj_scan_token(LOOP_T)) return true;
xsp = jj_scanpos;
- if (jj_3R_628()) jj_scanpos = xsp;
+ if (jj_3R_629()) jj_scanpos = xsp;
if (jj_scan_token(SEMI_T)) return true;
return false;
}
@@ -8301,7 +8831,7 @@ void parseInline();
return false;
}
- inline bool jj_3R_232()
+ inline bool jj_3R_233()
{
if (jj_done) return true;
if (jj_scan_token(NULL_T)) return true;
@@ -8315,111 +8845,115 @@ void parseInline();
return false;
}
- inline bool jj_3R_231()
+ inline bool jj_3R_232()
{
if (jj_done) return true;
- if (jj_3R_355()) return true;
+ if (jj_3R_356()) return true;
return false;
}
- inline bool jj_3R_230()
+ inline bool jj_3R_231()
{
if (jj_done) return true;
if (jj_3R_96()) return true;
return false;
}
- inline bool jj_3R_229()
+ inline bool jj_3R_230()
{
if (jj_done) return true;
if (jj_3R_95()) return true;
return false;
}
- inline bool jj_3R_228()
- {
- if (jj_done) return true;
- if (jj_3R_94()) return true;
- return false;
- }
-
inline bool jj_3R_106()
{
if (jj_done) return true;
Token * xsp;
xsp = jj_scanpos;
- if (!jj_3R_228()) return false;
+ if (jj_3R_229()) {
jj_scanpos = xsp;
- if (!jj_3R_229()) return false;
+ if (jj_3R_230()) {
jj_scanpos = xsp;
- if (!jj_3R_230()) return false;
+ if (jj_3R_231()) {
jj_scanpos = xsp;
- if (!jj_3R_231()) return false;
+ if (jj_3R_232()) {
jj_scanpos = xsp;
- if (jj_3R_232()) return true;
+ if (jj_3R_233()) return true;
+ }
+ }
+ }
+ }
return false;
}
-
-public:
- void setErrorHandler(ErrorHandler *eh) {
- if (errorHandler) delete errorHandler;
- errorHandler = eh;
+ inline bool jj_3R_229()
+ {
+ if (jj_done) return true;
+ if (jj_3R_94()) return true;
+ return false;
}
- TokenManager *token_source = nullptr;
- CharStream *jj_input_stream = nullptr;
+ public: TokenManager *token_source;
+ public: CharStream *jj_input_stream;
/** Current token. */
- Token *token = nullptr;
+ public: Token *token;
/** Next token. */
- Token *jj_nt = nullptr;
-
-private:
- int jj_ntk;
- JJCalls jj_2_rtns[116];
- bool jj_rescan;
- int jj_gc;
- Token *jj_scanpos, *jj_lastpos;
- int jj_la;
+ public: Token *jj_nt;
+ private: int jj_ntk;
+ private: JJCalls jj_2_rtns[117];
+ private: bool jj_rescan;
+ private: int jj_gc;
+ private: Token *jj_scanpos, *jj_lastpos;
+ private: int jj_la;
/** Whether we are looking ahead. */
- bool jj_lookingAhead;
- bool jj_semLA;
- int jj_gen;
- int jj_la1[294];
- ErrorHandler *errorHandler = nullptr;
-
-protected:
- bool hasError;
-
- Token *head;
-public:
- VhdlParser(TokenManager *tokenManager);
- virtual ~VhdlParser();
-void ReInit(TokenManager* tokenManager);
-void clear();
+ private: bool jj_lookingAhead;
+ private: bool jj_semLA;
+ private: int jj_gen;
+ private: int jj_la1[294];
+ private: ErrorHandler *errorHandler;
+ private: bool errorHandlerCreated;
+ protected: bool hasError;
+ public: void setErrorHandler(ErrorHandler *eh) {
+ if (errorHandlerCreated) delete errorHandler;
+ errorHandler = eh;
+ errorHandlerCreated = false;
+ }
+ Token *head;
+ public:
+
+ VhdlParser(TokenManager *tm);
+ public: virtual ~VhdlParser();
+
+void ReInit(TokenManager *tm);
+
Token * jj_consume_token(int kind);
+
bool jj_scan_token(int kind);
+
Token * getNextToken();
+
Token * getToken(int index);
+
int jj_ntk_f();
-private:
- int jj_kind;
+ private: int jj_kind;
int **jj_expentries;
int *jj_expentry;
- void jj_add_error_token(int kind, int pos);
-protected:
- /** Generate ParseException. */
- virtual void parseError();
-private:
- int indent; // trace indentation
- bool trace = false; // trace enabled if true
-
-public:
- bool trace_enabled();
- void enable_tracing();
- void disable_tracing();
- void jj_rescan_token();
- void jj_save(int index, int xla);
+
+void jj_add_error_token(int kind, int pos);
+
+protected: virtual void parseError();
+ private: int trace_indent;
+ private: bool trace_enabled;
+ /** Enable tracing. */
+
+public: void enable_tracing();
+
+public: void disable_tracing();
+
+void jj_rescan_token();
+
+void jj_save(int index, int xla);
typedef unsigned long long uint64;
static Entry* current_root;
@@ -8462,10 +8996,8 @@ static void mapLibPackage( Entry* root);
static void createFlow();
static void error_skipto(int kind);
static void oneLineComment(QCString qcs);
-static void setMultCommentLine();
+static void setMultCommentLine();private: bool jj_done;
-private:
- bool jj_done;
};
}
}
diff --git a/vhdlparser/VhdlParserConstants.h b/vhdlparser/VhdlParserConstants.h
index fe51270..882402b 100644
--- a/vhdlparser/VhdlParserConstants.h
+++ b/vhdlparser/VhdlParserConstants.h
@@ -2,7 +2,7 @@
/**
* Token literal values and constants.
- * Generated by org.javacc.parser.OtherFilesGenCPP#start()
+ * Generated by org.javacc.parser.OtherFilesGen#start()
*/
#ifndef VHDLPARSERCONSTANTS_H
#define VHDLPARSERCONSTANTS_H
@@ -387,387 +387,387 @@ const int VHDL2008TOOLDIR = 189;
const int DEFAULT = 0;
/** Literal token values. */
- static const JJChar tokenImage_arr_0[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_0[] =
{0x3c, 0x45, 0x4f, 0x46, 0x3e, 0};
- static const JJChar tokenImage_arr_1[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_1[] =
{0x22, 0x20, 0x22, 0};
- static const JJChar tokenImage_arr_2[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_2[] =
{0x22, 0x9, 0x22, 0};
- static const JJChar tokenImage_arr_3[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_3[] =
{0x22, 0xa, 0x22, 0};
- static const JJChar tokenImage_arr_4[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_4[] =
{0x22, 0xd, 0x22, 0};
- static const JJChar tokenImage_arr_5[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_5[] =
{0x22, 0x3c, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_6[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_6[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_7[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_7[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x46, 0x4c, 0x4f, 0x57, 0x43, 0x48, 0x41, 0x52, 0x54, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_8[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_8[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_9[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_9[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x44, 0x4f, 0x58, 0x59, 0x47, 0x45, 0x4e, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x5f, 0x32, 0x30, 0x30, 0x38, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_10[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_10[] =
{0x22, 0x3c, 0x4d, 0x55, 0x4c, 0x54, 0x5f, 0x56, 0x48, 0x44, 0x4c, 0x5f, 0x32, 0x30, 0x30, 0x38, 0x5f, 0x43, 0x4f, 0x4d, 0x4d, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_11[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_11[] =
{0x22, 0x61, 0x62, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_12[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_12[] =
{0x22, 0x61, 0x63, 0x63, 0x65, 0x73, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_13[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_13[] =
{0x22, 0x61, 0x66, 0x74, 0x65, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_14[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_14[] =
{0x22, 0x61, 0x6c, 0x69, 0x61, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_15[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_15[] =
{0x22, 0x61, 0x6c, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_16[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_16[] =
{0x22, 0x61, 0x6e, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_17[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_17[] =
{0x22, 0x61, 0x72, 0x63, 0x68, 0x69, 0x74, 0x65, 0x63, 0x74, 0x75, 0x72, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_18[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_18[] =
{0x22, 0x61, 0x72, 0x72, 0x61, 0x79, 0x22, 0};
- static const JJChar tokenImage_arr_19[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_19[] =
{0x22, 0x61, 0x73, 0x73, 0x65, 0x72, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_20[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_20[] =
{0x22, 0x61, 0x73, 0x73, 0x75, 0x6d, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_21[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_21[] =
{0x22, 0x61, 0x73, 0x73, 0x75, 0x6d, 0x65, 0x5f, 0x67, 0x75, 0x61, 0x72, 0x61, 0x6e, 0x74, 0x65, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_22[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_22[] =
{0x22, 0x61, 0x74, 0x74, 0x72, 0x69, 0x62, 0x75, 0x74, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_23[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_23[] =
{0x22, 0x62, 0x65, 0x67, 0x69, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_24[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_24[] =
{0x22, 0x62, 0x6c, 0x6f, 0x63, 0x6b, 0x22, 0};
- static const JJChar tokenImage_arr_25[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_25[] =
{0x22, 0x62, 0x6f, 0x64, 0x79, 0x22, 0};
- static const JJChar tokenImage_arr_26[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_26[] =
{0x22, 0x62, 0x75, 0x66, 0x66, 0x65, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_27[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_27[] =
{0x22, 0x62, 0x75, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_28[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_28[] =
{0x22, 0x63, 0x6f, 0x6d, 0x70, 0x6f, 0x6e, 0x65, 0x6e, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_29[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_29[] =
{0x22, 0x63, 0x61, 0x73, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_30[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_30[] =
{0x22, 0x63, 0x6f, 0x6e, 0x66, 0x69, 0x67, 0x75, 0x72, 0x61, 0x74, 0x69, 0x6f, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_31[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_31[] =
{0x22, 0x63, 0x6f, 0x6e, 0x73, 0x74, 0x61, 0x6e, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_32[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_32[] =
{0x22, 0x63, 0x6f, 0x6e, 0x74, 0x65, 0x78, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_33[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_33[] =
{0x22, 0x63, 0x6f, 0x76, 0x65, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_34[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_34[] =
{0x22, 0x64, 0x65, 0x66, 0x61, 0x75, 0x6c, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_35[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_35[] =
{0x22, 0x64, 0x69, 0x73, 0x63, 0x6f, 0x6e, 0x6e, 0x65, 0x63, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_36[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_36[] =
{0x22, 0x64, 0x6f, 0x77, 0x6e, 0x74, 0x6f, 0x22, 0};
- static const JJChar tokenImage_arr_37[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_37[] =
{0x22, 0x65, 0x6c, 0x73, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_38[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_38[] =
{0x22, 0x65, 0x6c, 0x73, 0x69, 0x66, 0x22, 0};
- static const JJChar tokenImage_arr_39[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_39[] =
{0x22, 0x65, 0x6e, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_40[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_40[] =
{0x22, 0x65, 0x6e, 0x74, 0x69, 0x74, 0x79, 0x22, 0};
- static const JJChar tokenImage_arr_41[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_41[] =
{0x22, 0x65, 0x78, 0x69, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_42[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_42[] =
{0x22, 0x66, 0x61, 0x69, 0x72, 0x6e, 0x65, 0x73, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_43[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_43[] =
{0x22, 0x66, 0x69, 0x6c, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_44[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_44[] =
{0x22, 0x66, 0x6f, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_45[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_45[] =
{0x22, 0x66, 0x6f, 0x72, 0x63, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_46[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_46[] =
{0x22, 0x66, 0x75, 0x6e, 0x63, 0x74, 0x69, 0x6f, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_47[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_47[] =
{0x22, 0x67, 0x65, 0x6e, 0x65, 0x72, 0x61, 0x74, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_48[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_48[] =
{0x22, 0x67, 0x65, 0x6e, 0x65, 0x72, 0x69, 0x63, 0x22, 0};
- static const JJChar tokenImage_arr_49[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_49[] =
{0x22, 0x67, 0x72, 0x6f, 0x75, 0x70, 0x22, 0};
- static const JJChar tokenImage_arr_50[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_50[] =
{0x22, 0x67, 0x75, 0x61, 0x72, 0x64, 0x65, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_51[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_51[] =
{0x22, 0x69, 0x66, 0x22, 0};
- static const JJChar tokenImage_arr_52[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_52[] =
{0x22, 0x69, 0x6d, 0x70, 0x75, 0x72, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_53[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_53[] =
{0x22, 0x69, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_54[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_54[] =
{0x22, 0x69, 0x6e, 0x65, 0x72, 0x74, 0x69, 0x61, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_55[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_55[] =
{0x22, 0x69, 0x6e, 0x6f, 0x75, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_56[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_56[] =
{0x22, 0x69, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_57[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_57[] =
{0x22, 0x6c, 0x61, 0x62, 0x65, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_58[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_58[] =
{0x22, 0x6c, 0x69, 0x62, 0x72, 0x61, 0x72, 0x79, 0x22, 0};
- static const JJChar tokenImage_arr_59[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_59[] =
{0x22, 0x6c, 0x69, 0x6e, 0x6b, 0x61, 0x67, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_60[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_60[] =
{0x22, 0x6c, 0x69, 0x74, 0x65, 0x72, 0x61, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_61[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_61[] =
{0x22, 0x6c, 0x6f, 0x6f, 0x70, 0x22, 0};
- static const JJChar tokenImage_arr_62[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_62[] =
{0x22, 0x6d, 0x61, 0x70, 0x22, 0};
- static const JJChar tokenImage_arr_63[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_63[] =
{0x22, 0x6d, 0x6f, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_64[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_64[] =
{0x22, 0x6e, 0x61, 0x6e, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_65[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_65[] =
{0x22, 0x6e, 0x65, 0x77, 0x22, 0};
- static const JJChar tokenImage_arr_66[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_66[] =
{0x22, 0x6e, 0x65, 0x78, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_67[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_67[] =
{0x22, 0x6e, 0x6f, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_68[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_68[] =
{0x22, 0x6e, 0x6f, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_69[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_69[] =
{0x22, 0x6e, 0x75, 0x6c, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_70[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_70[] =
{0x22, 0x6f, 0x66, 0x22, 0};
- static const JJChar tokenImage_arr_71[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_71[] =
{0x22, 0x6f, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_72[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_72[] =
{0x22, 0x6f, 0x70, 0x65, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_73[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_73[] =
{0x22, 0x6f, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_74[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_74[] =
{0x22, 0x6f, 0x74, 0x68, 0x65, 0x72, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_75[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_75[] =
{0x22, 0x6f, 0x75, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_76[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_76[] =
{0x22, 0x70, 0x61, 0x63, 0x6b, 0x61, 0x67, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_77[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_77[] =
{0x22, 0x70, 0x61, 0x72, 0x61, 0x6d, 0x65, 0x74, 0x65, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_78[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_78[] =
{0x22, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_79[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_79[] =
{0x22, 0x70, 0x6f, 0x73, 0x74, 0x70, 0x6f, 0x6e, 0x65, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_80[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_80[] =
{0x22, 0x70, 0x72, 0x6f, 0x63, 0x65, 0x64, 0x75, 0x72, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_81[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_81[] =
{0x22, 0x70, 0x72, 0x6f, 0x63, 0x65, 0x73, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_82[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_82[] =
{0x22, 0x70, 0x72, 0x6f, 0x70, 0x65, 0x72, 0x74, 0x79, 0x22, 0};
- static const JJChar tokenImage_arr_83[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_83[] =
{0x22, 0x70, 0x72, 0x6f, 0x74, 0x65, 0x63, 0x74, 0x65, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_84[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_84[] =
{0x22, 0x70, 0x75, 0x72, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_85[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_85[] =
{0x22, 0x72, 0x61, 0x6e, 0x67, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_86[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_86[] =
{0x22, 0x72, 0x65, 0x63, 0x6f, 0x72, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_87[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_87[] =
{0x22, 0x72, 0x65, 0x67, 0x69, 0x73, 0x74, 0x65, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_88[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_88[] =
{0x22, 0x72, 0x65, 0x6a, 0x65, 0x63, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_89[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_89[] =
{0x22, 0x72, 0x65, 0x6c, 0x65, 0x61, 0x73, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_90[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_90[] =
{0x22, 0x72, 0x65, 0x73, 0x74, 0x72, 0x69, 0x63, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_91[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_91[] =
{0x22, 0x72, 0x65, 0x73, 0x74, 0x72, 0x69, 0x63, 0x74, 0x5f, 0x67, 0x75, 0x61, 0x72, 0x61, 0x6e, 0x74, 0x65, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_92[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_92[] =
{0x22, 0x72, 0x65, 0x6d, 0x22, 0};
- static const JJChar tokenImage_arr_93[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_93[] =
{0x22, 0x72, 0x65, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_94[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_94[] =
{0x22, 0x72, 0x6f, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_95[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_95[] =
{0x22, 0x72, 0x6f, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_96[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_96[] =
{0x22, 0x72, 0x65, 0x74, 0x75, 0x72, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_97[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_97[] =
{0x22, 0x73, 0x65, 0x6c, 0x65, 0x63, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_98[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_98[] =
{0x22, 0x73, 0x65, 0x71, 0x75, 0x65, 0x6e, 0x63, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_99[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_99[] =
{0x22, 0x73, 0x65, 0x76, 0x65, 0x72, 0x69, 0x74, 0x79, 0x22, 0};
- static const JJChar tokenImage_arr_100[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_100[] =
{0x22, 0x73, 0x69, 0x67, 0x6e, 0x61, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_101[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_101[] =
{0x22, 0x73, 0x68, 0x61, 0x72, 0x65, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_102[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_102[] =
{0x22, 0x73, 0x6c, 0x61, 0x22, 0};
- static const JJChar tokenImage_arr_103[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_103[] =
{0x22, 0x73, 0x6c, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_104[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_104[] =
{0x22, 0x73, 0x72, 0x61, 0x22, 0};
- static const JJChar tokenImage_arr_105[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_105[] =
{0x22, 0x73, 0x72, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_106[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_106[] =
{0x22, 0x73, 0x74, 0x72, 0x6f, 0x6e, 0x67, 0x22, 0};
- static const JJChar tokenImage_arr_107[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_107[] =
{0x22, 0x73, 0x75, 0x62, 0x74, 0x79, 0x70, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_108[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_108[] =
{0x22, 0x74, 0x68, 0x65, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_109[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_109[] =
{0x22, 0x74, 0x6f, 0x22, 0};
- static const JJChar tokenImage_arr_110[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_110[] =
{0x22, 0x74, 0x72, 0x61, 0x6e, 0x73, 0x70, 0x6f, 0x72, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_111[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_111[] =
{0x22, 0x74, 0x79, 0x70, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_112[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_112[] =
{0x22, 0x75, 0x6e, 0x61, 0x66, 0x66, 0x65, 0x63, 0x74, 0x65, 0x64, 0x22, 0};
- static const JJChar tokenImage_arr_113[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_113[] =
{0x22, 0x75, 0x6e, 0x69, 0x74, 0x73, 0x22, 0};
- static const JJChar tokenImage_arr_114[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_114[] =
{0x22, 0x75, 0x6e, 0x74, 0x69, 0x6c, 0x22, 0};
- static const JJChar tokenImage_arr_115[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_115[] =
{0x22, 0x75, 0x73, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_116[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_116[] =
{0x22, 0x76, 0x61, 0x72, 0x69, 0x61, 0x62, 0x6c, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_117[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_117[] =
{0x22, 0x76, 0x6d, 0x6f, 0x64, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_118[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_118[] =
{0x22, 0x76, 0x70, 0x72, 0x6f, 0x70, 0x22, 0};
- static const JJChar tokenImage_arr_119[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_119[] =
{0x22, 0x76, 0x75, 0x6e, 0x69, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_120[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_120[] =
{0x22, 0x77, 0x61, 0x69, 0x74, 0x22, 0};
- static const JJChar tokenImage_arr_121[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_121[] =
{0x22, 0x77, 0x68, 0x65, 0x6e, 0x22, 0};
- static const JJChar tokenImage_arr_122[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_122[] =
{0x22, 0x77, 0x68, 0x69, 0x6c, 0x65, 0x22, 0};
- static const JJChar tokenImage_arr_123[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_123[] =
{0x22, 0x77, 0x69, 0x74, 0x68, 0x22, 0};
- static const JJChar tokenImage_arr_124[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_124[] =
{0x22, 0x78, 0x6f, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_125[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_125[] =
{0x22, 0x78, 0x6e, 0x6f, 0x72, 0x22, 0};
- static const JJChar tokenImage_arr_126[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_126[] =
{0x22, 0x26, 0x22, 0};
- static const JJChar tokenImage_arr_127[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_127[] =
{0x22, 0x27, 0x22, 0};
- static const JJChar tokenImage_arr_128[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_128[] =
{0x22, 0x28, 0x22, 0};
- static const JJChar tokenImage_arr_129[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_129[] =
{0x22, 0x29, 0x22, 0};
- static const JJChar tokenImage_arr_130[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_130[] =
{0x22, 0x2a, 0x2a, 0x22, 0};
- static const JJChar tokenImage_arr_131[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_131[] =
{0x22, 0x2a, 0x22, 0};
- static const JJChar tokenImage_arr_132[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_132[] =
{0x22, 0x2b, 0x22, 0};
- static const JJChar tokenImage_arr_133[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_133[] =
{0x22, 0x2d, 0x22, 0};
- static const JJChar tokenImage_arr_134[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_134[] =
{0x22, 0x2c, 0x22, 0};
- static const JJChar tokenImage_arr_135[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_135[] =
{0x22, 0x3a, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_136[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_136[] =
{0x22, 0x3a, 0x22, 0};
- static const JJChar tokenImage_arr_137[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_137[] =
{0x22, 0x3b, 0x22, 0};
- static const JJChar tokenImage_arr_138[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_138[] =
{0x22, 0x3c, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_139[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_139[] =
{0x22, 0x3e, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_140[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_140[] =
{0x22, 0x3c, 0x22, 0};
- static const JJChar tokenImage_arr_141[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_141[] =
{0x22, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_142[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_142[] =
{0x22, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_143[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_143[] =
{0x22, 0x2f, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_144[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_144[] =
{0x22, 0x3d, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_145[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_145[] =
{0x22, 0x3c, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_146[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_146[] =
{0x22, 0x3c, 0x3c, 0x22, 0};
- static const JJChar tokenImage_arr_147[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_147[] =
{0x22, 0x3e, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_148[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_148[] =
{0x22, 0x3f, 0x3f, 0x22, 0};
- static const JJChar tokenImage_arr_149[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_149[] =
{0x22, 0x3f, 0x3e, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_150[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_150[] =
{0x22, 0x3f, 0x3c, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_151[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_151[] =
{0x22, 0x3f, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_152[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_152[] =
{0x22, 0x3f, 0x3c, 0x22, 0};
- static const JJChar tokenImage_arr_153[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_153[] =
{0x22, 0x3f, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_154[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_154[] =
{0x22, 0x3f, 0x2f, 0x3d, 0x22, 0};
- static const JJChar tokenImage_arr_155[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_155[] =
{0x22, 0x3f, 0x22, 0};
- static const JJChar tokenImage_arr_156[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_156[] =
{0x22, 0x7c, 0x22, 0};
- static const JJChar tokenImage_arr_157[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_157[] =
{0x22, 0x2e, 0x22, 0};
- static const JJChar tokenImage_arr_158[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_158[] =
{0x22, 0x2f, 0x22, 0};
- static const JJChar tokenImage_arr_159[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_159[] =
{0x22, 0x40, 0x22, 0};
- static const JJChar tokenImage_arr_160[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_160[] =
{0x22, 0x5e, 0x22, 0};
- static const JJChar tokenImage_arr_161[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_161[] =
{0x22, 0x5b, 0x22, 0};
- static const JJChar tokenImage_arr_162[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_162[] =
{0x22, 0x5d, 0x22, 0};
- static const JJChar tokenImage_arr_163[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_163[] =
{0x22, 0x7b, 0x22, 0};
- static const JJChar tokenImage_arr_164[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_164[] =
{0x22, 0x7d, 0x22, 0};
- static const JJChar tokenImage_arr_165[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_165[] =
{0x22, 0x3c, 0x49, 0x4e, 0x54, 0x45, 0x47, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_166[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_166[] =
{0x22, 0x3c, 0x53, 0x54, 0x52, 0x49, 0x4e, 0x47, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_167[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_167[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x49, 0x43, 0x5f, 0x49, 0x44, 0x45, 0x4e, 0x54, 0x49, 0x46, 0x49, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_168[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_168[] =
{0x22, 0x3c, 0x45, 0x58, 0x54, 0x45, 0x4e, 0x44, 0x45, 0x44, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_169[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_169[] =
{0x22, 0x3c, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_170[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_170[] =
{0x22, 0x3c, 0x44, 0x45, 0x43, 0x49, 0x4d, 0x41, 0x4c, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_171[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_171[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x44, 0x5f, 0x49, 0x4e, 0x54, 0x45, 0x47, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_172[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_172[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x44, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_173[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_173[] =
{0x22, 0x3c, 0x45, 0x58, 0x50, 0x4f, 0x4e, 0x45, 0x4e, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_174[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_174[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x49, 0x43, 0x5f, 0x47, 0x52, 0x41, 0x50, 0x48, 0x49, 0x43, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_175[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_175[] =
{0x22, 0x3c, 0x47, 0x52, 0x41, 0x50, 0x48, 0x49, 0x43, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_176[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_176[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x5f, 0x4f, 0x52, 0x5f, 0x44, 0x49, 0x47, 0x49, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_177[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_177[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x5f, 0x4f, 0x52, 0x5f, 0x44, 0x49, 0x47, 0x49, 0x54, 0x5f, 0x4f, 0x52, 0x5f, 0x53, 0x54, 0x44, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_178[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_178[] =
{0x22, 0x3c, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_179[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_179[] =
{0x22, 0x3c, 0x55, 0x50, 0x50, 0x45, 0x52, 0x5f, 0x43, 0x41, 0x53, 0x45, 0x5f, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_180[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_180[] =
{0x22, 0x3c, 0x42, 0x49, 0x54, 0x5f, 0x53, 0x54, 0x52, 0x49, 0x4e, 0x47, 0x5f, 0x4c, 0x49, 0x54, 0x45, 0x52, 0x41, 0x4c, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_181[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_181[] =
{0x22, 0x3c, 0x42, 0x41, 0x53, 0x45, 0x5f, 0x53, 0x50, 0x45, 0x43, 0x49, 0x46, 0x49, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_182[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_182[] =
{0x22, 0x3c, 0x53, 0x54, 0x44, 0x5f, 0x4c, 0x4f, 0x47, 0x49, 0x43, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_183[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_183[] =
{0x22, 0x3c, 0x44, 0x49, 0x47, 0x49, 0x54, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_184[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_184[] =
{0x22, 0x3c, 0x53, 0x50, 0x45, 0x43, 0x49, 0x41, 0x4c, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_185[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_185[] =
{0x22, 0x3c, 0x4f, 0x54, 0x48, 0x45, 0x52, 0x5f, 0x53, 0x50, 0x45, 0x43, 0x49, 0x41, 0x4c, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_186[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_186[] =
{0x22, 0x3c, 0x53, 0x50, 0x41, 0x43, 0x45, 0x5f, 0x43, 0x48, 0x41, 0x52, 0x41, 0x43, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_187[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_187[] =
{0x22, 0x3c, 0x4c, 0x4f, 0x57, 0x45, 0x52, 0x5f, 0x43, 0x41, 0x53, 0x45, 0x5f, 0x4c, 0x45, 0x54, 0x54, 0x45, 0x52, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_188[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_188[] =
{0x22, 0x3c, 0x51, 0x55, 0x4f, 0x54, 0x45, 0x3e, 0x22, 0};
- static const JJChar tokenImage_arr_189[] =
+ static JAVACC_CHAR_TYPE tokenImage_arr_189[] =
{0x22, 0x3c, 0x56, 0x48, 0x44, 0x4c, 0x32, 0x30, 0x30, 0x38, 0x54, 0x4f, 0x4f, 0x4c, 0x44, 0x49, 0x52, 0x3e, 0x22, 0};
- static const JJChar* const tokenImage[] = {
+ static JAVACC_STRING_TYPE tokenImage[] = {
tokenImage_arr_0,
tokenImage_arr_1,
tokenImage_arr_2,
diff --git a/vhdlparser/VhdlParserTokenManager.cc b/vhdlparser/VhdlParserTokenManager.cc
index 7187449..728e4c4 100644
--- a/vhdlparser/VhdlParserTokenManager.cc
+++ b/vhdlparser/VhdlParserTokenManager.cc
@@ -1,6 +1,5 @@
/* VhdlParserTokenManager.cc */
-#include "VhdlParserTokenManager.h"
-#include "TokenMgrError.h"
+#include "/Users/dimitri/doxygen/vhdlparser/VhdlParserTokenManager.h"
namespace vhdl {
namespace parser {
static const unsigned long long jjbitVec0[] = {
@@ -18,227 +17,227 @@ static const int jjnextStates[] = {
40, 42, 56, 57, 58, 61, 60, 59, 61, 65, 66, 67, 68, 69, 71, 9,
10, 28, 29, 45, 47, 50, 52, 27, 30,
};
-static JJChar jjstrLiteralChars_0[] = {0};
-static JJChar jjstrLiteralChars_1[] = {0};
-static JJChar jjstrLiteralChars_2[] = {0};
-static JJChar jjstrLiteralChars_3[] = {0};
-static JJChar jjstrLiteralChars_4[] = {0};
-static JJChar jjstrLiteralChars_5[] = {0};
-static JJChar jjstrLiteralChars_6[] = {0};
-
-static JJChar jjstrLiteralChars_7[] = {0};
-static JJChar jjstrLiteralChars_8[] = {0};
-static JJChar jjstrLiteralChars_9[] = {0};
-static JJChar jjstrLiteralChars_10[] = {0};
-static JJChar jjstrLiteralChars_11[] = {0};
-static JJChar jjstrLiteralChars_12[] = {0};
-static JJChar jjstrLiteralChars_13[] = {0};
-static JJChar jjstrLiteralChars_14[] = {0};
-static JJChar jjstrLiteralChars_15[] = {0};
-static JJChar jjstrLiteralChars_16[] = {0};
-static JJChar jjstrLiteralChars_17[] = {0};
-static JJChar jjstrLiteralChars_18[] = {0};
-static JJChar jjstrLiteralChars_19[] = {0};
-static JJChar jjstrLiteralChars_20[] = {0};
-
-static JJChar jjstrLiteralChars_21[] = {0};
-static JJChar jjstrLiteralChars_22[] = {0};
-static JJChar jjstrLiteralChars_23[] = {0};
-static JJChar jjstrLiteralChars_24[] = {0};
-static JJChar jjstrLiteralChars_25[] = {0};
-static JJChar jjstrLiteralChars_26[] = {0};
-static JJChar jjstrLiteralChars_27[] = {0};
-static JJChar jjstrLiteralChars_28[] = {0};
-static JJChar jjstrLiteralChars_29[] = {0};
-static JJChar jjstrLiteralChars_30[] = {0};
-static JJChar jjstrLiteralChars_31[] = {0};
-static JJChar jjstrLiteralChars_32[] = {0};
-static JJChar jjstrLiteralChars_33[] = {0};
-static JJChar jjstrLiteralChars_34[] = {0};
-
-static JJChar jjstrLiteralChars_35[] = {0};
-static JJChar jjstrLiteralChars_36[] = {0};
-static JJChar jjstrLiteralChars_37[] = {0};
-static JJChar jjstrLiteralChars_38[] = {0};
-static JJChar jjstrLiteralChars_39[] = {0};
-static JJChar jjstrLiteralChars_40[] = {0};
-static JJChar jjstrLiteralChars_41[] = {0};
-static JJChar jjstrLiteralChars_42[] = {0};
-static JJChar jjstrLiteralChars_43[] = {0};
-static JJChar jjstrLiteralChars_44[] = {0};
-static JJChar jjstrLiteralChars_45[] = {0};
-static JJChar jjstrLiteralChars_46[] = {0};
-static JJChar jjstrLiteralChars_47[] = {0};
-static JJChar jjstrLiteralChars_48[] = {0};
-
-static JJChar jjstrLiteralChars_49[] = {0};
-static JJChar jjstrLiteralChars_50[] = {0};
-static JJChar jjstrLiteralChars_51[] = {0};
-static JJChar jjstrLiteralChars_52[] = {0};
-static JJChar jjstrLiteralChars_53[] = {0};
-static JJChar jjstrLiteralChars_54[] = {0};
-static JJChar jjstrLiteralChars_55[] = {0};
-static JJChar jjstrLiteralChars_56[] = {0};
-static JJChar jjstrLiteralChars_57[] = {0};
-static JJChar jjstrLiteralChars_58[] = {0};
-static JJChar jjstrLiteralChars_59[] = {0};
-static JJChar jjstrLiteralChars_60[] = {0};
-static JJChar jjstrLiteralChars_61[] = {0};
-static JJChar jjstrLiteralChars_62[] = {0};
-
-static JJChar jjstrLiteralChars_63[] = {0};
-static JJChar jjstrLiteralChars_64[] = {0};
-static JJChar jjstrLiteralChars_65[] = {0};
-static JJChar jjstrLiteralChars_66[] = {0};
-static JJChar jjstrLiteralChars_67[] = {0};
-static JJChar jjstrLiteralChars_68[] = {0};
-static JJChar jjstrLiteralChars_69[] = {0};
-static JJChar jjstrLiteralChars_70[] = {0};
-static JJChar jjstrLiteralChars_71[] = {0};
-static JJChar jjstrLiteralChars_72[] = {0};
-static JJChar jjstrLiteralChars_73[] = {0};
-static JJChar jjstrLiteralChars_74[] = {0};
-static JJChar jjstrLiteralChars_75[] = {0};
-static JJChar jjstrLiteralChars_76[] = {0};
-
-static JJChar jjstrLiteralChars_77[] = {0};
-static JJChar jjstrLiteralChars_78[] = {0};
-static JJChar jjstrLiteralChars_79[] = {0};
-static JJChar jjstrLiteralChars_80[] = {0};
-static JJChar jjstrLiteralChars_81[] = {0};
-static JJChar jjstrLiteralChars_82[] = {0};
-static JJChar jjstrLiteralChars_83[] = {0};
-static JJChar jjstrLiteralChars_84[] = {0};
-static JJChar jjstrLiteralChars_85[] = {0};
-static JJChar jjstrLiteralChars_86[] = {0};
-static JJChar jjstrLiteralChars_87[] = {0};
-static JJChar jjstrLiteralChars_88[] = {0};
-static JJChar jjstrLiteralChars_89[] = {0};
-static JJChar jjstrLiteralChars_90[] = {0};
-
-static JJChar jjstrLiteralChars_91[] = {0};
-static JJChar jjstrLiteralChars_92[] = {0};
-static JJChar jjstrLiteralChars_93[] = {0};
-static JJChar jjstrLiteralChars_94[] = {0};
-static JJChar jjstrLiteralChars_95[] = {0};
-static JJChar jjstrLiteralChars_96[] = {0};
-static JJChar jjstrLiteralChars_97[] = {0};
-static JJChar jjstrLiteralChars_98[] = {0};
-static JJChar jjstrLiteralChars_99[] = {0};
-static JJChar jjstrLiteralChars_100[] = {0};
-static JJChar jjstrLiteralChars_101[] = {0};
-static JJChar jjstrLiteralChars_102[] = {0};
-static JJChar jjstrLiteralChars_103[] = {0};
-static JJChar jjstrLiteralChars_104[] = {0};
-
-static JJChar jjstrLiteralChars_105[] = {0};
-static JJChar jjstrLiteralChars_106[] = {0};
-static JJChar jjstrLiteralChars_107[] = {0};
-static JJChar jjstrLiteralChars_108[] = {0};
-static JJChar jjstrLiteralChars_109[] = {0};
-static JJChar jjstrLiteralChars_110[] = {0};
-static JJChar jjstrLiteralChars_111[] = {0};
-static JJChar jjstrLiteralChars_112[] = {0};
-static JJChar jjstrLiteralChars_113[] = {0};
-static JJChar jjstrLiteralChars_114[] = {0};
-static JJChar jjstrLiteralChars_115[] = {0};
-static JJChar jjstrLiteralChars_116[] = {0};
-static JJChar jjstrLiteralChars_117[] = {0};
-static JJChar jjstrLiteralChars_118[] = {0};
-
-static JJChar jjstrLiteralChars_119[] = {0};
-static JJChar jjstrLiteralChars_120[] = {0};
-static JJChar jjstrLiteralChars_121[] = {0};
-static JJChar jjstrLiteralChars_122[] = {0};
-static JJChar jjstrLiteralChars_123[] = {0};
-static JJChar jjstrLiteralChars_124[] = {0};
-static JJChar jjstrLiteralChars_125[] = {0};
-
-static JJChar jjstrLiteralChars_126[] = {0x26, 0};
-static JJChar jjstrLiteralChars_127[] = {0x27, 0};
-
-static JJChar jjstrLiteralChars_128[] = {0x28, 0};
-static JJChar jjstrLiteralChars_129[] = {0x29, 0};
-
-static JJChar jjstrLiteralChars_130[] = {0x2a, 0x2a, 0};
-static JJChar jjstrLiteralChars_131[] = {0x2a, 0};
-
-static JJChar jjstrLiteralChars_132[] = {0x2b, 0};
-static JJChar jjstrLiteralChars_133[] = {0x2d, 0};
-
-static JJChar jjstrLiteralChars_134[] = {0x2c, 0};
-static JJChar jjstrLiteralChars_135[] = {0x3a, 0x3d, 0};
-
-static JJChar jjstrLiteralChars_136[] = {0x3a, 0};
-static JJChar jjstrLiteralChars_137[] = {0x3b, 0};
-
-static JJChar jjstrLiteralChars_138[] = {0x3c, 0x3d, 0};
-static JJChar jjstrLiteralChars_139[] = {0x3e, 0x3d, 0};
-
-static JJChar jjstrLiteralChars_140[] = {0x3c, 0};
-static JJChar jjstrLiteralChars_141[] = {0x3e, 0};
-
-static JJChar jjstrLiteralChars_142[] = {0x3d, 0};
-static JJChar jjstrLiteralChars_143[] = {0x2f, 0x3d, 0};
-
-static JJChar jjstrLiteralChars_144[] = {0x3d, 0x3e, 0};
-static JJChar jjstrLiteralChars_145[] = {0x3c, 0x3e, 0};
-
-static JJChar jjstrLiteralChars_146[] = {0x3c, 0x3c, 0};
-static JJChar jjstrLiteralChars_147[] = {0x3e, 0x3e, 0};
-
-static JJChar jjstrLiteralChars_148[] = {0x3f, 0x3f, 0};
-static JJChar jjstrLiteralChars_149[] = {0x3f, 0x3e, 0x3d, 0};
-
-static JJChar jjstrLiteralChars_150[] = {0x3f, 0x3c, 0x3d, 0};
-static JJChar jjstrLiteralChars_151[] = {0x3f, 0x3e, 0};
-
-static JJChar jjstrLiteralChars_152[] = {0x3f, 0x3c, 0};
-static JJChar jjstrLiteralChars_153[] = {0x3f, 0x3d, 0};
-
-static JJChar jjstrLiteralChars_154[] = {0x3f, 0x2f, 0x3d, 0};
-static JJChar jjstrLiteralChars_155[] = {0x3f, 0};
-
-static JJChar jjstrLiteralChars_156[] = {0x7c, 0};
-static JJChar jjstrLiteralChars_157[] = {0x2e, 0};
-
-static JJChar jjstrLiteralChars_158[] = {0x2f, 0};
-static JJChar jjstrLiteralChars_159[] = {0x40, 0};
-
-static JJChar jjstrLiteralChars_160[] = {0x5e, 0};
-static JJChar jjstrLiteralChars_161[] = {0x5b, 0};
-
-static JJChar jjstrLiteralChars_162[] = {0x5d, 0};
-static JJChar jjstrLiteralChars_163[] = {0x7b, 0};
-
-static JJChar jjstrLiteralChars_164[] = {0x7d, 0};
-static JJChar jjstrLiteralChars_165[] = {0};
-static JJChar jjstrLiteralChars_166[] = {0};
-static JJChar jjstrLiteralChars_167[] = {0};
-static JJChar jjstrLiteralChars_168[] = {0};
-static JJChar jjstrLiteralChars_169[] = {0};
-static JJChar jjstrLiteralChars_170[] = {0};
-static JJChar jjstrLiteralChars_171[] = {0};
-static JJChar jjstrLiteralChars_172[] = {0};
-static JJChar jjstrLiteralChars_173[] = {0};
-static JJChar jjstrLiteralChars_174[] = {0};
-static JJChar jjstrLiteralChars_175[] = {0};
-static JJChar jjstrLiteralChars_176[] = {0};
-static JJChar jjstrLiteralChars_177[] = {0};
-
-static JJChar jjstrLiteralChars_178[] = {0};
-static JJChar jjstrLiteralChars_179[] = {0};
-static JJChar jjstrLiteralChars_180[] = {0};
-static JJChar jjstrLiteralChars_181[] = {0};
-static JJChar jjstrLiteralChars_182[] = {0};
-static JJChar jjstrLiteralChars_183[] = {0};
-static JJChar jjstrLiteralChars_184[] = {0};
-static JJChar jjstrLiteralChars_185[] = {0};
-static JJChar jjstrLiteralChars_186[] = {0};
-static JJChar jjstrLiteralChars_187[] = {0};
-static JJChar jjstrLiteralChars_188[] = {0};
-static JJChar jjstrLiteralChars_189[] = {0};
-static const JJString jjstrLiteralImages[] = {
+static JAVACC_CHAR_TYPE jjstrLiteralChars_0[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_1[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_2[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_3[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_4[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_5[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_6[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_7[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_8[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_9[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_10[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_11[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_12[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_13[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_14[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_15[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_16[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_17[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_18[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_19[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_20[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_21[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_22[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_23[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_24[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_25[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_26[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_27[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_28[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_29[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_30[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_31[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_32[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_33[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_34[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_35[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_36[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_37[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_38[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_39[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_40[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_41[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_42[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_43[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_44[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_45[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_46[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_47[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_48[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_49[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_50[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_51[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_52[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_53[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_54[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_55[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_56[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_57[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_58[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_59[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_60[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_61[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_62[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_63[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_64[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_65[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_66[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_67[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_68[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_69[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_70[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_71[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_72[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_73[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_74[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_75[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_76[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_77[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_78[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_79[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_80[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_81[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_82[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_83[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_84[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_85[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_86[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_87[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_88[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_89[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_90[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_91[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_92[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_93[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_94[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_95[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_96[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_97[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_98[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_99[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_100[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_101[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_102[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_103[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_104[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_105[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_106[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_107[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_108[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_109[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_110[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_111[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_112[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_113[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_114[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_115[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_116[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_117[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_118[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_119[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_120[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_121[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_122[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_123[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_124[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_125[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_126[] = {0x26, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_127[] = {0x27, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_128[] = {0x28, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_129[] = {0x29, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_130[] = {0x2a, 0x2a, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_131[] = {0x2a, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_132[] = {0x2b, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_133[] = {0x2d, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_134[] = {0x2c, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_135[] = {0x3a, 0x3d, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_136[] = {0x3a, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_137[] = {0x3b, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_138[] = {0x3c, 0x3d, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_139[] = {0x3e, 0x3d, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_140[] = {0x3c, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_141[] = {0x3e, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_142[] = {0x3d, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_143[] = {0x2f, 0x3d, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_144[] = {0x3d, 0x3e, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_145[] = {0x3c, 0x3e, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_146[] = {0x3c, 0x3c, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_147[] = {0x3e, 0x3e, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_148[] = {0x3f, 0x3f, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_149[] = {0x3f, 0x3e, 0x3d, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_150[] = {0x3f, 0x3c, 0x3d, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_151[] = {0x3f, 0x3e, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_152[] = {0x3f, 0x3c, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_153[] = {0x3f, 0x3d, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_154[] = {0x3f, 0x2f, 0x3d, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_155[] = {0x3f, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_156[] = {0x7c, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_157[] = {0x2e, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_158[] = {0x2f, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_159[] = {0x40, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_160[] = {0x5e, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_161[] = {0x5b, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_162[] = {0x5d, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_163[] = {0x7b, 0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_164[] = {0x7d, 0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_165[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_166[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_167[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_168[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_169[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_170[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_171[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_172[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_173[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_174[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_175[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_176[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_177[] = {0};
+
+static JAVACC_CHAR_TYPE jjstrLiteralChars_178[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_179[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_180[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_181[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_182[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_183[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_184[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_185[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_186[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_187[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_188[] = {0};
+static JAVACC_CHAR_TYPE jjstrLiteralChars_189[] = {0};
+static const JAVACC_STRING_TYPE jjstrLiteralImages[] = {
jjstrLiteralChars_0,
jjstrLiteralChars_1,
jjstrLiteralChars_2,
@@ -432,9 +431,9 @@ jjstrLiteralChars_189,
};
/** Lexer state names. */
-static const JJChar lexStateNames_arr_0[] =
+static const JAVACC_CHAR_TYPE lexStateNames_arr_0[] =
{0x44, 0x45, 0x46, 0x41, 0x55, 0x4c, 0x54, 0};
-static const JJString lexStateNames[] = {
+static const JAVACC_STRING_TYPE lexStateNames[] = {
lexStateNames_arr_0,
};
static const unsigned long long jjtoToken[] = {
@@ -444,7 +443,7 @@ static const unsigned long long jjtoSkip[] = {
0x7deULL, 0x0ULL, 0x0ULL,
};
- void VhdlParserTokenManager::setDebugStream(FILE *ds){ debugStream = ds; }
+void VhdlParserTokenManager::setDebugStream(FILE *ds){ debugStream = ds; }
int VhdlParserTokenManager::jjStopAtPos(int pos, int kind){
jjmatchedKind = kind;
@@ -3321,12 +3320,12 @@ bool VhdlParserTokenManager::jjCanMove_1(int hiByte, int i1, int i2, unsigned lo
Token * VhdlParserTokenManager::jjFillToken(){
Token *t;
- JJString curTokenImage;
- int beginLine = -1;
- int endLine = -1;
- int beginColumn = -1;
- int endColumn = -1;
- JJString im = jjstrLiteralImages[jjmatchedKind];
+ JAVACC_STRING_TYPE curTokenImage;
+ int beginLine;
+ int endLine;
+ int beginColumn;
+ int endColumn;
+ JAVACC_STRING_TYPE im = jjstrLiteralImages[jjmatchedKind];
curTokenImage = (im.length() == 0) ? input_stream->GetImage() : im;
if (input_stream->getTrackLineColumn()) {
beginLine = input_stream->getBeginLine();
@@ -3334,11 +3333,9 @@ Token * VhdlParserTokenManager::jjFillToken(){
endLine = input_stream->getEndLine();
endColumn = input_stream->getEndColumn();
}
- t = Token::newToken(jjmatchedKind);
- t->kind = jjmatchedKind;
- t->image = curTokenImage;
- t->specialToken = nullptr;
- t->next = nullptr;
+ t = Token::newToken(jjmatchedKind, curTokenImage);
+ t->specialToken = NULL;
+ t->next = NULL;
if (input_stream->getTrackLineColumn()) {
t->beginLine = beginLine;
@@ -3353,7 +3350,7 @@ const int defaultLexState = 0;
/** Get the next Token. */
Token * VhdlParserTokenManager::getNextToken(){
- Token *matchedToken = nullptr;
+ Token *matchedToken;
int curPos = 0;
for (;;)
@@ -3386,13 +3383,13 @@ Token * VhdlParserTokenManager::getNextToken(){
}
else
{
- SkipLexicalActions(nullptr);
+ SkipLexicalActions(NULL);
goto EOFLoop;
}
}
int error_line = input_stream->getEndLine();
int error_column = input_stream->getEndColumn();
- JJString error_after;
+ JAVACC_STRING_TYPE error_after;
bool EOFSeen = false;
if (input_stream->endOfInput()) {
EOFSeen = true;
@@ -3593,15 +3590,17 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
}
}
/** Reinitialise parser. */
- void VhdlParserTokenManager::ReInit(JAVACC_CHARSTREAM *stream, int lexState) {
- clear();
+ void VhdlParserTokenManager::ReInit(JAVACC_CHARSTREAM *stream, int lexState, VhdlParser *parserArg) {
+ if (input_stream) delete input_stream;
jjmatchedPos = jjnewStateCnt = 0;
curLexState = lexState;
input_stream = stream;
ReInitRounds();
debugStream = stdout; // init
SwitchTo(lexState);
+ parser = parserArg;
errorHandler = new TokenManagerErrorHandler();
+ errorHandlerCreated = true;
}
void VhdlParserTokenManager::ReInitRounds() {
@@ -3613,40 +3612,25 @@ void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
/** Switch to specified lex state. */
void VhdlParserTokenManager::SwitchTo(int lexState) {
- if (lexState >= 1 || lexState < 0) {
- JJString message;
-#ifdef WIDE_CHAR
- message += L"Error: Ignoring invalid lexical state : ";
- message += lexState; message += L". State unchanged.";
-#else
- message += "Error: Ignoring invalid lexical state : ";
- message += lexState; message += ". State unchanged.";
-#endif
- throw new TokenMgrError(message, INVALID_LEXICAL_STATE);
- } else
+ if (lexState >= 1 || lexState < 0)
+ assert(false);
+ //throw 1;//new TokenMgrError("Error: Ignoring invalid lexical state : " + lexState + ". State unchanged.", TokenMgrError.INVALID_LEXICAL_STATE);
+ else
curLexState = lexState;
}
/** Constructor. */
- VhdlParserTokenManager::VhdlParserTokenManager (JAVACC_CHARSTREAM *stream, int lexState)
+ VhdlParserTokenManager::VhdlParserTokenManager (JAVACC_CHARSTREAM *stream, int lexState, VhdlParser *parserArg)
{
- input_stream = nullptr;
- ReInit(stream, lexState);
+ input_stream = NULL;
+ ReInit(stream, lexState, parserArg);
}
// Destructor
VhdlParserTokenManager::~VhdlParserTokenManager () {
- clear();
- }
-
- // clear
- void VhdlParserTokenManager::clear() {
- //Since input_stream was generated outside of TokenManager
- //TokenManager should not take care of deleting it
- //if (input_stream) delete input_stream;
- if (errorHandler) delete errorHandler, errorHandler = nullptr;
+ if (input_stream) delete input_stream;
+ if (errorHandlerCreated) delete errorHandler;
}
-
}
}
diff --git a/vhdlparser/VhdlParserTokenManager.h b/vhdlparser/VhdlParserTokenManager.h
index 5100369..12e20c4 100644
--- a/vhdlparser/VhdlParserTokenManager.h
+++ b/vhdlparser/VhdlParserTokenManager.h
@@ -1,6 +1,5 @@
#ifndef VHDLPARSERTOKENMANAGER_H
#define VHDLPARSERTOKENMANAGER_H
-#include "stdio.h"
#include "JavaCC.h"
#include "CharStream.h"
#include "Token.h"
@@ -9,50 +8,77 @@
#include "VhdlParserConstants.h"
#include "VhdlParser.h"
-
namespace vhdl {
namespace parser {
class VhdlParser;
/** Token Manager. */
class VhdlParserTokenManager : public TokenManager {
-public:
+ public:
+ /** Debug output. */
FILE *debugStream;
- void setDebugStream(FILE *ds);
+ /** Set debug output. */
+
+void setDebugStream(FILE *ds);
+
int jjStopAtPos(int pos, int kind);
+
int jjMoveStringLiteralDfa0_0();
+
int jjMoveStringLiteralDfa1_0(unsigned long long active0, unsigned long long active1, unsigned long long active2);
+
int jjMoveStringLiteralDfa2_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1, unsigned long long old2, unsigned long long active2);
+
int jjMoveStringLiteralDfa3_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1, unsigned long long old2, unsigned long long active2);
+
int jjMoveStringLiteralDfa4_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa5_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa6_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa7_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa8_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa9_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa10_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa11_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa12_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa13_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa14_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa15_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa16_0(unsigned long long old0, unsigned long long active0, unsigned long long old1, unsigned long long active1);
+
int jjMoveStringLiteralDfa17_0(unsigned long long old1, unsigned long long active1);
+
int jjMoveNfa_0(int startState, int curPos);
+
bool jjCanMove_0(int hiByte, int i1, int i2, unsigned long long l1, unsigned long long l2);
+
bool jjCanMove_1(int hiByte, int i1, int i2, unsigned long long l1, unsigned long long l2);
+
Token * jjFillToken();
-public:
- int curLexState;
- int jjnewStateCnt;
- int jjround;
- int jjmatchedPos;
- int jjmatchedKind;
+public: int curLexState;
+public: int jjnewStateCnt;
+public: int jjround;
+public: int jjmatchedPos;
+public: int jjmatchedKind;
+
Token * getNextToken();
+
void SkipLexicalActions(Token *matchedToken);
+
void TokenLexicalActions(Token *matchedToken);
#define jjCheckNAdd(state)\
{\
@@ -84,33 +110,28 @@ void TokenLexicalActions(Token *matchedToken);
#ifndef JAVACC_CHARSTREAM
#define JAVACC_CHARSTREAM CharStream
#endif
-
-private:
- void ReInitRounds();
-
-public:
- VhdlParserTokenManager(JAVACC_CHARSTREAM *stream, int lexState = 0);
- virtual ~VhdlParserTokenManager();
- void ReInit(JAVACC_CHARSTREAM *stream, int lexState = 0);
+ private: VhdlParser*parser;
+ private: void ReInitRounds();
+ public: VhdlParserTokenManager(JAVACC_CHARSTREAM *stream, int lexState = 0, VhdlParser *parserArg = NULL);
+ public: virtual ~VhdlParserTokenManager();
+ void ReInit(JAVACC_CHARSTREAM *stream, int lexState = 0, VhdlParser *parserArg = NULL);
void SwitchTo(int lexState);
- void clear();
- const JJSimpleString jjKindsForBitVector(int i, unsigned long long vec);
- const JJSimpleString jjKindsForStateVector(int lexState, int vec[], int start, int end);
-
- JAVACC_CHARSTREAM* input_stream;
- int jjrounds[77];
- int jjstateSet[2 * 77];
- JJString jjimage;
- JJString image;
- int jjimageLen;
- int lengthOfMatch;
- JJChar curChar;
- TokenManagerErrorHandler* errorHandler = nullptr;
-
-public:
- void setErrorHandler(TokenManagerErrorHandler *eh) {
- if (errorHandler) delete errorHandler, errorHandler = nullptr;
+ const JAVACC_SIMPLE_STRING jjKindsForBitVector(int i, unsigned long long vec);
+ const JAVACC_SIMPLE_STRING jjKindsForStateVector(int lexState, int vec[], int start, int end);
+ JAVACC_CHARSTREAM *input_stream;
+ int jjrounds[77];
+ int jjstateSet[2 * 77];
+ JAVACC_STRING_TYPE jjimage;
+ JAVACC_STRING_TYPE image;
+ int jjimageLen;
+ int lengthOfMatch;
+ JAVACC_CHAR_TYPE curChar;
+ TokenManagerErrorHandler *errorHandler;
+ bool errorHandlerCreated;
+ public: void setErrorHandler(TokenManagerErrorHandler *eh) {
+ if (errorHandlerCreated && errorHandler != NULL) delete errorHandler;
errorHandler = eh;
+ errorHandlerCreated = false;
}
};