summaryrefslogtreecommitdiffstats
path: root/vhdlparser
diff options
context:
space:
mode:
authorpowARman <andreas.regel@gmx.de>2020-08-03 18:02:45 (GMT)
committerGitHub <noreply@github.com>2020-08-03 18:02:45 (GMT)
commit5e293b201f46bd98695bcc92ce2ecaa1f2b15c54 (patch)
tree80e41cf29284faf03b0ce9aa9de16f9a3e7a6ad3 /vhdlparser
parent97b6582daef5028fd3077a7fc29bd94b393f7ae1 (diff)
downloadDoxygen-5e293b201f46bd98695bcc92ce2ecaa1f2b15c54.zip
Doxygen-5e293b201f46bd98695bcc92ce2ecaa1f2b15c54.tar.gz
Doxygen-5e293b201f46bd98695bcc92ce2ecaa1f2b15c54.tar.bz2
Vhdl improvements (ALIAS, translation) (#7813)
* Support VHDL alias constructs. * Translate class to "Design Unit" for VHDL. * Fix compile error * Add new function trDesignUnitDocumentation() to translator. Adapt english and german translation to use the new function. Co-authored-by: Andreas Regel <andreas.regel@newayselectronics.com>
Diffstat (limited to 'vhdlparser')
-rw-r--r--vhdlparser/VhdlParserTokenManager.cc5
-rwxr-xr-xvhdlparser/vhdlparser.jj2
2 files changed, 6 insertions, 1 deletions
diff --git a/vhdlparser/VhdlParserTokenManager.cc b/vhdlparser/VhdlParserTokenManager.cc
index d51b004..341469f 100644
--- a/vhdlparser/VhdlParserTokenManager.cc
+++ b/vhdlparser/VhdlParserTokenManager.cc
@@ -3538,6 +3538,11 @@ void VhdlParserTokenManager::SkipLexicalActions(Token *matchedToken){
void VhdlParserTokenManager::TokenLexicalActions(Token *matchedToken){
switch(jjmatchedKind)
{
+ case 14 : {
+ image.append(input_stream->GetSuffix(jjimageLen + (lengthOfMatch = jjmatchedPos + 1)));
+ parser->outlineParser()->setLineParsed(ALIAS_T);
+ break;
+ }
case 17 : {
image.append(input_stream->GetSuffix(jjimageLen + (lengthOfMatch = jjmatchedPos + 1)));
parser->outlineParser()->setLineParsed(ARCHITECTURE_T);
diff --git a/vhdlparser/vhdlparser.jj b/vhdlparser/vhdlparser.jj
index 007bd5b..177b5f4 100755
--- a/vhdlparser/vhdlparser.jj
+++ b/vhdlparser/vhdlparser.jj
@@ -143,7 +143,7 @@ TOKEN [IGNORE_CASE] :
<ABS_T: "abs">
| <ACCESS_T: "access">
| <AFTER_T: "after">
-| <ALIAS_T: "alias">
+| <ALIAS_T: "alias"> {parser->outlineParser()->setLineParsed(ALIAS_T);}
| <ALL_T: "all">
| <AND_T: "and">
| <ARCHITECTURE_T: "architecture"> {parser->outlineParser()->setLineParsed(ARCHITECTURE_T);}