summaryrefslogtreecommitdiffstats
path: root/src/vhdldocgen.cpp
Commit message (Collapse)AuthorAgeFilesLines
* Refactoring: replaced std::regex with own much faster implementationDimitri van Heesch2021-03-021-14/+13
|
* Some performance tweaks + remove setting of global localeDimitri van Heesch2021-02-221-9/+9
|
* Disable qregex.h and fix some warnings and issuesDimitri van Heesch2021-02-201-2/+2
|
* Refactoring: replace QRegExp by std::regex in vhdldocgen.cppDimitri van Heesch2021-02-201-104/+68
|
* Fixed a couple of issues found by running CoverityDimitri van Heesch2021-02-051-2/+3
|
* Fix size_t related compiler errors & warnings for win64Dimitri van Heesch2021-01-221-21/+19
|
* Refactoring: modernize vhdldocgenDimitri van Heesch2021-01-221-287/+234
|
* Refactoring: modernize PlantumlManagerDimitri van Heesch2021-01-221-2/+2
|
* Refactoring: modernize MemberListDimitri van Heesch2021-01-221-56/+32
|
* Refactoring: replace type of pack variable from SDict<QCString> to StringSetDimitri van Heesch2021-01-021-5/+3
|
* Refactoring: replace QMap by std::map in vhdldocgenDimitri van Heesch2020-12-311-36/+34
|
* Refactoring: modernise MemberGroupListDimitri van Heesch2020-12-301-35/+23
|
* Refactoring: replace ClassSDict by ClassLinkedMap/ClassLinkedRefMapDimitri van Heesch2020-12-191-34/+23
|
* Refactoring: simplify vhdldocgen code a bitDimitri van Heesch2020-11-291-11/+2
|
* Refactoring: replace QDict by std::set for classifying keywords in vhdldocgenDimitri van Heesch2020-11-291-83/+31
|
* Refactoring: replacing dynamic_cast by static_cast to improve performanceDimitri van Heesch2020-11-131-5/+5
|
* Refactoring: Introduce immutable and mutable interfacesDimitri van Heesch2020-11-091-38/+42
| | | | | | Split Definition/ClassDef/NamespaceDef/MemberDef into a immutable and mutable part Aliases are immutable, other symbols are stored using an immutable interface but can be made mutable explicitly by dynamic casting.
* Refactoring: Modernize BaseClassListDimitri van Heesch2020-10-121-54/+42
|
* Fix for broken LaTeX output.Dimitri van Heesch2020-09-271-1/+1
| | | | | | Fixes error: "\begin{DoxyCodeInclude} on input line 93 ended by \end{DoxyCode}" while generating the LaTeX version of the manual
* Refactoring: prepare output generators for multi-threaded useDimitri van Heesch2020-09-271-3/+3
|
* Refactoring: making vhdlcode.l reentrantDimitri van Heesch2020-09-131-3/+6
| | | | | Also fixed a few VHDL related memory leaks and one newly introduced Fortran memory leak.
* Unused variable in code (VHDL)albert-github2020-07-171-1/+0
| | | | Due to #7864 the variable p is unused (and getFlowMember is just an access function to a flowMember).
* Merge pull request #7864 from maddox11/use_plantumlDimitri van Heesch2020-07-161-1/+1
|\ | | | | improve using plantuml for vhdl flowcharts
| * improve using plantuml for vhdl flowchartshake2020-06-211-1/+1
| |
* | Refactor: Modernize clang parser and make it run with multiple threadsDimitri van Heesch2020-07-161-4/+2
| |
* | Misleading compiler warningalbert-github2020-06-301-4/+2
|/ | | | | | | | | | | | | | | | | When compiling on Cygwin (gcc version 9.3.0 (GCC)) in release mode we get: ``` In file included from /cygdrive/d/Programs/Doxygen/fork/doxygen/src/vhdldocgen.cpp:27: /cygdrive/d/Programs/Doxygen/fork/doxygen/qtools/qcstring.h: In static member function ‘static void FlowChart::colTextNodes()’: /cygdrive/d/Programs/Doxygen/fork/doxygen/qtools/qcstring.h:364:23: warning: ‘flno’ may be used uninitialized in this function [-Wmaybe-uninitialized] 364 | if (str) m_rep+=str; | ^~~ /cygdrive/d/Programs/Doxygen/fork/doxygen/src/vhdldocgen.cpp:3178:14: note: ‘flno’ was declared here 3178 | FlowChart *flno; | ^~~~ ``` The compiler doesn't "understand" that `flno` is guarded by `found` and this would be initialized. Local variable `text` is not used so removed.
* Refactor: modernize markdown and make it thread-safeDimitri van Heesch2020-06-161-4/+7
|
* Refactor: modernize configuration valuesDimitri van Heesch2020-06-041-4/+3
|
* Added experimental multi-thread input processing support.Dimitri van Heesch2020-05-311-4/+4
| | | | | This is disabled by default. It can be enabled by setting MULTITHREADED_INPUT to 1 in doxygen.h. Still has many data races, so don't use for anything other than development!
* Merge branch 'filenamedict'Dimitri van Heesch2020-04-061-37/+1
|\
| * Replaced FileNameDict/FileNameList by FileNameLinkedMapDimitri van Heesch2020-04-061-37/+1
| |
* | Fix html file ext in external docs (#7679)avostrik2020-04-011-1/+1
|/ | | | | | | | | | | | * Add HTML extension to url conditionally in tree view item. This change fixes issue with double extension in treeview file list items generated from external tag file. Items that were read from tag file already have extension. * Add missing HTML file extension in writeTagFile() calls * Unify addition of HTML file extension in writeTagFile calls. Signed-off-by: Andrey Vostrikov <andrey.vostrikov@cogentembedded.com>
* Fix not correctly formatted messagesMoritz 'Morty' Strübe2020-03-211-9/+7
|
* Issue #7635: Incorrect location for enum in XML file (part 3)Dimitri van Heesch2020-03-171-1/+1
|
* Enabled stricter compiler warnings and fixed all new warningsDimitri van Heesch2020-03-081-126/+19
|
* Merge branch 'master' into vhdl-fixed-bug-7432Dimitri van Heesch2020-02-081-9/+9
|\
| * Merge pull request #7529 from albert-github/feature/bug_vhdl_debugDimitri van Heesch2020-02-021-9/+9
| |\ | | | | | | Correct handling of error / removed debug statement
| | * Correct handling of error / removed debug statementalbert-github2020-01-221-9/+9
| | | | | | | | | | | | | | | | | | In the vhdldocgen: - error should be handled in a doxygen consistent way (in this case with an err call) - removed left over debug statment (found through #7528)
* | | vhdl-fixed-bug-7432hake2020-02-041-43/+21
|/ /
* | Cleanup unused/unwanted parser dependencies on code generatorDimitri van Heesch2020-01-261-1/+1
|/
* Make VHDL parser reentrantDimitri van Heesch2020-01-031-35/+2
|
* Merge branch 'master' into spellingDimitri van Heesch2019-12-231-51/+12
|\
| * Renamed Portables to PortableDimitri van Heesch2019-12-081-2/+2
| |
| * Merge branch 'memory_leakage_fix' of https://github.com/virusxp/doxygen into ↵Dimitri van Heesch2019-12-081-2/+2
| |\ | | | | | | | | | virusxp-memory_leakage_fix
| | * Refactoring of portable.h and portable.cpp functions to be contained in a ↵Tobias Off2019-11-281-2/+2
| | | | | | | | | | | | | | | | | | namespace Fixing some memory issues caused by not correctly freed pointers
| * | Changed std::unique_ptr<Entry> to std::shared_ptr<Entry> at avoid use after ↵Dimitri van Heesch2019-12-081-4/+4
| | | | | | | | | | | | free issues
| * | Split language parser into "outline parser" and "code parser"Dimitri van Heesch2019-12-031-45/+6
| |/
* | spelling: tableJosh Soref2019-11-121-3/+3
|/
* Fixed use of uninitialized data in VHDL generatorDimitri van Heesch2019-11-091-5/+8
|
* Embed TagInfo struct inside EntryDimitri van Heesch2019-10-281-1/+0
|