summaryrefslogtreecommitdiffstats
path: root/src/vhdldocgen.cpp
Commit message (Collapse)AuthorAgeFilesLines
* Fix html file ext in external docs (#7679)avostrik2020-04-011-1/+1
| | | | | | | | | | | | * Add HTML extension to url conditionally in tree view item. This change fixes issue with double extension in treeview file list items generated from external tag file. Items that were read from tag file already have extension. * Add missing HTML file extension in writeTagFile() calls * Unify addition of HTML file extension in writeTagFile calls. Signed-off-by: Andrey Vostrikov <andrey.vostrikov@cogentembedded.com>
* Fix not correctly formatted messagesMoritz 'Morty' Strübe2020-03-211-9/+7
|
* Issue #7635: Incorrect location for enum in XML file (part 3)Dimitri van Heesch2020-03-171-1/+1
|
* Enabled stricter compiler warnings and fixed all new warningsDimitri van Heesch2020-03-081-126/+19
|
* Merge branch 'master' into vhdl-fixed-bug-7432Dimitri van Heesch2020-02-081-9/+9
|\
| * Merge pull request #7529 from albert-github/feature/bug_vhdl_debugDimitri van Heesch2020-02-021-9/+9
| |\ | | | | | | Correct handling of error / removed debug statement
| | * Correct handling of error / removed debug statementalbert-github2020-01-221-9/+9
| | | | | | | | | | | | | | | | | | In the vhdldocgen: - error should be handled in a doxygen consistent way (in this case with an err call) - removed left over debug statment (found through #7528)
* | | vhdl-fixed-bug-7432hake2020-02-041-43/+21
|/ /
* | Cleanup unused/unwanted parser dependencies on code generatorDimitri van Heesch2020-01-261-1/+1
|/
* Make VHDL parser reentrantDimitri van Heesch2020-01-031-35/+2
|
* Merge branch 'master' into spellingDimitri van Heesch2019-12-231-51/+12
|\
| * Renamed Portables to PortableDimitri van Heesch2019-12-081-2/+2
| |
| * Merge branch 'memory_leakage_fix' of https://github.com/virusxp/doxygen into ↵Dimitri van Heesch2019-12-081-2/+2
| |\ | | | | | | | | | virusxp-memory_leakage_fix
| | * Refactoring of portable.h and portable.cpp functions to be contained in a ↵Tobias Off2019-11-281-2/+2
| | | | | | | | | | | | | | | | | | namespace Fixing some memory issues caused by not correctly freed pointers
| * | Changed std::unique_ptr<Entry> to std::shared_ptr<Entry> at avoid use after ↵Dimitri van Heesch2019-12-081-4/+4
| | | | | | | | | | | | free issues
| * | Split language parser into "outline parser" and "code parser"Dimitri van Heesch2019-12-031-45/+6
| |/
* | spelling: tableJosh Soref2019-11-121-3/+3
|/
* Fixed use of uninitialized data in VHDL generatorDimitri van Heesch2019-11-091-5/+8
|
* Embed TagInfo struct inside EntryDimitri van Heesch2019-10-281-1/+0
|
* Changed ArgumentList to be an STL containerDimitri van Heesch2019-10-271-110/+61
|
* Use smartpointers to manage the lifetime of Entry objectsDimitri van Heesch2019-10-071-15/+9
|
* issue 7166: Doxygen calls DOT differently depending on the output ↵Dimitri van Heesch2019-08-071-2/+2
| | | | optimization selected.
* Add const correctness for argument listsDimitri van Heesch2019-05-051-1/+1
|
* Improved const correctness and added support for inline namespacesDimitri van Heesch2019-04-211-55/+56
|
* Refactored Definition and derived classes such that they are abstract interfacesDimitri van Heesch2019-02-281-2/+2
|
* Merge branch 'run_java_once_3rd_trial' of ↵Dimitri van Heesch2019-01-081-2/+2
| | | | https://github.com/cheoljoo/doxygen into cheoljoo-run_java_once_3rd_trial
* mergeCharles.Lee2018-12-241-6/+7
|\
| * XHTML problem with name attribute with VHDL name attributealbert-github2018-12-101-1/+1
| | | | | | | | | | | | | | | | When running xhtml checker on the doxygen diagram example we get: Syntax of value for attribute name of a is not valid Document mux/xhtml/classmux__using__with.xhtml does not validate This is due to a space in the name tag, substituting the appropriate code solves the problem. As this is a VHDL specific problem only these strings are converted.
| * Merge remote-tracking branch 'doxygen/master'Joe George2018-11-051-2/+2
| |\
| | * Merge pull request #6562 from albert-github/feature/bug_referencesDimitri van Heesch2018-11-041-2/+2
| | |\ | | | | | | | | Add commands to handle referenced by relation and references relation
| | | * Add commands to handle referenced by relation and references relationalbert-github2018-10-171-2/+2
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Analogous to call graph and caller graph this patch provides an implementation for the referenced by relation and references relation. Providing the commands: - referencedbyrelation - hidereferencedbyrelation - referencesrelation - hidereferencesrelation Motivation is that some lists can get extremely large and also there is now more symmetry between the textual and graphical out.
| * | | Merge remote-tracking branch 'doxygen/master'Joe George2018-11-021-2/+2
| |\ \ \ | | |/ /
| | * | Correction of some coloring of code comments in VHDL, adding data type ↵albert-github2018-08-241-2/+2
| | |/ | | | | | | | | | 'positive'
| * | Added *.ice files as a recognized file type.Mark Spruiell2018-10-221-1/+2
| |/ | | | | | | Added a Slice-optimized output mode.
* | add format in writePlantUMLSource() and gathered in one pu file. But it is ↵Charles.Lee2018-08-281-1/+1
|/ | | | not final
* Bug 691689 - Line numbers for examplesDimitri van Heesch2018-08-191-34/+34
|
* Merge branch 'master' into vhdl-localizationAndreas Regel2018-05-281-6/+8
|\ | | | | | | | | # Conflicts: # src/translator.h
| * Better HTML output for VHDL Portsalbert-github2018-03-291-6/+8
| | | | | | | | Small alignment improvement of HTML output for VHDL Ports so that the mode will be in a separate column
* | Remove default assignment from Translator::trVhdlType() declarationAndreas Regel2018-01-091-3/+3
| |
* | Add VHDL strings to Translator class and add german translations.Andreas Regel2018-01-081-126/+3
| |
* | Replace calls to trTypeString with trVhdlType in single mode, which is the ↵Andreas Regel2018-01-081-38/+2
|/ | | | | | default. Remove now unused method trTypeString.
* Misc. typosluzpaz2017-12-231-5/+5
| | | | | Super trivial typos Some are in qtools/ which I know is a 3rd party dependency but as we know is now obsolete upstream. I reckon it wouldn't be much of an issue to merge neverthless Tacked on several more commits
* Doxygen/VHDLdocgen:Guenther R. Wenninger2017-05-291-2/+2
| | | | | Fix whitespace handling in vhdldocgen.cpp when generating a flowchart.
* Fixed typos.orbitcowboy2016-04-141-3/+3
|
* Remove unused variablesChristoph Grüninger2016-01-231-1/+0
|
* Changed configuration mechanism to directly access options in order to ↵Dimitri van Heesch2016-01-171-10/+10
| | | | improve performance
* Bug 758495 - Bug in VHDL parser + other fixesDimitri van Heesch2015-12-201-19/+120
|
* Fixed various issues found by PVS-Studio.Dimitri van Heesch2015-08-181-2/+0
|
* Various VHDL related fixesDimitri van Heesch2015-04-271-0/+13
|
* Bump copyright yearDimitri van Heesch2015-02-261-1/+1
|