summaryrefslogtreecommitdiffstats
path: root/tcllib/modules/virtchannel_base/randseed.tcl
blob: 48bf96d9902fe82feb0ddbd8a1141ed3fc16c1bf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
# -*- tcl -*-
# # ## ### ##### ######## #############
# (C) 2009 Andreas Kupries

# @@ Meta Begin
# Package tcl::randomseed 1
# Meta as::author {Andreas Kupries}
# Meta as::copyright 2009
# Meta as::license BSD
# Meta description Generate and combine seed lists for the
# Meta description random number generator inside of the
# Meta description tcl::chan::random channel. Sources of
# Meta description randomness are process id, time in two
# Meta description granularities, and Tcl's random number
# Meta description generator.
# Meta platform tcl
# Meta require {Tcl 8.5}
# @@ Meta End

# # ## ### ##### ######## #############

package require Tcl 8.5

# # ## ### ##### ######## #############

namespace eval ::tcl {}

proc ::tcl::randomseed {} {
    set result {}
    foreach v [list \
		   [pid] \
		   [clock seconds] \
		   [expr {int(256*rand())}] \
		   [clock clicks -milliseconds]] \
	{
	    lappend result [expr {$v % 256}]
	}
    return $result
}

proc ::tcl::combine {a b} {
    while {[llength $a] < [llength $b]} {
	lappend a 0
    }
    while {[llength $b] < [llength $a]} {
	lappend b 0
    }

    set result {}
    foreach x $a y $b {
	lappend result [expr {($x ^ $y) % 256}]
    }
    return $result
}

# # ## ### ##### ######## #############
package provide tcl::randomseed 1
return