summaryrefslogtreecommitdiffstats
path: root/Modules/signalmodule.c
diff options
context:
space:
mode:
authorVictor Stinner <victor.stinner@gmail.com>2015-03-30 19:33:51 (GMT)
committerVictor Stinner <victor.stinner@gmail.com>2015-03-30 19:33:51 (GMT)
commit6aa446cf039f9533a5ecf2400bf060db4313a417 (patch)
tree2530c77e76dce1b8fce38980ae24a6a9d7ed50b3 /Modules/signalmodule.c
parentf70e1ca0fc30426d12aa8fc6684764ee11a66777 (diff)
downloadcpython-6aa446cf039f9533a5ecf2400bf060db4313a417.zip
cpython-6aa446cf039f9533a5ecf2400bf060db4313a417.tar.gz
cpython-6aa446cf039f9533a5ecf2400bf060db4313a417.tar.bz2
PEP 475: on EINTR, retry the function even if the timeout is equals to zero
Retry: * signal.sigtimedwait() * threading.Lock.acquire() * threading.RLock.acquire() * time.sleep()
Diffstat (limited to 'Modules/signalmodule.c')
-rw-r--r--Modules/signalmodule.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/Modules/signalmodule.c b/Modules/signalmodule.c
index 3081562..a1fda3e 100644
--- a/Modules/signalmodule.c
+++ b/Modules/signalmodule.c
@@ -1011,7 +1011,7 @@ signal_sigtimedwait(PyObject *self, PyObject *args)
monotonic = _PyTime_GetMonotonicClock();
timeout = deadline - monotonic;
- if (timeout <= 0)
+ if (timeout < 0)
break;
} while (1);